TW201323647A - 利用包含鉿或鋯之前驅物之膜的原子層沉積 - Google Patents

利用包含鉿或鋯之前驅物之膜的原子層沉積 Download PDF

Info

Publication number
TW201323647A
TW201323647A TW101139933A TW101139933A TW201323647A TW 201323647 A TW201323647 A TW 201323647A TW 101139933 A TW101139933 A TW 101139933A TW 101139933 A TW101139933 A TW 101139933A TW 201323647 A TW201323647 A TW 201323647A
Authority
TW
Taiwan
Prior art keywords
film
reactant
substrate
precursor
gas
Prior art date
Application number
TW101139933A
Other languages
English (en)
Inventor
Timothy Michaelson
Timothy W Weidman
Paul Deaton
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201323647A publication Critical patent/TW201323647A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Abstract

所提供者為分別使用Hf(BH4)4前驅物或Zr(BH4)4前驅物及共反應物沉積含鉿或鋯薄膜之低溫方法。可以選擇該共反應物以獲得某些薄膜組成。可以使用包含氧化劑的共反應物來將氧沉積於該薄膜中。因此,亦提供者為包含金屬、硼及氧之薄膜,其中該金屬包含鉿(其中使用Hf(BH4)4前驅物)或鋯(其中使用Zr(BH4)4前驅物)。

Description

利用包含鉿或鋯之前驅物之膜的原子層沉積
本發明之實施例係一般性關於沉積含鉿和鋯的薄膜。
在基板表面上沉積薄膜是各種工業中重要的製程,該工業包括半導體處理、擴散阻障塗層以及用於磁性讀/寫頭的介電質。在半導體工業中,特定而言,小型化要求水平控制薄膜沉積,以在高深寬比結構上產生保形塗層。一種沉積具有這樣的控制和保形沉積的薄膜之方法為原子層沉積(ALD)。大部分的ALD製程是基於二元的反應程序。兩個表面反應中的每個依序發生。因為表面反應是連續的,所以兩個氣相反應物不接觸,而且限制了可以形成和沉積顆粒的可能氣相反應。進一步發展ALD的典型作法一直是確定當前可得的化學藥品是否適用於ALD。需要有商業上可行的新沉積化學藥品。
一個有用的ALD製程之應用係關於自對準雙重圖案化製程。間隔物是形成於預先圖案化的特徵之側壁上的保形薄膜層。可以藉由保形ALD薄膜於先前的圖案上,接著藉由非等向蝕刻去除水平表面上所有的薄膜材料,僅留下側壁上的材料,而形成間隔物。藉由去除原始的圖案化特徵,只有間隔物留下。然而,由於每條接線有兩個間隔物,故接線密度變成加倍。間隔物技術可應用於 例如以一半的原始微影間距界定狹窄的閘極。
存在有在光阻上低溫ALD基於SiO2的薄膜用於作為自對準雙重圖案化(SADP)之間隔物層的方法。然而,這樣的製程流並不適合應用於被圖案化的堆疊中也存在基於SiO2的薄膜作為下層,因為將會有不足的蝕刻選擇性。一般基於SiO2的下層包括這種作為基於旋塗矽氧烷的層(可用於作為光阻下方的抗反射塗層)或SiON層之薄膜,例如介電質抗反射塗層(DARC)。介電質抗反射塗層是一種介電材料,在光微影步驟的過程中該介電材料可限制來自基板的反射,否則該反射會以其他方式干擾圖案化製程。因此,需要有相對於基於SiO2的薄膜展現高的乾蝕刻選擇性之低溫ALD薄膜。
本發明之一個態樣係關於包含鉿或鋯之薄膜。以下列示各種實施例。將瞭解到,不僅可以如以下所列示的組成以下列示的實施例,而且還可以以其他依據本發明之範圍的適當組合來組成以下列示的實施例。
在實施例1中,本發明係關於一種在基板上的薄膜,該薄膜包含鉿、硼及氧。實施例2包括對實施例1之薄膜的修改,其中該薄膜進一步包含氫。
實施例3係關於對實施例1或2之薄膜的修改,其中該薄膜具有經驗式HfBxOyHz,以及其中:x之值係大於 約0至約4、x之值係從約1至約3或x之值為約2;y之值係大於約0至約10、y之值係從約2至約10、y之值係從大於0至約8、y之值係約1至約7、y之值係大於0至約6;以及z之範圍係從約0至約10、z之範圍係大於0至約10、z之範圍係2至約8、z之範圍係3至約5或z之值為約4。
本發明之另一個態樣係關於沉積包含鉿或鋯的薄膜之方法。如同第一個態樣,將瞭解到,不僅可以如以下所列示的組成以下列示的實施例,而且還可以以其他依據本發明之範圍的適當組合來組成以下列示的實施例。
因此,本發明之實施例4係關於一種沉積含金屬薄膜之方法,該方法包含使基板表面依序曝露於M(BH4)4前驅物及共反應物之交替流動,以提供薄膜,其中M為選自鉿及鋯之金屬。
實施例5包括對實施例4之方法的修改,其中該共反應物包含氧化劑。
實施例6係關於對實施例4或5之方法的修改,其中該氧化劑係選自H2O、H2O2、O2、O3及上述物質之混合物。
實施例7係關於對實施例4至6之任一方法的修改,其中M為鉿。
實施例8係關於對實施例4至7之任一方法的修改,其中該共反應物包含氧化劑,以及該薄膜包含鉿、硼及氧。在一些進一步的實施例中,該薄膜具有經驗式 HfBxOyHz,以及其中:x之值係大於約0至約4、x之值係從約1至約3或x之值為約2;y之值係大於約0至約10、y之值係從約2至約10、y之值係從大於0至約8、y之值係約1至約7、y之值係大於0至約6;以及z之範圍係從約0至約10、z之範圍係大於0至約10、z之範圍係2至約8、z之範圍係3至約5或z之值為約4。
實施例9係關於對實施例4至8之任一方法的修改,其中M為鋯。
實施例10係關於對實施例4至9之任一方法的修改,其中該共反應物包含氧化劑,以及該薄膜包含鋯、硼及氧。
實施例11係關於對實施例4、7或9之任一方法的修改,其中該共反應物包含NH3
實施例12係關於對實施例4、7、9或11之任一方法的修改,其中M為鉿,以及該薄膜包含鉿、硼及氮。
實施例13係關於對實施例4至12之任一方法的修改,其中該方法係於低於約200℃、低於約150℃、125℃或100℃之溫度下進行。
實施例14係關於對實施例4至13之任一方法的修改,其中該溫度具有在約室溫至約100℃的範圍。
實施例15係關於對實施例4至14之任一方法的修改,其中該薄膜係沉積於光阻上。
實施例16係關於對實施例4、7、9或13至15之任一方法的修改,其中該共反應物係選自WF6及RuO4
實施例17係關於對實施例4、7、9或13至16之任一方法的修改,其中該薄膜包含M、鎢及硼。
實施例18係關於對實施例4、7、9或13至16之任一方法的修改,其中該沉積的薄膜包含M、釕、硼及氧。
實施例19係關於對實施例之任一方法的修改,其中該共反應物流動未完全飽和該基板表面。
實施例20係關於一種沉積含金屬薄膜之方法,該方法包含使基板依序曝露於Hf(BH4)4前驅物及共反應物之交替流動,以提供薄膜,該共反應物包含氧化劑。
在描述本發明的幾個例示性實施例之前,瞭解到,本發明並不限於以下說明中所提出的結構或製程步驟之細節。本發明可以有其他的實施例而且能夠以各種方式實施或進行本發明。
本文中使用的「基板」係指任何形成於基板上的基材或材料表面,在製造製程的過程中薄膜處理係於該基板上進行。舉例來說,上面可以進行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻雜碳的矽氧化物、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石等材料,以及任何其他的材料,諸如金屬、金屬氮化物、金屬合金以及其他的導電材料,視應用而定。基板包括但不限於半導體晶圓。可使基板進行預處理製 程,以拋光、蝕刻、還原、氧化、羥化、退火及/或烘烤基板表面。除了直接在基板本身的表面上進行薄膜處理之外,在本發明中也可以在形成於基板上的下層上進行任何揭示的薄膜處理步驟,如以下更詳細揭示的,並且用語「基板表面」意圖包括如內文所指的該種下層。
如本文中所使用的,「室溫」係指約20至約25℃的溫度範圍。
用語「HfBOx」係指含有鉿、硼及氧的薄膜。該用語可以與HfBxOy互換使用。該薄膜選擇性地含有氫。在該薄膜含有氫的情況下,該薄膜也可以由式HfBxOyHz表示。
如本文中所使用的,片語「原子層沉積」可以與「ALD」互換使用,而且係指涉及依序曝露於化學反應物的製程,並且每個反應物係由在時間和空間上分離的他者所沉積。在ALD中,化學反應僅在基板的表面上以逐步的方式發生。然而,依據一或多個實施例,片語「原子層沉積」不需要限於每個沉積的反應物層限於單層(即厚度為一個反應物分子的層)的反應中。依據本發明的各種實施例之前驅物將會沉積保形的薄膜,無論是否只有沉積單一的單層。原子層沉積與「化學氣相沉積」或「CVD」不同之處在於CVD係指一或多個反應物藉由在含有基板的處理腔室中的反應在基板上或基板表面上連續形成薄膜的製程,這樣的CVD製程往往會比ALD製程較不保形。
依據本發明的各種實施例所提供的是關於在原子層沉積(ALD)製程過程中使用Hf(BH4)4前驅物與共反應物沉積保形的含鉿薄膜之方法。Hf(BH4)4前驅物是相對揮發性和活性的,這允許在低溫下使用共反應物沉積保形的含鉿薄膜。依據一或多個實施例,有用的共反應物包括氧源。這種共反應物的實例包括但不限於水(H2O)、過氧化氫(H2O2)、臭氧(O3)、過氧化氫和水的混合物(H2O2/H2O)、氧(O2)、臭氧和氧的混合物(O3在O2中)以及上述物質之其他混合物。使用這些反應物產生的膜包含HfBOx。也可以使用其他的共反應物,以改變薄膜的元素含量。舉例來說,可以使用氨作為共反應物,以得到由鉿、硼及氮製成的薄膜。同樣地,可以使用密切相關且類似的前驅物Zr(BH4)4、使用同組共反應物、使用類似的ALD製程來沉積鋯薄膜,以直接產生類似的薄膜。
因此,本發明之一個態樣係關於沉積含金屬的薄膜之方法。該方法包含將基板表面依序曝露於M(BH4)4前驅物和共反應物之交替流動,以提供薄膜。M為選自鉿和鋯的金屬。在一些實施例中,基板表面可曝露於反應物共反應物,使得基板表面不成為完全飽和。
在一個實施例中,M包含鉿。在共反應物為氧化劑的情況下,該方法將提供包含鉿、硼及氧的薄膜。或者,在另一個實施例中,M包含鋯。在共反應物為氧化劑的情況下,該方法將提供包含鋯、硼及氧的薄膜。
依據另一個實施例,共反應物為氨(NH3)。在M包含鉿的情況下,所提供的薄膜將包含鉿、硼及氮。或者,在M包含鋯的情況下,所提供的薄膜將包含鋯、硼及氮。
依據本發明之各種實施例,該前驅物可以式M(BH4)4表示,其中M為金屬。依據特定的實施例,M包含Hf或Zr,並且該前驅物因此包含Hf(BH4)4或Zr(BH4)4。在合成這種M(BH4)4前驅物的一個方法中,將HfCl4或ZrCl4放置於適當的容器(例如圓底燒瓶)中並與過量的LiBH4混合。漿攪拌棒加到燒瓶中,並且將兩個固體的混合物攪拌過夜。攪拌完成之後,可以選擇性地藉由昇華純化產品(也為白色固體),並將該產品轉移到適合輸送前驅物至ALD反應器的安瓿中。
如以上所討論的,可以使用不同的共反應物來改變沉積薄膜的元素含量。在一個實施例中,該共反應物可以是氧化劑。適合的氧化劑共反應物包括但不限於水(H2O)、過氧化氫(H2O2)、氧(O2)及臭氧(O3)以及上述物質之混合物。
在使用Hf(BH4)4作為前驅物以及使用氧化劑作為共反應物的實施例中,所沉積的薄膜含有鉿、硼、氧。該薄膜也可以含有氫。在另一個實施例中,該共反應物可以是氨。在該共反應物為氨的情況下,所沉積的薄膜將含有鉿、硼及氮。該薄膜也可以含有氫。
在使用Zf(BH4)4作為前驅物以及使用氧化劑作為共反應物的實施例中,該薄膜將含有鋯、硼、氧以及氫。至 於鉿前驅物,在一個實施例中,該共反應物可以是氧化劑。適合的氧化劑共反應物包括但不限於水、過氧化氫、臭氧、氧以及上述物質之組合。在另一個實施例中,該共反應物可以是氨。在該共反應物為氨的情況下,所沉積的薄膜將含有鋯、硼及氮。該薄膜也可以含有氫。
本發明的另一個態樣係關於基板上的薄膜,該薄膜包含金屬、硼及氧,其中該金屬包含鉿或鋯。在特定的實施例中,該薄膜包含鉿、硼及氧。在進一步的實施例中,該薄膜進一步包含氫。在另一個實施例中,該薄膜具有經驗式HfBxOyHz。變數x的值可以從約0至約4、變數x的值可以從約1至約3或變數x的值可以在大於0至約4,而且在特定的實施例中,變數x的值為約2。變數y的值可以從約0至約10、變數y的值可以在大於約0至約10或變數y的值可以在約2至10。在替代的實施例中,y的值可以在約0至約8、y的值可以在大於約0至約8,或者在特定的實施例中,y的值可以在約0至約6。最後,變數z可以具有從約0至約10、約2至約8、約3至約5、大於約0至約10的範圍,或變數z可以為約4。在一些實施例中,該薄膜包含鋯、硼及氧。
本發明之又另一個態樣係關於藉由原子層沉積來沉積含金屬的薄膜之方法,該方法包含使基板依序曝露於Hf(BH4)4前驅物及包含氧化劑的共反應物之交替脈衝或流動,以提供薄膜。
可以選擇共反應物和製程條件來調整該薄膜的組成, 特別是硼含量。
在其他的實施例中,可以選擇其他的共反應物來允許沉積導電金屬合金薄膜。舉例來說,在一個實施例中,共反應物可以是WF6,這將提供包含鉿、鎢及硼的薄膜(HfxWyBx)。可以設定所沉積合金的目標為展現高介電常數金屬閘極應用所需的特定功函數。在又其他的實施例中,可以使用含矽的共反應物來提供含矽薄膜。舉例來說,M(BH4)4前驅物可以與矽鹵化物(如SiBr4)一起使用,以產生MSixBy薄膜,且具有BBr3和HBr副產物。另一個實施例係關於包含MSnxBy的薄膜,可以使用M(BH4)4前驅物與SnCl4來沉積該包含MSnxBy的薄膜,且具有BCl3和HCl副產物。又另一個實施例係關於包含MSxBy的薄膜,該包含MSxBy的薄膜係使用M(BH4)4前驅物與SF6共反應物所沉積,且具有BF3和HF副產物。又另一個實施例係關於來自M(BH4)4前驅物及RuO4的MRuxByOz薄膜,且具有水作為副產物。
依據一或多個實施例所沉積的薄膜之另一個特徵為非常有效的利用前驅物及將前驅物併入薄膜中。由此產生的生長速率為每個循環約2.7埃。在特定的實施例中,沉積製程僅採用M(BH4)4並以H2O作為共反應物,而且該沉積製程可以直接應用於氧敏感的下層,並且僅釋放H2及潛在的B2H6作為揮發性副產物。
在ALD製程之例示性實施例中,將第一化學前驅物(「A」)脈衝化,舉例來說,在第一半反應中脈衝化 Hf(BH4)4到基板表面。通常藉由排空幫浦抽出及/或藉由流動的惰性淨化氣體來去除過量的、未使用的反應物和反應副產物。然後將共反應物「B」(例如氧化劑或氨)輸送到該表面,其中先前反應的、第一半反應之終止取代物或配位體與來自「B」共反應物的新配位體反應,從而產生交換副產物。在一些實施例中,「B」共反應物也與下方活性的物種形成自飽和鍵結,以提供另一個自限的且飽和的第二半反應。在替代的實施例中,「B」共反應物未飽和下方活性的物種。通常利用第二淨化期間來去除未使用的反應物和反應副產物。然後再次流入「A」前驅物氣體、「B」共反應物氣體及淨化氣體。持續使表面交替曝露於反應物「A」和「B」,直到達到所需的薄膜厚度,對於大多數預期的應用,所需的薄膜厚度大約會在5 nm至40 nm的範圍中,並且更具體地是在10至30 nm的範圍(100埃至300埃)中。將瞭解到,「A」氣體、「B」氣體及淨化氣體可以同時流動,而且基板及/或氣體流動噴嘴可以擺動,使得基板視需要被依序曝露於A氣體、淨化氣體及B氣體。
前驅物及/或反應物可以處於氣體、電漿、蒸汽的狀態或其他對蒸汽沉積製程有用的物質狀態。在淨化期間,通常將惰性氣體引入處理腔室,以淨化反應區或以其他方式去除反應區中任何殘餘的活性化合物或副產物。或者,可以在整個沉積製程中使淨化氣體連續流動,使得在前驅物和共反應物的脈衝之間的時間延遲期間只有淨 化氣體流動。
因此,在一或多個實施例中,可以使用「A」前驅物和「B」共反應物的交替脈衝或流動來沉積薄膜,例如在脈衝前驅物和共反應物的多個循環之脈衝輸送中,例如A脈衝、B共反應物脈衝、A前驅物脈衝、B共反應物脈衝、A前驅物脈衝、B共反應物脈衝、A前驅物脈衝、B共反應物脈衝。如上所述,取代脈衝的反應物,氣體可以同時從氣體輸送頭或噴嘴流動,而且可以移動基板及/或氣體輸送頭,使得基板依序曝露於該等氣體。
當然,上述的ALD循環僅為各式各樣的ALD製程循環之例示,其中所沉積的層係由前驅物和共反應物的交替層所形成。
本文中所使用的沉積氣體或處理氣體係指單一氣體、多種氣體、含有電漿的氣體、氣體及/或電漿的組合。沉積氣體可以含有至少一種用於氣相沉積製程的活性化合物。該活性化合物在氣相沉積製程的過程中可以處於氣體、電漿、蒸汽的狀態。同樣地,製程可以含有淨化氣體或載體氣體,並且不含活性的化合物。
可以將依據本發明之各種實施例的薄膜沉積在幾乎任何的基板材料上。由於本文中所述的ALD製程是低溫的,將這些製程使用於熱不穩定的基板是特別有利的。本文中所使用的「基板表面」係指任何形成於基板上的基材或材料表面,在製造製程的過程中薄膜處理係於該基板上進行。舉例來說,上面可以進行處理的基板表面 包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻雜碳的矽氧化物、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石等材料,以及任何其他的材料,諸如金屬、金屬氮化物、金屬合金及其他的導電材料,視應用而定。在基板表面上的阻障層、金屬或金屬氮化物包括鈦、氮化鈦、氮化鎢、鉭和氮化鉭、鋁、銅或任何其他的導體或導電或不導電的、可用於裝置製造的阻障層。基板可以具有各種的尺寸,如直徑200 mm或300 mm的晶圓,以及矩形或方形的窗玻璃片。可以使用本發明之實施例的基板包括但不限於半導體晶圓,諸如結晶矽(例如Si<100>或Si<111>)、氧化矽、應變矽、鍺矽、摻雜的或未摻雜的多晶矽、摻雜的或未摻雜的矽晶圓、III-V族材料諸如GaAs、GaN、InP等以及圖案化或未圖案化的晶圓。可使基板進行預處理製程,以拋光、蝕刻、還原、氧化、羥化、退火及/或烘烤基板表面。
由於本發明之實施例提供沉積或形成含鉿及/或鋯的薄膜之方法,在氣相沉積製程的過程中設置處理腔室來使基板曝露於依序的氣體及/或電漿中。處理腔室可包括個別供應A和B反應物,以及供應任何載體氣體、淨化氣體及惰性氣體,諸如與各反應物和氣體的氣體入口流體連接的氬氣和氮氣。可以藉由適合的流量控制器來控制每個入口,該流量控制器如與中央處理單元(CPU)通訊的質量流量控制器或體積流量控制器,以允許每個到基板的反應物之流動進行如本文中所述的ALD製程。 中央處理單元可以是任何形式的電腦處理器中之一者,該電腦處理器可用於工業設定,以控制各腔室和子處理器。CPU可以耦接到記憶體,並且該CPU可以是一或多個容易買到的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、快閃記憶體、光碟、軟碟、硬碟或任何其他形式的本端或遠端數位儲存器。可將支援電路耦接到該CPU,而以現有的方式支援該CPU。這些電路包括緩存、電源、時鐘電路、輸入/輸出電路、子系統及類似者。
共反應物通常是處於蒸汽或氣體的形式。可以以載體氣體輸送反應物。載體氣體、淨化氣體、沉積氣體或其他的處理氣體可以含有氮氣、氫氣、氬氣、氖氣、氦氣或上述氣體的組合。電漿可用於沉積、形成、退火、處理或其他本文中所述的光阻材料之處理。本文中所述的各種電漿,諸如氮電漿或惰性氣體電漿可被電漿共反應物氣體點燃及/或含有電漿共反應物氣體。
在一或多個實施例中,用於製程的各種氣體可以被脈衝化而進入入口、經過氣體通道、來自各種孔或出口並進入中央通道。在一或多個實施例中,可以依序脈衝化沉積氣體到達噴灑頭且通過噴灑頭。或者,如上所述,該等氣體可以同時流經氣體供應噴嘴或氣體供應頭,而且可以移動該基板及/或該氣體供應頭,使基板依序曝露於該等氣體。
另一個實施例中,可以在電漿增強原子層沉積 (PEALD)製程過程中形成含鉿或鋯的薄膜,該電漿增強原子層沉積製程提供前驅物和電漿之相繼脈衝。在具體的實施例中,共反應物可以涉及電漿。在其他涉及使用電漿的實施例中,在電漿步驟期間試劑通常在該製程過程中被離子化,儘管這可能僅發生在沉積腔室的上游,使得離子或其他激發的或發光的物種不直接與沉積薄膜接觸,此配置經常被稱為遠端電漿。因此,在這種類型的PEALD製程中,電漿是從處理腔室外部產生的,諸如藉由遠端電漿產生器系統。在PEALD製程過程中,電漿可以從微波(MW)頻率產生器或射頻(RF)產生器產生。雖然在本文所揭示的PEALD製程過程中可以使用電漿,但應注意到,電漿並非必須的。事實上,其他的實施例係關於在非常溫和的條件下不使用電漿的ALD。
本發明之另一個態樣係關於在基板上沉積薄膜的設備,以進行依據任何的上述實施例之製程。在一個實施例中,該設備包含用於在基板上進行原子層薄膜沉積的沉積腔室。該腔室包含用於支撐基板的製程區域。該設備包括前驅物入口,該前驅物入口與Hf(BH4)4或Zr(BH4)4前驅物的供應流體連接。該設備包括反應物氣體入口,該反應物氣體入口與上面討論的共反應物之供應流體連接。該設備進一步包括淨化氣體入口,該淨化氣體入口與淨化氣體流體連接。該設備可以進一步包括真空端口,該真空端口用於從沉積腔室去除氣體。該設 備可以進一步包括用於供應一或多個輔助氣體到沉積腔室的輔助氣體入口,該輔助氣體如惰性氣體。該沉積可以進一步包括用於藉由輻射及/或電阻熱加熱基板的工具。
在一些實施例中,可以將在本文所述方法的過程中可用於沉積或形成光阻材料的電漿系統和處理腔室或系統實施於PRODUCER®、CENTURA®或ENDURA®系統中之任一者上,該等系統皆可向位於加州聖大克勞拉市的應用材料公司(Applied Materials,Inc.,located in Santa Clara,Calif.)取得。ALD處理腔室之詳細描述可在一般受讓的美國專利第6,878,206號、第6,916,398號以及第7,780,785號中找到。
ALD製程提供處理腔室或沉積腔室可以在從約0.01托至約100托範圍內的壓力下進行加壓,例如從約0.1托至約10托,以及更具體地從約0.5托至約5托。同樣地,依據一或多個實施例,可以加熱腔室或基板,使得沉積可以在低於約200℃的溫度下發生。在其他的實施例中,沉積可以在低於約100℃的溫度下發生,而且在其他的實施例中,沉積甚至可以在低至約室溫的溫度下發生。在一個實施例中,沉積係在約50℃至約100℃的溫度範圍中進行。
基板可以是任何上述類型的基板。可選擇的處理步驟涉及藉由以電漿或其他適當的表面處理來處理基板而準備基板,以提供在基板表面上的活性位點。適當的活性 位點之實例包括但不限於O-H、N-H或S-H終止表面。然而,應注意到,此步驟不是必需的,並且可以在不添加該種活性位點的情況下進行依據本發明之各種實施例的沉積。
輸送「A」前驅物至基板表面
可以使基板曝露於「A」前驅物氣體或蒸汽,該「A」前驅物氣體或蒸汽係藉由使載體氣體(例如氮氣或氬氣)通過「A」前驅物的安瓿所形成,該「A」前驅物可以處於液體形式。可以加熱該安瓿。可以以任何適當的流動速率輸送該「A」前驅物氣體,該適合的流動速率是在從約10 sccm至約2,000 sccm的範圍內,例如從約50 sccm至約1,000 sccm,並且在特定的實施例中係從約100 sccm至約500 sccm,例如約200 sccm。可以使該基板曝露於含金屬的「A」前驅物氣體持續一段時間,該段時間係在從約0.1秒至約10秒的範圍內,例如從約1秒至約5秒,而且在具體的實例中,持續約2秒。一旦前驅物已經吸附於基板表面上所有活性的表面部分,則停止「A」前驅物氣體的流動。在表現理想的ALD製程中,該表面可立即被活性的前驅物「A」飽和。
第一次淨化
在停止「A」前驅物氣體的流動之後,可使基板和腔室進行淨化步驟。可以在從約10 sccm至約2,000 sccm範圍內的流動速率將淨化氣體注入處理腔室,例如從約50 sccm至約1,000 sccm,並且在具體的實例中,從約100 sccm至約500 sccm,例如約200 sccm。淨化步驟去除處理腔室內任何過量的前驅物、副產物及其他污染物。淨化步驟可以進行在約0.1秒至約8秒範圍內的一段時間,例如從約1秒至約5秒,而且在具體的實例中從約4秒。該載體氣體、該淨化氣體、該沉積氣體或其他的處理氣體可含有氮氣、氫氣、氬氣、氖氣、氦氣或上述氣體之組合。在一個實例中,該載體氣體包含氮氣。
輸送「B」共反應物至基板表面
在第一次淨化之後,可將該基板活性位點曝露於「B」共反應物氣體或蒸汽,該「B」共反應物氣體或蒸汽係藉由使載體氣體(例如氮氣或氬氣)通過「B」共反應物的安瓿所形成。可以加熱該安瓿。可以以任何適當的流動速率輸送該「B」共反應物氣體,該適當的流動速率是在從約10 sccm至約2,000 sccm的範圍內,例如從約50 sccm至約1,000 sccm,並且在特定的實施例中係約200 sccm。可以使該基板曝露於該「B」共反應物氣體持續一段時間,該段時間係在從約0.1秒至約8秒的範圍內,例如從約1秒至約5秒,而且在具體的實例中,持續約2秒。一旦「B」已經吸附於先前步驟中沉積的「A」前驅物上並立即與該「A」前驅物反應,則停止「B」共反應物氣體的流動。
第二次淨化
在停止「B」共反應物氣體的流動之後,可使基板和腔室進行淨化步驟。可以在約10 sccm至約2,000 sccm範 圍內的流動速率將淨化氣體注入處理腔室,例如從約50 sccm至約1,000 sccm,並且在具體的實例中,從約100 sccm至約500 sccm,例如約200 sccm。淨化步驟去除處理腔室內任何過量的前驅物、副產物及其他污染物。淨化步驟可以進行在從約0.1秒至約8秒範圍內的一段時間,例如約1秒至約5秒,而且在具體的實例中從約4秒。該載體氣體、該淨化氣體、該沉積氣體或其他的處理氣體可含有氮氣、氫氣、氬氣、氖氣、氦氣或上述氣體之組合。在一個實例中,該載體氣體包含氮氣。該「B」共反應物氣體也可以處於電漿的形式,且該電漿係從該製程腔室之遠端產生。
由於薄膜的優異品質,本文中所述的低溫ALD製程有各種潛在的用途。預期依據本文中所述的各種實施例所沉積的含鉿和鋯的薄膜為高度保形的。該含鉿和鋯的薄膜也可以是耐蝕刻的。特定而言,HfBOx薄膜展現高度的乾刻蝕選擇性,尤其是與基於SiO2的薄膜相比。這種薄膜包括在光阻下方用作抗反射塗層的基於旋塗矽氧烷的層或SiON層,例如介電質抗反射塗層(DARC)。如上面所討論的,基於SiO2的薄膜無法用來作為用於自對準雙重圖案化方法之下層(該自對準雙重圖案化方法使用低溫ALD SiO2薄膜),因為基於SiO2的薄膜表現出不足的蝕刻選擇性。因此,在一個實施例中,將薄膜沉積到光阻上。
在某些實施例中,依據上述一或多個實施例的HfBOx 薄膜之低溫ALD係於圖案化光阻薄膜上方進行,該圖案化光阻薄膜係直接形成於該基於矽的介電層上方。這允許隨後的氧電漿剝離步驟可選擇性地去除有機光阻核心層,而不會明顯地影響HfBOx薄膜與該基於矽的介電薄膜之間的介面。同樣地,在某些實施例中,可以在HfBOx ALD製程之前經由下方的DARC硬光罩薄膜來轉移光阻圖案,以產生幾乎完美對準的互補式硬光罩組合。
對於這些含鉿和鋯的薄膜,額外的優點在於可以直接將這些薄膜沉積到光阻材料上。因為沉積在低溫下進行,所以損壞光阻材料的風險極低。此外,也不需要更高能量的方法,如電漿,從而也最小化光阻損壞的風險。
因此,在需要該種特性之處這些薄膜將可作用良好,諸如自對準雙重圖案化(SADP)和四重圖案化。第1A-E圖圖示這種SADP製程之實例。來到第1A圖,基板100上層疊DARC層110。將光阻沉積於DARC層110上並將光阻圖案化,以提供圖案化光阻120。如在第1B圖中所圖示,依據本文中所述的一或多個實施例,可以將間隔薄膜130沉積於圖案化光阻120和DARC層110上。舉例來說,間隔薄膜130可以是使用Hf(BH4)4前驅物與氧化劑共反應物沉積的HfBOx薄膜。在第1C圖中,蝕刻間隔薄膜130以藉由從水平表面去除間隔薄膜130而形成間隔物。來到第1D圖,原始的圖案化光阻120被蝕刻掉,只留下所剩下的間隔薄膜130。然後可以使用該等間隔物作為導引而蝕刻基板100,而且剝離剩餘的 DARC 110和間隔薄膜130,以提供第1E圖中經蝕刻的基板100。本文中所述的薄膜(如HfBOx薄膜)之間的選擇性使此製程得以進行。如上所述,在沒有這樣的選擇性之情況下,則必須在沉積該間隔薄膜之前將帽(如SiON)放置於光阻上。該等帽防止意外地蝕刻掉圖案化光阻。
使用依據本文中所述的一或多個實施例沉積的薄膜之額外效益係關於某些促進揮發性前驅物反應(包括那些造成沉積的反應)的表面之固有選擇性。舉例來說,在缺乏沉積HfBOx介電層使用類型的共反應物之情況下,Hf(BH4)4前驅物可以在後轉移金屬的表面上展現選擇性的分解,以形成HfB2薄膜以及潛在的混合金屬合金相。
本文中所述的薄膜和方法之又另一種應用是在有機發光二極體(OLED)中,該有機發光二極體為其中放射性電致發光層為有機化合物薄膜的發光二極體。此有機化合物層響應電流而發光。OLED的問題一直是需要確保密封/封裝,以避免因空氣和溼氣而降解。然而,本文中所述的薄膜可以提供用於OLED鈍化的解決方案,因為依據本發明之各種實施例的薄膜可以在寬溫度範圍(包括室溫)下開始生長,並可提供沉積堅固的、無針孔的非晶形介電玻璃之無氧條件。在使用H2O作為唯一氧源的共反應物(在非氧化條件下)之實施例中,這尤其是真實的。在特定的實施例中,共反應物包括H2O,並且共反應物的流動並未完全飽和表面。據認為,這將最小 化不希望發生的H2O滲透進入敏感的OLED層之可能性。
也可能獲得良好的空氣和溼氣阻障性質。在相關的實施例中,所沉積的薄膜為缺氧的(且富含氫),從而提供O2及/或H2O吸氣效果。在特定的實施例中,共反應物的流動未飽和基板表面,特別是在沉積程序開始時(且下層仍然曝露)。
實例
實例1
使用Hf(BH4)4前驅物和水沉積薄膜於圖案化矽晶圓上。加熱晶圓到100℃。使用塗覆有機BARC和圖案化光阻的裸矽晶圓作為基板。在1托的壓力下使鉿前驅物脈衝化進入腔室0.5秒。5秒後,抽空腔室並用氮氣淨化。然後在16托的壓力下將水脈衝化進入腔室1秒。同樣,在5秒後,抽空腔室並用氮氣淨化。重複該程序75個循環。產生的薄膜厚度為221 Å,每個循環生長約2.9 Å。在633 nm量測該薄膜之折射率為1.68。不使用電漿沉積該薄膜。第2圖和第3圖為所沉積薄膜從兩個不同觀點的掃描電子顯微鏡照片。如此圖中所見,該薄膜為高度保形的。
實例2
使用Hf(BH4)4前驅物和30% H2O2在水中的混合物沉積薄膜於圖案化矽晶圓上。加熱腔室到100℃的溫度。使用裸矽晶圓作為基板。在1.7托的壓力下使鉿前驅物 脈衝化進入腔室0.5秒。30秒後,抽空腔室並用氮氣淨化。然後在16托的壓力下將水過氧化物混合物脈衝化進入腔室1秒。同樣,在30秒後,抽空腔室並用氮氣淨化。重複該程序75個循環。產生的薄膜厚度為233 Å,每個循環生長約3.11 Å。在633 nm量測該薄膜之折射率為1.67。拉塞福後向散射(Rutherford backscattering,RBS)、核子反應分析(nuclear reaction analysis,NRA)及氫氣正向散射光譜(hydrogen forward scattering spectrometry,HFS)分析顯示,該薄膜含有約7.3原子%鉿、48.4%氧、25%硼、19.3%氫。
實例3
使用Hf(BH4)4前驅物和水共反應物沉積薄膜於圖案化矽晶圓上。腔室未經加熱並且在室溫操作。使用裸矽晶圓作為基板。在1托的壓力下使鉿前驅物脈衝化進入腔室0.5秒。5秒後,抽空腔室並用氮氣淨化。然後在16托的壓力下將水脈衝化進入腔室1秒。同樣,在5秒後,抽空腔室並用氮氣淨化。重複該程序75個循環。產生的薄膜厚度為363.2 Å,每個循環生長約4.8 Å。在633 nm量測該薄膜之折射率為1.63。
貫穿本說明書提及的「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」係指關於該實施例所述的特定特徵、結構、材料或特性係被包括在本發明之至少一個實施例中。因此,貫穿本說明書各處出現的片語如「在一或多個實施例中」、「在某些實施例中」、「在 一個實施例中」或「在一實施例中」不一定是指相同的本發明實施例。此外,可以在一或多個實施例中以任何適合的方式組合特定的特徵、結構、材料或特性。
雖然已經參照特定的實施例描述了本文中的發明,但瞭解到,這些實施例僅是對本發明的原理和應用之說明。對於本技術領域中具有通常知識者而言,在不偏離本發明之精神和範圍下對本發明之方法和設備作出的各種修改和變化將是顯而易見的。因此,意圖使本發明包括在隨附申請專利範圍及申請專利範圍均等物之範圍內的修改和變化。
100‧‧‧基板
110‧‧‧DARC層
120‧‧‧圖案化光阻
130‧‧‧間隔薄膜
第1A-E圖為在光阻上使用依據本發明之實施例沉積的HfBOx薄膜間隔物的自對準雙重圖案化製程之圖示;以及第2圖為依據本發明之實施例沉積的HfBOx薄膜之掃描電子顯微鏡影像。
第3圖為依據本發明之實施例沉積的HfBOx薄膜之掃描電子顯微鏡影像。
100‧‧‧基板
110‧‧‧DARC層
120‧‧‧圖案化光阻

Claims (20)

  1. 一種在一基板上的薄膜,該薄膜包含一鉿、硼及氧。
  2. 如請求項1所述之薄膜,該薄膜進一步包含氫。
  3. 如請求項1所述之薄膜,其中該薄膜具有一經驗式HfBxOyHz,以及其中x之一值係大於約0至約4,y之一值係大於約0至約10,及z之一範圍係從約0至約10。
  4. 一種沉積一含金屬薄膜之方法,該方法包含依序曝露一基板表面於一M(BH4)4前驅物及一共反應物之交替流動,以提供一薄膜,其中M為一選自鉿及鋯之金屬。
  5. 如請求項4所述之方法,其中該共反應物包含一氧化劑。
  6. 如請求項5所述之方法,其中該氧化劑係選自H2O、H2O2、O2、O3及上述物質之混合物。
  7. 如請求項4所述之方法,其中M為鉿。
  8. 如請求項7所述之方法,其中該共反應物包含一氧化 劑,以及該薄膜包含鉿、硼及氧。
  9. 如請求項4所述之方法,其中M為鋯。
  10. 如請求項9所述之方法,其中該共反應物包含一氧化劑,以及該薄膜包含鋯、硼及氧。
  11. 如請求項4所述之方法,其中該共反應物包含NH3
  12. 如請求項11所述之方法,其中M為鉿,以及該薄膜包含鉿、硼及氮。
  13. 如請求項4所述之方法,其中該方法係於低於約200℃之一溫度下進行。
  14. 如請求項13所述之方法,其中該溫度具有一在約室溫至約100℃的範圍。
  15. 如請求項4所述之方法,其中該薄膜係沉積於一光阻上。
  16. 如請求項4所述之方法,其中該共反應物係選自WF6及RuO4
  17. 如請求項16所述之方法,其中該薄膜包含M、鎢及硼。
  18. 如請求項16所述之方法,其中該沉積的薄膜包含M、釕、硼及氧。
  19. 如請求項4所述之方法,其中該共反應物流動未完全飽和該基板表面。
  20. 一種沉積一含金屬薄膜之方法,該方法包含依序曝露一基板於一Hf(BH4)4前驅物及一共反應物之交替流動,以提供一薄膜,該共反應物包含一氧化劑。
TW101139933A 2011-11-04 2012-10-29 利用包含鉿或鋯之前驅物之膜的原子層沉積 TW201323647A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/289,657 US20130113085A1 (en) 2011-11-04 2011-11-04 Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium

Publications (1)

Publication Number Publication Date
TW201323647A true TW201323647A (zh) 2013-06-16

Family

ID=48192619

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101139933A TW201323647A (zh) 2011-11-04 2012-10-29 利用包含鉿或鋯之前驅物之膜的原子層沉積

Country Status (3)

Country Link
US (1) US20130113085A1 (zh)
TW (1) TW201323647A (zh)
WO (1) WO2013066666A1 (zh)

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8828839B2 (en) * 2013-01-29 2014-09-09 GlobalFoundries, Inc. Methods for fabricating electrically-isolated finFET semiconductor devices
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI559381B (zh) * 2013-02-19 2016-11-21 應用材料股份有限公司 金屬合金薄膜的原子層沉積
CN103441068B (zh) * 2013-08-16 2016-03-30 上海华力微电子有限公司 基于darc掩膜结构的双重图形成型方法
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103943468A (zh) * 2014-05-08 2014-07-23 上海华力微电子有限公司 一种图形自对准形成方法
CN103943469A (zh) * 2014-05-08 2014-07-23 上海华力微电子有限公司 一种图形自对准形成方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US20190189447A1 (en) * 2017-12-19 2019-06-20 Lam Research Corporation Method for forming square spacers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002015243A1 (fr) * 2000-08-11 2002-02-21 Tokyo Electron Limited Dispositif et traitement de substrat
KR101584100B1 (ko) * 2009-10-29 2016-01-13 삼성전자주식회사 금속 실리케이트 막의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
US20110206937A1 (en) * 2010-02-25 2011-08-25 Schmidt Wayde R Composite article having a ceramic nanocomposite layer

Also Published As

Publication number Publication date
WO2013066666A1 (en) 2013-05-10
US20130113085A1 (en) 2013-05-09

Similar Documents

Publication Publication Date Title
TW201323647A (zh) 利用包含鉿或鋯之前驅物之膜的原子層沉積
CN108122739B (zh) 拓扑限制的等离子体增强循环沉积的方法
US10991573B2 (en) Uniform deposition of SiOC on dielectric and metal surfaces
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
US20130115778A1 (en) Dry Etch Processes
TW202035764A (zh) 選擇性沉積氮化矽層之方法及包括經選擇性沉積氮化矽層之結構
US20200013629A1 (en) Semiconductor processing apparatus
KR102183336B1 (ko) 민감성 기판 상에 막을 증착하는 방법
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
KR20160063271A (ko) 주기적인 알루미늄 산질화물 퇴적
TW201346056A (zh) 由金屬脒鹽前驅物製造介電膜的方法
US9881787B2 (en) Deposition methods for uniform and conformal hybrid titanium oxide films
US10199223B2 (en) Semiconductor device fabrication using etch stop layer
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
TWI515803B (zh) 矽化鉭內的摻雜鋁
TW202237880A (zh) 低電阻脈衝cvd鎢
CN114262878A (zh) 氧化硅沉积方法
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
KR102470043B1 (ko) 알루미늄 및 질소 함유 재료의 선택적 증착
US20220181148A1 (en) Silicon precursors for silicon nitride deposition
TW202240004A (zh) 高通量沈積方法
TW202328159A (zh) 鉬前驅物化合物
CN112041980A (zh) 利用硼成核层的低温钼膜沉积
KR20180123436A (ko) 기판 상에 실리콘 질화막을 형성하는 방법 및 관련 반도체 소자 구조체