KR102470043B1 - 알루미늄 및 질소 함유 재료의 선택적 증착 - Google Patents

알루미늄 및 질소 함유 재료의 선택적 증착 Download PDF

Info

Publication number
KR102470043B1
KR102470043B1 KR1020210101938A KR20210101938A KR102470043B1 KR 102470043 B1 KR102470043 B1 KR 102470043B1 KR 1020210101938 A KR1020210101938 A KR 1020210101938A KR 20210101938 A KR20210101938 A KR 20210101938A KR 102470043 B1 KR102470043 B1 KR 102470043B1
Authority
KR
South Korea
Prior art keywords
substrate
cycles
deposition
implementations
precursor
Prior art date
Application number
KR1020210101938A
Other languages
English (en)
Other versions
KR20210101174A (ko
Inventor
한 왕
치 셰
델피너 롱리
얀 빌럼 마스
다비트 더 루스트
줄리안 시에
치유 주
티모 아시카이넨
크르지스토프 카헐
하랄트 프로페이트
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/432,263 external-priority patent/US10121699B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210101174A publication Critical patent/KR20210101174A/ko
Priority to KR1020220155211A priority Critical patent/KR102597990B1/ko
Application granted granted Critical
Publication of KR102470043B1 publication Critical patent/KR102470043B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 전도성 표면 상에 Al 및 N 함유 재료를 선택적으로 증착하기 위한 방법들을 제공한다. 일부 양태에서, 집적회로 제조에서 사용하기 위한, Al 및 N 함유 보호층 또는 식각 정지층을 형성하기 위한 방법들을 제공한다.

Description

알루미늄 및 질소 함유 재료의 선택적 증착{SELECTIVE DEPOSITION OF ALUMINUM AND NITROGEN CONTAINING MATERIAL}
관련 출원의 상호 참조
본 출원은 "알루미늄 및 질소 함유 재료의 선택적 증착"이라는 제목을 가진 미국 출원번호 14/819,274호의 일부계속출원으로서, 개시된 전체 내용을 본원에 참조로 통합한다. 본 출원은 또한 "원자층 식각 방법"이라는 제목을 가진 미국 가출원번호 62/438,055호에 관한 것으로서, 개시된 전체 내용을 본원에 참조로 통합한다.
본 발명은 기판의 제2 표면에 상대적인 제1 표면 상에서 알루미늄 및 질소 함유 재료, 예를 들어 Al 및 N 함유 박막의 선택적 증착에 관한 것이다.
현재, 집적 회로는 다양한 재료층이 기판 상에 소정의 배열로 순차적으로 구성되는 정교한 공정에 의해 제조된다.
기판 상에 재료층들의 소정 배열은 전체 기판 표면 상에 재료를 증착한 다음 기판의 소정 영역으로부터 그 재료를 제거, 예를 들어 마스크층의 증착 및 이후의 선택적인 식각 공정에 의해 종종 이루어진다.
특정 경우, 기판 상에 집적 회로를 제조하는 데 포함되는 단계들의 수는 선택적 증착 공정을 사용함으로써 감소될 수 있는 데, 후속 공정에 대한 필요를 없애기 위해서나 후속 공정에 대한 필요를 줄이고자 제2 표면에 상대적인 제1 표면 상에 재료가 선택적으로 증착된다. 기판의 제2 표면에 상대적인 기판의 제1 표면 상에서 선택적 증착을 위한 방법들이 본원에서 개시된다.
일부 양태에서, 알루미늄 및 질소를 포함하는 재료를 선택적으로 증착하기 위한 방법들을 제공한다. 일부 구현예에서, 알루미늄 및 질소를 포함하는 재료는 하나 이상의 증착 사이클을 포함하는 방법에서 기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 표면 상에 증착되는 데, 상기한 증착 사이클은 상기 기판을 알루미늄을 포함하는 제1 기상 전구체와 접촉시키는 단계 및 기판을 질소를 포함하는 제2 기상 전구체와 접촉시키는 단계를 포함한다. 일부 구현예에서, 알루미늄 및 질소를 포함하는 재료는 하나 이상의 증착 사이클을 포함하는 방법에서 기판의 제2 Si-O 표면에 상대적인 동일 기판의 제1 표면 상에 증착되는 데, 상기한 증착 사이클은 상기 기판을 알루미늄을 포함하는 제1 기상 전구체와 접촉시키는 단계 및 기판을 질소를 포함하는 제2 기상 전구체와 접촉시키는 단계를 포함한다. 일부 구현예에서, 알루미늄 및 질소를 포함하는 재료는 하나 이상의 증착 사이클을 포함하는 방법에서 기판의 제2 비전도성 표면에 상대적인 동일 기판의 제1 표면 상에 증착되는 데, 상기 증착 사이클은 상기 기판을 알루미늄을 포함하는 제1 기상 전구체와 접촉시키는 단계 및 기판을 질소를 포함하는 제2 기상 전구체와 접촉시키는 단계를 포함한다. 일부 구현예에서, 알루미늄 및 질소를 포함하는 상기 재료는 상기 기판의 제2 유전체 표면에 상대적인 상기 동일 기판의 제1 표면 상에 약 50%를 초과하는 선택도를 갖고서 증착된다. 일부 구현예에서, 상기 제1 표면은 구리, 질화 티타늄, 텅스텐 및 질화 실리콘 중 적어도 하나를 포함한다. 일부 구현예에서, 알루미늄과 질소를 포함하는 상기 재료는 질화 알루미늄 박막이다. 일부 구현예에서, 질화 알루미늄 박막은 산소를 포함한다.
일부 구현예에서, 제1 기상 전구체는 유기금속 알루미늄 화합물이다. 일부 구현예에서, 알루미늄을 포함하는 제1 기상 전구체는 알루미늄 이외의 임의 금속들을 포함하지 않는다. 일부 구현예에서, 알루미늄을 포함하는 제1 기상 전구체는 R3Al의 식을 가지며, R 각각은 C1-C4 알킬기들로부터 독립적으로 선택될 수 있다. 일부 구현예에서, 알루미늄을 포함하는 제1 기상 전구체는 할라이드를 포함하지 않는다. 일부 구현예에서, 알루미늄을 포함하는 제1 기상 전구체는 하나의 염소 리간드와 적어도 두 개의 알킬 리간드를 포함한다. 일부 구현예에서, 알루미늄을 포함하는 제1 기상 전구체는 하나의 수소 리간드와 적어도 하나의 알킬 리간드를 포함한다. 일부 구현예에서, 알루미늄을 포함하는 제1 기상 전구체는 질소, 실리콘 또는 산소를 포함하지 않는다. 일부 구현예에서, 알루미늄을 포함하는 제1 기상 전구체는 트리에틸알루미늄(TEA), 트리메틸알루미늄(TMA) 또는 트리터트부틸알루미늄(TTBA)을 포함하고 질소를 포함하는 제2 기상 전구체는 NH3를 포함한다.
일부 구현예에서, 제2 유전체 표면은 Si-O 결합을 포함한다. 일부 구현예에서, 상기 방법은 열적 원자층 증착(ALD) 공정을 포함한다. 일부 구현예에서, 상기 방법은 적어도 2회의 연속적인 증착 사이클에서 플라즈마를 포함하지 않는다. 일부 구현예에서, 상기 방법은 제1 증착 사이클 전에 상기 기판을 전처리 반응물에 노출시키는 단계를 더 포함한다. 일부 구현예에서, 상기 전처리 반응물은 플라즈마를 포함한다. 일부 구현예에서, 제1 증착 사이클 전에 상기 기판을 전처리 반응물에 노출시키는 단계는 약 2를 초과하는 인자만큼 선택도를 향상시킨다. 일부 구현예에서, 상기 방법은 적어도 한 번의 증착 사이클 후에 상기 기판을 노출시키는 단계를 더 포함한다. 일부 구현예에서, 상기 기판은 10회를 초과하는 증착 사이클 후 플라즈마에 노출된다.
일부 구현예에서, 알루미늄과 질소를 포함하는 재료는 희석 HF에서 SiO2에 대한 식각 선택도를 가진다. 일부 구현예에서, 기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 표면 상에 증착된, 알루미늄과 질소를 포함하는 재료의 비는 약 10:1보다 크고, 기판의 제1 표면 상에 증착된, 알루미늄과 질소를 포함하는 재료의 두께는 약 5 nm보다 크다. 일부 구현예에서, 기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 표면 상에 증착된, 알루미늄과 질소를 포함하는 재료의 비는 약 10:1보다 크고, 기판의 제1 표면 상에 증착된, 알루미늄과 질소를 포함하는 재료의 두께는 약 1 nm보다 크다. 일부 구현예에서, 기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 표면 상에 증착된, 알루미늄과 질소를 포함하는 재료의 비는 약 10:1보다 크고, 상기 방법은 약 1 내지 25 증착 사이클을 포함한다. 일부 구현예에서, 기판의 제2 유전체 표면에 대한 동일 기판의 제1 표면 상에 증착된, 알루미늄과 질소를 포함하는 재료의 비는 약 10:1보다 크고, 상기 방법은 1 내지 150 증착 사이클을 포함한다. 일부 구현예에서, 약 1 내지 25회 증착 사이클 후 약 0.1 nm 미만의 알루미늄과 질소를 포함하는 재료가 기판의 제2 유전체 표면 상에 증착된다. 일부 구현예에서, SiO2의 습식 식각율에 대한 증착된 알루미늄과 질소 함유 재료의 습식 식각율의 비는 약 1:5 미만이다.
일부 구현예에서, 기판의 제2 유전체 표면은 소스/드레인 영역 위에 놓이고, 상기 방법은 기판의 제2 유전체 표면을 제거하여 기판의 소스/드레인 영역을 노출하는 단계 및 기판의 노출된 소스/드레인 영역 상에 콘택을 형성하는 단계를 더 포함한다.
일부 양태에서, 기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 표면 상에 AlN을 선택적으로 증착하기 위한 방법들을 제공한다. 일부 구현예에서, 상기 방법은 상기 기판을 기상의 트리터트부틸알루미늄(TTBA) 및 기상의 NH와 교대로 순차적으로 접촉시키는 단계를 포함하는 하나 이상의 사이클을 포함할 수 있다. 일부 구현예에서, AlN은 상기 기판의 제2 유전체 표면에 상대적인 상기 동일 기판의 제1 표면 상에 약 50%를 초과하는 선택도를 갖고서 증착된다. 일부 구현예에서, 제2 유전체 표면은 Si-O 결합을 포함한다. 일부 구현예에서, 상기 방법은 열적 원자층 증착(ALD) 공정을 포함한다. 일부 구현예에서, 상기 방법은 적어도 2회의 연속적인 증착 사이클에서 플라즈마를 포함하지 않는다. 일부 구현예에서, 상기 방법은 제1 증착 사이클 전에 상기 기판을 전처리 반응물에 노출시키는 단계를 더 포함한다. 일부 구현예에서, 상기 전처리 반응물은 플라즈마를 포함한다. 일부 구현예에서, 상기 방법은 위에서 기술된 본원의 임의의 다른 구현예의 특징들 일부 또는 전부를 포함할 수 있다.
일부 양태에서, 자기정렬된 콘택 형성으로 식각 저지층을 형성하기 위한 방법들을 제공한다. 일부 구현예에서, 방법은 소스/드레인 영역 위에 놓인 제1 표면 및 제2 표면을 포함하는 반도체 기판을 제공하는 단계, 상기 기판의 상기 제1 표면의 일부를 제거하여 상기 기판에 리세스를 형성하는 단계, 상기 제2 유전체 표면에 상대적인 상기 제1 표면 상에 알루미늄 및 질소를 포함하는 재료를 선택적으로 증착하는 단계, 상기 기판의 상기 제2 유전체 표면을 제거하여 상기 기판의 소스/드레인 영역을 노출시키는 단계, 및 상기 기판의 상기 노출된 소스/드레인 영역 상에 콘택을 형성하는 단계를 포함할 수 있다. 일부 구현예에서, 상기 제1 표면은 구리, 질화 티타늄, 텅스텐 및 질화 실리콘 중 적어도 하나를 포함한다. 일부 구현예에서, 알루미늄과 질소를 포함하는 상기 재료는 질화 알루미늄 박막이다. 일부 구현예에서, 질화 알루미늄 박막은 산소를 포함한다. 일부 구현예에서, 상기 방법은 위에서 기술된 본원의 임의의 다른 구현예의 특징들 일부 또는 전부를 포함할 수 있다.
일부 양태에 따르면, 상이한 제2 표면에 상대적인 제1 표면 상에 AlN을 선택적으로 형성하기 위한 방법들을 제공한다. 일부 구현예에서, 상기 방법은, 상이한 제2 표면에 상대적인 제1 표면 상에 AlN을 선택적으로 증착하는 단계 및 상기 증착된 AlN을 식각하는 단계를 포함하는 하나 이상의 슈퍼-사이클을 포함하고, 상기 증착된 AlN을 식각하는 단계는 상기 기판의 상기 제2 표면으로부터 상기 증착된 AlN 전부를 실질적으로 제거하고 상기 기판의 상기 제1 표면으로부터 상기 AlN 전부를 제거하지 않는다.
일부 구현예에서, 상기 슈퍼-사이클은 원하는 두께의 AlN 박막이 상기 제1 표면 상에 증착될 때까지 AlN을 선택적으로 증착하는 단계 및 상기 증착된 AlN을 식각하는 단계를 반복하는 것을 더 포함한다. 일부 구현예에서, 상기 슈퍼-사이클은 AlN을 선택적으로 증착하기 전에 상기 기판을 전처리 반응물에 노출시키는 단계를 더 포함한다. 일부 구현예에서, 상기 전처리 반응물은 플라즈마를 포함한다. 일부 구현예에서, 상기 플라즈마는 H2를 포함하는 가스로부터 발생된다.
일부 구현예에서, 상이한 제2 표면에 상대적인 제1 표면 상에 AlN을 선택적으로 증착하는 단계는 알루미늄 포함하는 제1 기상 전구체와 상기 기판을 접촉시키는 단계 및 질소를 포함하는 제2 기상 전구체와 상기 기판을 접촉시키는 단계를 포함하는 하나 이상의 선택적 증착 서브-사이클을 수행하는 단계를 포함하고, 상기 AlN은 상기 기판의 제2 유전체 표면에 상대적인 동일 기판의 제1 표면 상에 약 5%를 초과하는 선택도를 갖고서 증착된다. 일부 구현예에서, 상기 선택적 증착 서브-사이클은 원하는 두께의 AlN이 상기 제1 표면 상에 증착될 때까지 상기 선택적 증착 서브-사이클을 반복하는 단계를 더 포함한다. 일부 구현예에서, AlN을 선택적으로 증착하는 단계는 상기 선택적 증착 서브-사이클이 더 이상 선택적이지 않을 때까지 상기 선택적 증착 서브-사이클을 반복하는 단계를 포함한다.
일부 구현예에서, 알루미늄을 포함하는 제1 기상 전구체는 트리터트부틸알루미늄(TTBA), 트리메틸알루미늄(TMA) 또는 트리에틸알루미늄(TEA) 중 하나를 포함한다. 일부 구현예에서, 질소를 포함하는 상기 제2 기상 전구체는 NH3를 포함한다.
일부 구현예에서, 상기 증착된 AlN을 식각하는 단계는 원자층 식각(ALE) 공정을 포함하고, 원자층 식각 공정은 제1 기상 할라이드 식각 반응물과 상기 기판을 접촉시키는 단계 및 알루미늄을 포함하는 제2 기상 식각 반응물과 상기 기판을 접촉시키는 단계를 포함하는 하나 이상의 식각 서브-사이클을 포함한다. 일부 구현예에서, 상기 제1 기상 할라이드 식각 반응물은 NF3 또는 NbF5를 포함한다. 일부 구현예에서, 알루미늄을 포함하는 상기 제2 기상 식각 반응물은 트리메틸알루미늄(TMA) 또는 트리에틸알루미늄(TEA)을 포함한다. 일부 구현예에서, 상기 식각 서브-사이클은 약 300℃의 공정 온도에서 수행된다. 일부 구현예에서, 상기 제1 표면은 W를 포함하고 상기 제2 표면은 SiO2를 포함한다. 일부 구현예에서, 상기 제1 표면은 TiN을 포함하고 상기 제2 표면은 SiO2를 포함한다. 일부 구현예에서, AlN은 제2 표면에 상대적인 상기 기판의 제1 표면 상에 약 99%를 초과하는 선택도를 갖고서 선택적으로 형성된다.
일부 구현예에서, 상이한 제2 표면에 상대적인 제1 표면 상에 AlN을 선택적으로 증착하는 단계는 선택적 증착 서브-사이클을 수행하는 단계를 포함하고, 상기 선택적 증착 서브-사이클은 1 내지 약 300회 반복되고, 상기 증착된 AlN을 식각하는 단계는 식각 서브-사이클이 1 내지 약 150회 반복되는 원자층 식각(ALE) 공정을 포함한다.
일부 구현예에서, 기판의 상이한 제2 표면에 상대적인 동일 기판의 제1 표면 상에 AlN을 선택적으로 증착하기 위한 방법은 슈퍼-사이클을 포함하고, 상기 슈퍼-사이클은, AlN이 상기 기판의 상이한 제2 표면에 상대적인 동일 기판의 제1 표면 상에 약 5%를 초과하는 선택도를 갖고서 증착되도록 알루미늄을 포함하는 제1 기상 전구체 및 질소를 포함하는 제2 기상 전구체와 상기 기판을 교대로 순차적으로 접촉시키는 단계를 포함하는 선택적 증착 서브-사이클, 및 원자층 식각 단계가 상기 기판의 상기 제2 표면으로부터 상기 증착된 AlN 전부를 실질적으로 제거하고 상기 기판의 제1 표면으로부터 상기 AlN 전부를 실질적으로 제거하지 않도록 제1 기상 할라이드 식각 반응물 및 알루미늄을 포함하는 제2 기상 식각 반응물과 상기 기판을 교대로 순차적으로 접촉시키는 단계를 포함하는 원자층 식각 서브-사이클을 포함한다.
일부 구현예에서, 상기 슈퍼-사이클은 1회 이상 반복된다. 일부 구현예에서, 상기 슈퍼-사이클은 상기 선택적 증착 단계 전에 H2를 포함하는 가스로부터 발생된 플라즈마에 상기 기판을 노출시키는 단계를 더 포함한다.
일부 구현예에서, 알루미늄을 포함하는 제1 기상 전구체는 TMA를 포함하고, 질소를 포함하는 제2 기상 전구체는 NH3를 포함하고, 제1 기상 할라이드 식각 반응물은 NF3을 포함하고, 알루미늄을 포함하는 제2 기상 식각 반응물은 TMA를 포함한다. 일부 구현예에서, 상기 제1 표면은 전도성 표면이고 상기 제2 표면은 유전체 표면이다.
본 발명을 도시하는 의미를 갖고 본 발명을 한정하지는 않는 상세한 설명 및 첨부 도면들로부터 본 발명을 더 잘 이해할 것이고, 도면들 중:
도 1은 Al 및 N 함유 재료를 기판의 상이한 제2 표면에 상대적인 동일 기판의 제1 표면 상에 선택적으로 증착하기 위한 증착 방법 흐름을 도시하고;
도 2는 AlN을 기판의 상이한 제2 표면에 상대적인 동일 기판의 제1 표면 상에 선택적으로 증착하기 위한 증착 방법 흐름을 도시하고;
도 3은 Al 및 N 함유 재료를 기판의 상이한 제2 표면에 상대적인 동일 기판의 제1 표면 상에 선택적으로 증착하기 위한 증착 방법 흐름(선택적 증착 서브-사이클 및 원자층 식각 서브-사이클을 포함함)을 도시하고;
도 4는 자기정렬된 콘택 구조물들을 형성하기 위한 방법 흐름을 도시하고;
도 5는 자기정렬된 콘택 구조물들을 형성하기 위한 다른 방법 흐름을 도시하고;
도 6은 제2 SiO2 표면에 상대적인 제1 TiN 표면 상에 선택적으로 증착된 Al 및 N 함유 재료에 대한 증착된 재료 두께 대 증착 사이클의 수의 그래프이고;
도 7은 SiO2 및 자연산화물 제2 표면에 상대적인 제1 TiN 표면 상에 선택적으로 증착된 Al 및 N 함유 재료에 대한 증착된 재료 두께 대 증착 사이클의 수의 그래프이고;
도 8은 제2 자연산화물 표면에 상대적인 제1 TiN 표면 상에 선택적으로 증착된 Al 및 N 함유 재료에 대한 증착된 재료 두께 대 증착 사이클의 수의 그래프이고;
도 9는 제2 SiO2 표면에 상대적인 제1 TiN 또는 W 표면 상에 선택적으로 증착된 Al 및 N 함유 재료에 대한 증착된 재료 두께 대 증착 사이클의 수의 그래프이고;
도 10a는 SiO2에 상대적인 텅스텐 배선들 상에 선택적으로 증착된 AlN 주사 전자 현미경(SEM) 영상이고;
도 10b는 도 10a의 SEM 영상의 일부 확대도이다.
일부 구현예에서, 질화 알루미늄(AlN)과 같이 알루미늄(Al) 및 질소(N)를 포함하는 재료를 기판의 상이한 제2 표면에 상대적인 동일 기판의 일 표면 상에 선택적으로 증착하는 것이 바람직하다. 예를 들어, Al 및 N 함유 재료의 선택적 증착은 캐핑층(capping layer), 장벽층 또는 콘택 식각 저지층과 같은 식각 저지층들을 형성하기 위해 사용될 수 있다. 예를 들어, Al 및 N 함유 재료는 기판의 제1 표면 상에, 우선적으로는 동일 기판의 유전체 표면과 같이 상이한 제2 표면 상에 선택적으로 증착될 수 있다.
일부 구현예에서, 제1 표면 및 상이한 제2 표면을 포함하는 기판이 제공되고, Al 및 N 함유 재료는 복수의 증착 사이클을 포함하는 ALD형 공정을 이용하여 제2 표면에 상대적인 제1 표면 상에 선택적으로 증착되고, 각 증착 사이클은 기판을 기상의 제1 전구체 및 기상의 제2 전구체와 기판을 교대로 순차적으로 접촉시키는 단계를 포함한다. 일부 구현예에서, 선택적으로 증착된 Al 및 N 함유 재료는 AlN이다.
일부 구현예에서, AlN과 같이 Al 및 N 함유 재료는 전도성 표면 및 유전체 표면 모두를 포함하는 기판의 금속 표면 상에 선택적으로 증착된다. 일부 구현예에서, AlN은 기판의 제2 유전체 표면, 예컨대 SiO2 또는 낮은 k 표면에 상대적인 동일 기판의 제1 전도성 표면, 예컨대 Cu, W 또는 TiN 표면 상에 선택적으로 증착된다. 일부 구현예에서, AlN은 기판의 제2 유전체 표면, 예컨대 SiO2 또는 낮은 k 표면에 상대적인, 전도성 표면이 아닌 제1 표면, 예컨대 SiN 표면 상에 선택적으로 증착된다. 일부 구현예에서, AlN은 상이한 제2 표면에 상대적인 Cu 표면 상에 선택적으로 증착된다. 일부 구현예에서, AlN은 상이한 제2 표면에 상대적인 W 표면 상에 선택적으로 증착된다. 일부 구현예에서, AlN은 상이한 제2 표면에 상대적인 TiN 표면 상에 선택적으로 증착된다. 일부 구현예에서, AlN은 상이한 제2 표면에 상대적인 SiN 표면 상에 선택적으로 증착된다.
일부 구현예에서, AlN과 같이 알루미늄 및 질소 함유 재료를 선택적으로 증착하기 위한 방법들은 알루미늄 및 질소 함유 재료를 상이한 제2 표면에 상대적인 제1 표면 상에 선택적으로 증착한 후 상기 기판이 식각 공정을 거치는 단계를 포함할 수 있고, 상기 식각 공정은 기판의 제2 표면으로부터 임의의 증착된 알루미늄 및 질소 함유 재료 전부를 실질적으로 제거하고 상기 기판의 제1 표면으로부터 증착된 알루미늄 및 질소 함유 재료 전부를 실질적으로 제거하지 않는다. 일부 구현예에서, 상기 식각 공정은 원자층 식각 공정일 수 있다. 일부 구현예에서, 상기 원자층 식각 공정은 알루미늄을 포함하는 제1 기상 식각 반응물 및 제2 기상 할라이드 식각 반응물과 상기 기판을 교대로 순차적으로 접촉시키는 단계를 포함할 수 있다.
일부 구현예에서, AlN과 같은 알루미늄 및 질소 함유 재료를 선택적으로 증착하기 위한 방법들은 복수의 슈퍼-사이클을 포함할 수 있고, 상기 복수의 슈퍼-사이클은 기판의 제2 표면에 상대적인 상기 기판의 제1 표면 상에 Al 및 N 함유 재료를 선택적으로 증착하는 단계 및 임의의 증착된 Al 및 N 함유 재료 전부가 상기 제2 표면으로부터 실질적으로 제거되고 동시에 Al 및 N 함유 재료의 적어도 일부는 상기 제1 표면 상에 남아 있도록 상기 증착된 Al 및 N 함유 재료를 식각하는 단계를 포함한다. 이러한 선택적 증착 슈퍼-사이클은, 원하는 양의 Al 및 N 함유 재료가 상기 기판의 제1 표면 상에 증착될 때까지 선택적으로 반복될 수 있다. 일부 구현예에서, 각각의 선택적 증착 슈퍼-사이클 후에 알루미늄 및 질소 함유 재료는 제2 표면 상에 실질적으로 전혀 남지 않는다. 일부 구현예에서, 선택적 증착 공정 후에 알루미늄 및 질소 함유 재료는 제2 표면 상에 실질적으로 전혀 남지 않는다.
일부 구현예에서, 선택적 증착 슈퍼-사이클은 상기 Al 및 N 함유 재료를 선택적으로 증착하기 전에 전처리 공정을 수행하는 단계를 더 포함할 수 있다. 일부 실시예에서, 상기 전처리 공정은 상기 기판을 전처리 반응물에 노출시키는 단계를 포함할 수 있다: 일부 구현예에서, 상기 전처리 반응물은 플라즈마, 예컨대 H2를 포함하는 가스로부터 발생된 플라즈마를 포함할 수 있다.
ALD형 공정
ALD형 공정은 전구체 화학물질의 제어된 자기-제한 표면 반응을 기초로 한다. 기판을 전구체에 교대로 연속적으로 접촉시킴으로써 기상 반응을 회피한다. 기상 반응물은, 예컨대 반응물 펄스 사이에 반응 챔버로부터 과량의 반응물 및/또는 반응물 부산물을 제거함으로써 기판 표면 상에서 서로 분리된다. 일부 구현예에서, 하나 이상의 기판 표면은 둘 이상의 기상 전구체 또는 반응물과 교대로 그리고 순차적으로 접촉된다. 기판 표면을 기상 반응물과 접촉시키는 것은 한정된 기간 동안 반응물 증기가 기판 표면과 접촉한다는 것을 의미한다. 즉, 기판 표면은 한정된 기간 동안 각 기상 반응물에 노출된다.
간략히, 적어도 제1 표면 및 상이한 제2 표면을 포함하는 기판은 일반적으로 낮아진 압력에서 적합한 증착 온도로 가열된다. 증착 온도는 일반적으로 반응물의 열 분해 온도 이하이지만 반응물의 응축을 피하고 목적하는 표면 반응을 위한 활성화 에너지를 제공하기에 충분히 높은 수준으로 유지된다. 물론, 임의의 주어진 ALD 반응을 위한 적절한 온도 범위는 관련된 표면 말단 및 반응종에 따라 달라질 것이다. 여기서, 온도는 사용 중인 전구체에 따라 가변되고 바람직하게는 약 500℃ 이하이고, 바람직하게는 약 250℃ 내지 약 500℃, 더욱 바람직하게는 약 275℃ 내지 약 450℃, 보다 바람직하게는 약 300℃ 내지 약 425℃, 가장 바람직하게는 약 325℃ 내지 약 400℃이다.
기판 표면은 기상의 제1 반응물과 접촉된다. 일부 구현예에서, 기상의 제1 반응물의 펄스가 기판을 함유하는 반응 공간에 제공된다. 일부 구현예에서, 기판은 기상의 제1 반응물을 함유하는 반응 공간으로 이동된다. 제1 반응물의 약 하나 이하의 단층이 자기-제한 방식으로 기판 표면 상에 흡착되도록 조건들을 선택하는 것이 바람직하다. 적절한 접촉 시간은 특정 환경에 기초하여 당업자에 의해 용이하게 결정될 수 있다. 과량의 제1 반응물 및 반응 부산물이 존재하는 경우, 이들은 예컨대 불활성 기체로 퍼지함으로써 또는 기판을 제1 반응물의 존재로부터 제거함으로써 기판 표면으로부터 제거된다.
퍼징은 예컨대 진공 펌프로 챔버를 배기하고/하거나 반응기 내부의 기체를 아르곤 또는 질소와 같은 불활성 기체로 대체함으로써 기상 전구체 및/또는 기상 부산물이 제거되는 것을 의미한다. 전형적인 퍼징 시간은 약 0.05 내지 20초, 보다 바람직하게는 약 1 내지 10, 및 보다 더 바람직하게는 약 1 내지 2초이다. 그러나, 필요한 경우, 예컨대 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조에 대한 고도의 등각성(conformal) 단차 피복도가 필요한 경우, 다른 퍼지 시간이 사용될 수 있다.
기판 표면은 기상의 제2 가스 반응물과 접촉된다. 일부 구현예에서, 제2 가스 반응물의 펄스가 기판을 포함하는 반응 공간에 제공된다. 일부 구현예에서, 기판은 기상의 제2 반응물을 포함하는 반응 공간으로 이동된다. 과량의 제2 반응물 및 표면 반응의 가스 부산물이 존재하는 경우, 이들은 기판 표면으로부터 제거된다. 접촉 및 제거 단계는 원하는 두께의 박막이 기판의 표면 상에 선택적으로 형성될 때까지 반복되며, 각각의 사이클은 단지 약 하나의 분자 단층을 남긴다. 기판 표면을 다른 반응물들과 교대로 연속적으로 접촉하는 단계를 포함하는 추가적인 단계들이 보다 복잡한 재료, 예컨대 3차(ternary) 재료를 형성하기 위해 포함될 수 있다.
상기한 바와 같이, 각 사이클의 각 단계는 바람직하게는 자기-제한적이다. 과량의 반응물 전구체가 민감한 구조 표면을 포화시키기 위해 각 단계에서 제공될 수 있다. 표면 포화는 이용가능한 모든 반응 부위(예컨대, 물리적 크기 또는 "입체 장애" 반응물의 적용)의 반응물 점유를 보장하므로 우수한 단차 피복도를 보장한다. 전형적으로, 하나 미만의 분자 재료층이 각 사이클을 이용하여 증착되지만, 일부 구현예에서, 하나 이상의 분자층이 상기 사이클 동안 증착된다.
과량의 반응물을 제거하는 단계는 반응 공간의 내용물 일부를 배출하고/하거나 반응 공간을 헬륨, 질소 또는 다른 불활성 가스로 퍼지하는 단계를 포함할 수 있다. 일부 구현예에서, 퍼징은 불활성 캐리어 가스를 반응 공간으로 지속적으로 흘리면서 반응 가스의 흐름을 차단하는 단계를 포함할 수 있다.
기판은 다양한 유형의 재료들을 포함할 수 있다. 집적 회로를 제조할 때, 일반적으로 기판은 변하는 화학적 및 물리적 특성을 가진 많은 박막을 포함한다. 예를 들어 그리고 제한 없이, 기판은 유전체층 및 금속층을 포함할 수 있다. 일부 구현예에서, 기판은 금속 탄화물을 포함할 수 있다. 일부 구현예에서, 기판은 전도성 산화물을 포함할 수 있다.
바람직하게는, 기판은 금속 또는 금속 표면과 같은 전도성 표면을 포함하는 제1 표면을 가진다. 일부 구현예에서, 제1 표면은 금속 질화물을 포함한다. 일부 구현예에서, 제1 표면은 하나 이상의 전이금속을 포함할 수 있다. 전이금속은 하기의 군으로부터 선택될 수 있다: Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Au,Hf, Ta, W, Re, Os, Ir 및 Pt. 다른 구현예에서, 전이금속은 하기의 군으로부터 선택된다: Fe, Co, Ni. 일부 구현예에서, 제1 표면은 바람직하게는 구리를 포함한다. 일부 구현예에서, 제1 표면은 귀금속을 포함한다. 귀금속은 하기의 군으로부터 선택될 수 있다: Au, Pt, Ir, Pd, Os, Ag, Re, Rh, 및 Ru. 일부 바람직한 구현예에서, 제1 표면은 Cu, W, TiN, TaN 또는 SiN 중 적어도 하나를 포함한다.
일부 구현예에서, 제1 표면은 하나를 초과하는 재료, 예컨대 TiN과 SiN을 포함할 수 있다.
일부 구현예에서, 제1 표면은 전이금속 실리사이드와 같은 금속 실리사이드를 포함한다. 일부 구현예에서, 제1 표면은 전이금속, 예컨대 전이금속 탄화물 또는 탄소 함유 전이금속 재료를 포함하는 금속막을 포함한다. 일부 구현예에서, 제1 표면은 Al을 포함할 수 있다. 일부 구현예에서, 제1 표면은 금속들 또는 금속 재료들의 합금을 포함할 수 있다.
제2 표면은 바람직하게는 유전체 표면, 예컨대 SiO2, GeO2, 또는 낮은 k 표면이다. 일부 구현예에서, 유전체는 SiO2를 포함한다. 일부 구현예에서, 유전체는 다공성 재료이다. 일부 구현예에서, 다공성 유전체는 서로 연결되어 있는 기공들을 포함하고, 반면에 다른 구현예에서, 기공들은 서로 연결되지 않는다. 일부 구현예에서, 유전체는 약 4.0 미만의 유전율 값을 갖는 절연체로서 정의되는 낮은 k 재료를 포함한다. 일부 구현예에서, 낮은 k 재료의 유전율 값은 약 3.5 미만, 약 3.0 미만, 약 2.5 미만 그리고 약 2.3 미만이다. 일부 구현예에서, 제2 표면은 Si-O 결합을 포함한다. 일부 구현예에서, 제2 표면은, 예컨대 플라즈마 처리에 의해 비활성화된다. 일부 구현예에서, 제2 표면은 비전도성 표면이다. 일부 구현예에서, 제2 표면은 약 1 옴·m를 초과하는 비저항을 갖는다. 일부 구현예에서, 제2 표면은 Si-O 결합을 포함하고 약 1 옴·m 미만의 비저항을 갖는다. 본원에서 유전체(dielectric)라는 용어는 다른 제1 표면, 즉 금속 또는 금속재 표면과 구분함에 있어서 간략화를 위해 사용된다. 특별한 구현예에 대하여 달리 특정되지 않으면, 본 출원의 문맥상 유전체라는 용어는 매우 높은 비저항을 갖는 모든 표면을 나타내는 것으로 이해될 수 있다.
ALD형 공정에서 사용되는 전구체들이 기판 표면과 접촉하기 전에 기상으로 있는 경우, 이들 전구체는 표준 조건(실온 및 대기압) 하에서 고체, 액체 또는 기체 재료일 수 있다. 기판 표면을 기화된 전구체와 접촉하는 것은 한정된 기간 동안 전구체 증기가 기판 표면과 접촉한다는 것을 의미한다. 전형적으로, 접촉 시간은 약 0.05 내지 10초이다. 그러나, 기판 유형 및 이의 표면적에 따라, 상기 접촉 시간은 10초보다 훨씬 더 길 수 있다. 접촉 시간은 경우에 따라 분 단위일 수 있다. 최적의 접촉 시간은 특정 환경에 기초하여 당업자에 의해 결정될 수 있다.
전구체의 질량 유량이 또한 당업자에 의해 결정될 수 있다. 일부 구현예에서, 금속 전구체의 유량은 바람직하게는 제한 없이 약 1 내지 1000 sccm, 보다 바람직하게는 약 100 내지 500 sccm이다.
반응 챔버 내 압력은 전형적으로 약 0.01 내지 약 20 mbar, 보다 바람직하게는 약 1 내지 약 10 mbar이다. 그러나, 주어진 특정 상황에서 당업자에 의해 결정될 수 있는 바와 같이, 일부의 경우 압력은 이러한 범위보다 높거나 낮을 수 있다.
막의 증착을 시작하기 전에, 기판은 전형적으로 적절한 성장 온도로 가열된다. 성장 온도는 형성된 박막 유형, 전구체의 물리적인 특성 등에 따라 달라진다. 성장 온도는 형성된 각각의 유형의 박막을 참조하여 아래에서 보다 상세하게 논의된다. 비정질 박막이 형성될 수 있도록 성장 온도는 증착된 재료에 대한 결정화 온도 미만일 수 있거나 결정질 박막이 형성되도록 성장 온도는 결정화 온도를 초과할 수 있다. 바람직한 증착 온도는 다수의 요인, 예컨대 제한 없이 반응물 전구체, 압력, 유량, 반응기의 배열, 증착된 박막의 결정화 온도, 및 그 위에 증착될 재료의 성질을 포함하여 기판의 조성에 따라 달라질 수 있다. 특정 성장 온도가 당업자에 의해 선택될 수 있다.
박막을 성장시키기 위해 사용될 수 있는 반응기들이 증착을 위해 사용될 수 있다. 이러한 반응기는 전구체들을 제공하기 위한 적절한 장비 및 수단을 장착하고 있는 CVD 반응기뿐만 아니라 ALD 반응기를 포함한다. 일부 구현예에 따르면, 샤워헤드 반응기가 사용될 수 있다.
사용될 수 있는 적합한 반응기의 예는 상업적으로 이용 가능한 장비들, 예컨대 ASM America Inc.(피닉스, 애리조나) 및 ASM Europe B.V.(알메러, 네덜란드)의 F-120® 반응기, F-450® 반응기, Pulsar® 반응기(예컨대, Pulsar® 2000 및 Pulsar® 3000), EmerALD® 반응기 및 Advance® 400 시리즈를 포함한다. 상업적으로 이용 가능한 반응기는 Eagle® XP 및 XP8의 상표명을 가진 ASM Japan K.K(일본, 동경)사의 제품들을 포함한다.
일부 구현예에서, 회분식(batch) 반응기가 사용될 수 있다. 적합한 회분식 반응기는, 이들에 한정되지는 않지만, ALDA400TM 및 A412TM이라는 상표명으로 ASM EuropeB.V(네덜란드, 알메르)사로부터 상업적으로 구매 가능한 반응기를 포함한다. 일부 구현예에서, 처리를 하는 동안 보트(boat)가 회전하는 수직 회분식 반응기, 예컨대 A412??이 사용된다. 이처럼, 일부 구현예에서, 웨이퍼는 처리 중에 회전한다. 회분식 반응기가 사용되는 일부 구현예에서, 웨이퍼 대 웨이퍼 균일도는 3%(1 시그마)미만, 2% 미만, 1% 미만 또는 심지어 0.5% 미만이다.
성장 공정은 클러스터 도구에 연결된 반응기 또는 반응 공간에서 선택적으로 수행될 수 있다. 클러스터 도구에서, 각각의 반응 공간은 하나의 유형의 공정에 전용되기 때문에, 각 모듈 내의 반응 공간의 온도는 일정하게 유지될 수 있으며, 이는 기판이 각각 실행되기 전에 공정 온도로 가열되는 반응기에 비해 처리량을 향상시킨다.
독립형 반응기는 로드-록(load-lock)을 장착하고 있을 수 있다. 이러한 경우, 각 실행 사이에 반응 공간을 식힐 필요가 없다.
바람직하게는, Al 및 N 함유 재료를 형성하기 위해, 각각의 ALD 사이클은 적어도 두 개의 뚜렷한 단계를 포함한다. 기판을 제1 전구체와 접촉시킨 후 기판 표면으로부터 과량의 제1 전구체 및 반응 부산물을 제거하는 것은 단계로 고려될 수 있고 제1 단계, 제1 전구체 단계, Al 단계, Al 전구체 단계, 제1 Al 단계, 제1 AL 전구체 단계로 지칭될 수 있다. 증착 사이클의 경우, 제1 단계에서, 기판은 단지 겨우 하나의 단층을 기판 상에 형성하는 Al 포함 제1 전구체와 접촉한다. 제2 단계에서, 기판은 질소를 포함하는 제2 전구체와 접촉되고 흡착된 제1 전구체를 Al 및 N 함유 재료로 변환할 수 있다. 기판을 제2 전구체와 접촉시킨 후 기판 표면으로부터 과량의 제2 전구체 및 반응 부산물을 제거하는 것은 단계로 고려될 수 있고 제2 단계, 제2 전구체 단계, 질화 단계, N 단계, N 전구체 단계, 제1 N 단계, 및/또는 제1 N 전구체 단계로 지칭될 수 있다. 하나 이상의 전구체는 N2, Ar, 또는 He와 같은 캐리어 가스의 도움으로 제공될 수 있다. 최종 막의 조성을 조절하고자 할 때 추가적인 단계들이 추가될 수 있고 단계들이 제거될 수 있다.
도 1을 참조하고 바람직한 구현예에 따르면, Al 및 N 함유 재료는 적어도 하나의 사이클을 포함하는 ALD형 증착 공정(100)에 의해 제1 표면 및 상이한 제2 표면을 포함하는 기판의 제1 표면 상에 선택적으로 증착되고, 적어도 하나의 사이클은 하기 단계를 포함한다:
단계 120에서 Al을 포함하는 제1 기상 전구체와 기판을 접촉시키는 단계;
단계 130에서 과량의 제1 전구체 및 반응 부산물이 존재하는 경우, 과량의 제1 전구체 및 반응 부산물을 기판으로부터 제거하는 단계;
단계 140에서 질소를 포함하는 제2 기상 전구체와 기판을 접촉시키는 단계;
단계 150에서 과량의 제2 전구체 및 임의의 기체 부산물을 기판으로부터 제거하는 단계; 및
단계 160에서 원하는 두께의 Al 및 N 함유 재료가 형성될 때까지 접촉 및 제거 단계를 선택적으로 반복하는 단계.
일부 구현예에서, 증착 공정(100)을 시작하기 전에 기판의 하나 이상의 표면에 대한 전처리 공정을 수행할 수 있다. 일부 구현예에서, 전처리 공정은 선택적 증착 공정(100)의 선택도를 향상시킬 수 있다. 일부 구현예에서, 증착 공정(100)을 시작하기 전 전처리 공정은 하나 이상의 상이한 표면들에 상대적인 하나의 표면 상에서 Al 및 N 함유 재료의 증착을 향상시킬 수 있다. 일부 구현예에서, 증착 공정(100)을 시작하기 전 전처리 공정은 하나 이상의 상이한 표면들에 상대적인 하나의 표면 상에서 Al 및 N 함유 재료의 증착을 억제할 수 있다. 도 1에서, 이는 단계 110으로 나타나는 데, 여기서 Al 및 N 함유 재료의 증착에 앞서 기판은 전처리 반응물, 예컨대 플라즈마에 노출될 수 있다.
일부 구현예에서, 전처리 공정은 기판을 전처리 반응물에 노출시키는 단계를 포함할 수 있다: 일부 구현예에서, 전처리 반응물은 산소를 포함할 수 있다. 일부 구현예에서, 전처리 반응물은 산소 라디칼, 산소 원자, 산소 플라즈마 또는 이들의 조합을 포함한다. 일부 구현예에서, 전처리 반응물은 질소를 포함할 수 있다. 일부 구현예에서, 전처리 반응물은 질소를 포함하는 질소 라디칼, 원자 질소, 질소 플라즈마 또는 이들의 조합을 포함한다. 일부 구현예에서, 전처리 반응물은 수소를 포함할 수 있다. 일부 구현예에서, 전처리 반응물은 수소 라디칼, 수소 원자, 수소 플라즈마 또는 이들의 조합을 포함한다.
일부 구현예에서, 기판이 산소 플라즈마를 포함하는 전처리 반응물에 노출되는 전처리 공정을 사용하는 경우, O2는, 예컨대 약 1 내지 약 2000 sccm, 보다 바람직하게는 약 5 내지 약 1000 sccm, 가장 바람직하게는 약 50 내지 약 500 sccm으로 제공될 수 있다. 일부 구현예에서, O2는 약 300 sccm으로 제공될 수 있다. 일부 구현예에서, 기판이 질소 플라즈마를 포함하는 전처리 반응물에 노출되는 전처리 공정을 사용하는 경우, N2는, 예컨대 약 1 내지 약 5000 sccm, 보다 바람직하게는 약 5 내지 약 2000 sccm, 가장 바람직하게는 약 50 내지 약 500 sccm으로 제공될 수 있다. 일부 구현예에서, N2는 약 300 sccm으로 제공될 수 있다. 일부 구현예에서, 기판이 수소 플라즈마를 포함하는 전처리 반응물에 노출되는 전처리 공정을 사용하는 경우, H2는, 예컨대 약 1 내지 약 2000 sccm, 보다 바람직하게는 약 5 내지 약 1000 sccm, 가장 바람직하게는 약 10 내지 약 100 sccm으로 제공될 수 있다. 일부 구현예에서, H2는 약 50 sccm으로 제공될 수 있다. 다른 유형의 플라즈마에 대해서는 유사한 조건들이 사용될 수 있다.
일부 구현예에서, 전처리 공정은 기판을 소정 온도에서 전처리 반응물에 노출시키는 단계를 포함할 수 있다: 일부 구현예에서, 전처리 온도는 약 20℃를 초과할 수 있다. 일부 구현예에서, 전처리 온도는 약 20℃ 내지 약 500℃, 더 바람직하게는 약 50℃ 내지 약 450℃, 더욱 바람직하게는 약 150℃ 내지 약 400℃일 수 있다. 일부 구현예에서, 전처리 온도는 증착 온도와 거의 동일할 수 있다. 일부 구현예에서, 전처리 온도는 증착 온도와 상이할 수 있다. 일부 구현예에서, 플라즈마는 약 2500 와트 미만, 예컨대 약 1 내지 약 1000와트, 약 1 내지 약 500와트, 또는 약 1 내지 약 200와트 이하의 출력에서 발생될 수 있다. 일부 구현예에서, 플라즈마는 50 W의 출력에서 발생될 수 있다. 일부 구현예에서, 플라즈마는 100 W의 출력에서 발생될 수 있다.
일부 구현예에서, 플라즈마는 약 200초 미만, 약 180초 이하, 약 60초 이하, 약 30초 이하, 약 10초 이하, 또는 약 3초 이하 동안 제공된다.
일부 구현예에서, 플라즈마는 반응기 내에서 형성된다. 일부 구현예에서, 플라즈마는 기판의 상부 위에서 또는 기판과 근접하여 인 시튜로 형성될 수 있다. 다른 구현예에서, 플라즈마는 리모트 플라즈마 발생기 내에서 반응 챔버의 상류에 형성되고 플라즈마 생성물들은 반응 챔버로 향하여 기판과 접촉한다. 당업자가 인식하게 될 것처럼, 리모트 플라즈마의 경우 기판으로의 경로는 기판에 도달하기 전에 전기적으로 중성인 종을 최대화하고 이온 생존을 최소화하기 위해 최적화될 수 있다.
일부 구현예에서, 처리된 기판은 전처리 공정 후, 선택적 증착 공정의 시작에 앞서 분위기 환경에 노출되지 않는다. 일부 구현예에서, 처리된 기판은 전처리 공정 후, 선택적 증착 공정의 시작에 앞서 공기에 노출되지 않는다.
일부 구현예에서, 전처리 공정은 후속하는 선택적 증착 공정의 선택도를 향상시키기 위해 사용될 수 있다. 일부 구현예에서, 전처리 공정은 제2 상이한 표면에 상대적인 제1 표면 상에 Al 및 N 함유 재료의 선택적 증착을 향상시킬 수 있다. 일부 구현예에서, 전처리 공정은 후속하는 선택적 증착 공정의 선택도를 약 2 초과, 약 5 초과, 또는 약 10 초과하는 인자만큼 향상시킬 수 있다.
일부 구현예에서, 전처리 공정은 후속하는 증착 공정(100)과 동일한 반응 챔버 또는 반응기에서 수행될 수 있다. 일부 구현예에서, 전처리 공정은 후속하는 증착 공정(100)과 상이한 반응 챔버 또는 반응기에서 수행될 수 있다.
도 1을 다시 참조하면, 단계 120에서 기판은 Al을 포함하는 제1 전구체와 접촉한다. 일부 구현예에서, 제1 전구체는 기상 펄스의 형태로 반응 챔버로 안내되어 기판 표면과 접촉한다. 전구체의 단지 겨우 하나의 단층이 자기-제한 방식으로 기판 표면 상에 흡착되도록 조건들이 선택되는 것이 바람직하다. 그러나, 일부 구현예에서, 전구체의 단지 겨우 하나의 단층이 형성될 수 있도록 조건들이 선택될 수 있다.
제1 전구체 펄스는 바람직하게는 가스 형태로 공급된다. 노출된 표면을 포화시키기에 충분한 농도로 종을 작업물에 운반하기 위한 공정 조건 하에서 종이 충분한 증기압을 나타내는 경우, 본 명세서의 목적을 위해 제1 전구체 가스는 "휘발성"으로 간주된다.
일부 구현예에서, 제1 전구체는 약 0.01초 내지 약 60초 동안, 약 0.02초 내지 약 30초 동안, 약 0.025초 내지 약 20초 동안, 약 0.05초 내지 약 5.0초 동안, 약 0.05초 내지 약 2.0초 동안, 또는 약 0.1초 내지 약 1.0초 동안 기판과 접촉한다.
ALD형 공정에서 사용되는 제1 전구체가 반응 챔버로 안내되어 기판 표면과 접촉하기 전 기상으로 존재하면, 제1 전구체는 표준 조건(상온 및 대기압) 하에서 고체, 액체 또는 기체 재료일 수 있다.
단계 130에서 제1 전구체와 반응 부산물이 존재하면, 이들은, 예컨대 질소 또는 아르곤과 같은 불활성 가스의 펄스로 퍼징함으로써 기판 표면으로부터 제거된다. 반응 챔버를 퍼징한다는 것은, 예컨대 진공 펌프로 챔버를 배기하고/하거나 반응기 내부의 가스를 아르곤이나 질소와 같은 불활성 가스로 대체함으로써 기상 전구체 및/또는 기상 부산물을 반응 챔버로부터 제거하는 것을 의미한다. 전형적인 퍼징 시간은 약 0.05 내지 20초, 보다 바람직하게는 약 1 내지 10초, 및 보다 더 바람직하게는 약 1 내지 2초이다. 그러나, 필요하면, 예컨대 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조 위에 층을 증착하는 것이 필요한 경우, 다른 퍼징 시간이 사용될 수 있다. 적절한 퍼징 시간은 특정 환경에 기초하여 당업자에 의해 용이하게 결정될 수 있다.
그러나 다른 구현예에서, 과량의 제1 전구체 및 반응 부산물이 있는 경우, 과량의 제1 전구체 및 반응 부산물의 제거는, 제1 전구체가 더 이상 기판과 접촉하지 않도록 기판을 이동시키는 단계를 포함할 수 있다. 일부 구현예에서, 어떠한 전구체도 챔버의 여러 부분으로부터 제거되지 않을 수 있다. 일부 구현예에서, 기판은 제1 전구체를 함유하는 챔버의 어떤 부분으로부터 제2 전구체를 함유하거나 전구체를 전혀 함유하지 않는 챔버의 또 다른 부분으로 이동된다. 일부 구현예에서, 기판은 제1 반응 챔버에서 상이한 제2 반응 챔버로 이동된다.
단계 140에서 기판은 N을 포함하는 기상 전구체와 접촉된다. 일부 구현예에서, 기판의 제1 표면에 묶여 있는 제1 전구체와 반응하는 챔버 내로 제2 전구체가 펄스화된다. 일반적으로 이 반응으로 Al 및 N을 함유하는 약 하나의 단층에 이르는 재료가 기판 상에 형성된다. 그러나 일부 구현예에서, Al 및 N을 함유하는 하나의 분자층을 초과하는 재료가 기판 상에 형성된다.
일부 구현예에서, 제2 전구체는 질소 플라즈마 또는 질소 라디칼을 포함할 수 있다. 이러한 구현예에서, 질소는 반응 챔버 내 또는 반응 챔버의 상류에서 활성화될 수 있다. 플라즈마가 필요한 곳에서, 기판이 원하는 시기 동안 질소 플라즈마에 노출된 후, 플라즈마 발생기는 오프될 수 있고 질소 전구체 자체의 유동이 과량의 질소 플라즈마 및 반응하지 않은 부산물들을 반응 챔버로부터 제거하기 위해 사용되도록 활성화되지 않은 제2 전구체의 유동은 한 종류의 퍼지 가스를 포함할 수 있다.
임의 수의 적합한 제2 전구체들이 사용될 수 있음을 당업자가 인식하게 되겠지만, 적절한 제2 전구체들은 바람직하게는 이전이나 나중에 증착된 제1 전구체의 리간드들과 반응하는 질소 함유 화합물들을 포함한다. 따라서, 적절한 제2 전구체의 선택은 사용되는 특정한 제1 전구체 및 제1 전구체 내의 리간드들의 성질에 의존할 수 있다.
일부 구현예에서, 제2 전구체는 약 0.01초 내지 약 60초 동안, 약 0.02초 내지 약 30초 동안, 약 0.025초 내지 약 20초 동안, 약 0.05초 내지 약 5.0초 동안, 약 0.05초 내지 약 2.0초 동안, 또는 약 0.1초 내지 약 1.0초 동안 기판과 접촉한다. 그러나, 반응기 유형, 기판 유형 및 그 표면적에 따라, 제2 전구체 접촉 시간은 10초보다 훨씬 더 길 수 있다. 일부 구현예에서, 접촉 시간은 분 단위일 수 있다. 최적의 접촉 시간은 특정 환경에 기초하여 당업자에 의해 쉽게 결정될 수 있다.
반응 챔버 내에서 제2 전구체의 농도는 약 0.01 용적% 내지 약 99.0 용적%일 수 있다. 또한, 제2 전구체는 반응 챔버로부터 약 1 표준 cm3/분 및 약 4000 표준 cm3/분의 속도로 반응 챔버를 통해 흐를 수 있다.
단계 150에서, 과량의 제2 전구체 및 표면 반응의 기체 부산물이 있는 경우, 단계 130에 대하여 위에서 기재된 바와 같이, 이들 과량의 제2 전구체 및 기체 부산물은 기판으로부터 제거된다. 일부 구현예에서, 과량의 전구체 및 반응 부산물은 바람직하게는 불활성 기체의 도움으로 제거된다.
원하는 두께의 Al 및 N 함유 재료가 기판의 표면 상에 형성될 때까지 접촉 및 제거 단계는 단계 160에서 선택적으로 반복될 수 있고, 각각의 사이클은 단지 겨우 하나의 분자 단층을 남긴다. 일부 경우에, 다양한 전구체들 중 적어도 하나를 적어도 부분적으로 분해하는 것이 바람직할 수 있다. 이처럼, 일부 구현예에서, Al 및 N 함유 재료로 이루어진 단지 하나의 분자층이 각 증착 사이클에서 기판 상에 형성되도록 조건들이 선택될 수 있다.
본 개시의 Al 및 N 함유 재료의 ALD 공정은 하나 이상의 사이클을 포함할 수 있다. 일부 구현예는 적어도 약 5 사이클, 적어도 약 10 사이클, 또는 적어도 약 50 사이클의 반복을 포함한다. 일부 구현예에서, 원하는 두께의 박막을 형성하기 위해 100 이하의 사이클이 수행된다.
일부 구현예에서, 기판 표면 및/또는 Al 및 N 함유 재료는 플라즈마 처리 공정을 선택적으로 거칠 수 있다. 도 1에서 이는 단계 170으로 표시된다. 일부 구현예에서, 플라즈마 처리 공정은 1회를 초과하는 증착 사이클이 수행된 후 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 증착된 Al 및 N 함유 재료막이 연속적이거나 닫혀지기 전에 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 약 10회의 증착 사이클이 지날 때마다, 약 20회의 증착 사이클이 지날 때마다, 또는 약 50회의 증착 사이클이 지날 때마다 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정 없이 적어도 2회의 연속적인 증착 사이클이 수행된다. 일부 구현예에서, 플라즈마 처리 공정 없이 적어도 5회 또는 10회의 증착 사이클이 수행된다. 일부 구현예에서, 플라즈마 처리 공정은 임의의 증착이 이행되기 전, 즉 임의의 증착 사이클이 수행되기 전에 수행될 수 있다.
일부 구현예에서, 플라즈마 처리 공정은 증착 공정(100)과 동일한 반응 챔버 또는 반응기에서 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 증착 공정(100)과 상이한 반응 챔버 또는 반응기에서 수행될 수 있다.
일부 구현예에서, 플라즈마는 반응기 내에 형성된다. 일부 구현예에서, 플라즈마는 기판의 상부 위에서 또는 기판과 근접하여 인 시튜로 형성될 수 있다. 다른 구현예에서, 플라즈마는 리모트 플라즈마 발생기 내에서 반응 챔버의 상류에 형성되고 플라즈마 생성물들은 반응 챔버로 향하여 기판과 접촉한다. 당업자가 인식하게 될 것처럼, 리모트 플라즈마의 경우 기판으로의 경로는 기판에 도달하기 전에 전기적으로 중성인 종을 최대화하고 이온 생존을 최소화하기 위해 최적화될 수 있다.
일부 구현예에서, Al 및 N 함유 재료는 복수의 증착 사이클을 이용하여 증착될 수 있고, 플라즈마 처리는 예컨대 증착 전, 증착 사이클을 지날 때마다, 증착 동안 소정의 간격으로, 또는 원하는 두께의 Al 및 N 함유 재료가 증착된 후를 비롯하여 1회 이상 적용될 수 있다.
일부 구현예에서, 플라즈마 처리 공정은 직접 플라즈마에 기판을 노출시키는 단계를 포함한다. 일부 구현예에서, 플라즈마 처리 공정은 리모트 플라즈마에 기판을 노출시키는 단계를 포함한다. 일부 구현예에서, 플라즈마 처리 공정은 플라즈마 방전에서 생성된 여기종 또는 원자종에 기판을 노출시키는 단계를 포함하지만 이온들이 있으면, 이온들의 실질적인 양을 포함하지 않는다. 일부 구현예에서, 플라즈마는 산소를 포함할 수 있다. 일부 구현예에서, 플라즈마는 질소를 포함할 수 있다. 플라즈마 처리 공정으로 지칭되었지만, 일부 구현예에서, 플라즈마를 포함하지 않는 반응성 산소종, 예컨대 오존이 사용될 수 있다. 일부 구현예에서, 플라즈마는 수소를 포함할 수 있다.
일부 구현예에서, 기판이 반응물에 노출되는 전처리 공정 또는 플라즈마 처리 공정의 사용은 산소 플라즈마를 포함한다. O2는 소스 가스로서, 예컨대 약 1 내지 약 2000 sccm, 보다 바람직하게는 약 5 내지 약 1000 sccm, 가장 바람직하게는 약 50 내지 약 500 sccm 제공될 수 있다. 일부 구현예에서, O2는 약 300 sccm으로 제공될 수 있다.
일부 구현예에서, 기판이 반응물에 노출되는 전처리 공정 또는 플라즈마 처리 공정의 사용은 질소 플라즈마를 포함한다. N2는 소스 가스로서, 예컨대 약 1 내지 약 5000 sccm, 보다 바람직하게는 약 5 내지 약 2000 sccm, 가장 바람직하게는 약 50 내지 약 500 sccm 제공될 수 있다. 일부 구현예에서, N2는 약 300 sccm으로 제공될 수 있다.
일부 구현예에서, 기판이 반응물에 노출되는 전처리 공정 또는 플라즈마 처리 공정의 사용은 수소 플라즈마를 포함한다. 일부 구현예에서, H2는 소스 가스로서, 예컨대 약 1 내지 약 2000 sccm, 보다 바람직하게는 약 5 내지 약 1000 sccm, 가장 바람직하게는 약 10 내지 약 100 sccm 제공될 수 있다. 일부 구현예에서, H2는 약 50 sccm으로 제공될 수 있다. 다른 유형의 플라즈마에 대해서는 유사한 조건들이 사용될 수 있다.
일부 구현예에서, 플라즈마 처리 공정은 기판을 처리 온도에서 반응물에 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 처리 온도는 약 20°C를 초과할 수 있다. 일부 구현예에서, 처리 온도는 약 20℃ 내지 약 500℃, 더 바람직하게는 약 50℃ 내지 약 450℃, 더욱 바람직하게는 약 150℃ 내지 약 400℃일 수 있다. 일부 구현예에서, 처리 온도는 증착 온도 및/또는 전처리 온도와 거의 동일할 수 있다. 일부 구현예에서, 처리 온도는 증착 온도 및/또는 전처리 온도와 상이할 수 있다.
일부 구현예에서, 플라즈마는 약 2500 와트 미만의 출력, 예컨대 약 1 내지 약 1000와트, 약 1 내지 약 500와트, 또는 약 1 내지 약 200와트 이하의 출력에서 발생될 수 있다. 일부 구현예에서, 플라즈마는 50 W의 출력에서 발생될 수 있다. 일부 구현예에서, 플라즈마는 100 W의 출력에서 발생될 수 있다.
일부 구현예에서, 플라즈마는 약 200초 미만, 약 180초 이하, 약 60초 이하, 약 30초 이하, 약 10초 이하, 또는 약 3초 이하 동안 제공된다.
일부 구현예에서, 플라즈마 처리 공정(170)은 전처리 공정(110)과 실질적으로 동일할 수 있다.
도시된 Al 및 N 함유 재료의 증착 사이클은 기판의 표면을 Al을 포함하는 제1 기상 전구체와 접촉시키는 것으로 시작하지만, 다른 구현예에서, 증착 사이클은 기판의 표면을 질소를 포함하는 제2 기상 전구체와 접촉시키는 것으로 시작한다. Al을 포함하는 제1 기상 전구체 및 질소를 포함하는 제2 기상 전구체와 기판을 접촉시키는 것은 증착 사이클에서 서로 바뀔 수 있다는 것을 당업자는 이해할 것이다.
일부 구현예에서, 상이한 반응물이 목적하는 시간 동안 목적하는 순서로 기판의 표면과 교대로 순차적으로 접촉하도록 기판이 이동된다. 일부 구현예에서, 제거 단계(130과 150)는 수행되지 않는다. 일부 구현예에서, 어떠한 반응물도 챔버의 다양한 부분으로부터 제거되지 않을 수 있다. 일부 구현예에서, 기판은 제1 전구체를 함유하는 챔버의 어떤 부분으로부터 제2 반응물을 함유하는 챔버의 다른 부분으로 이동된다. 일부 구현예에서, 기판은 제1 반응 챔버에서 상이한 제2 반응 챔버로 이동된다.
당업자는 선택된 전구체들의 특성을 기초로 최적의 반응물 증발 온도를 결정할 수 있다. 당업자는 선택된 전구체의 특성 및 증착된 Al 및 N 함유 재료의 목적하는 특성을 기초로 통상적인 실험을 통하여 최적의 반응물 접촉 시간을 결정할 수 있다.
Al 및 N 함유 재료들의 성장율은 반응 조건에 따라 변할 것이다. 아래에 기재된 바와 같이, 초기 실험들에서, 성장율은 약 0.01 Å/사이클과 2.0 Å/사이클 사이에서 변한다. 일부 구현예에서, 성장율은 약 0.01 Å/사이클 내지 약 3.0 Å/사이클, 바람직하게는 약 0.1 Å/사이클 내지 약 2.5 Å/사이클, 더 바람직하게는 0.3 Å/사이클 내지 약 2.0 Å/사이클일 수 있다.
일부 구현예에서, 증착된 Al 및 N 함유 재료는 박막을 포함한다. 일부 구현예에서, 증착된 Al 및 N 함유 재료는 AlN을 포함하고, 일부 구현예에서 증착된 Al 및 N 함유 재료는 AlN이다. 일부 구현예에서, 본질적으로 Al과 N으로 구성되는 Al 및 N 함유 재료들이 형성된다. 일부 구현예에서, 알루미늄 산질화막을 형성하기 위해 추가적인 반응물들, 예컨대 산소가 그 막에 통합되거나 그 막에 기여하도록 사용될 수 있다. 질소 외에 비금속 성분들이 필요한 일부 구현예에서, Al 및 N 함유 재료를 형성하기 위한 ALD 방법은 초기의 Al 및 N 단계들 외의 단계들을 포함할 수 있다. 예를 들어, 금속 알루미늄 산질화막이 필요한 경우, 이 방법은 산화 단계를 포함할 수 있다. 산화 단계에서, 산소 또는 산소 함유 전구체가 반응챔버에 제공되어 기판 표면과 접촉하게 된다. 산소 단계는 하나 이상의 증착 사이클의 일부일 수 있다. 일부 구현예에서, 제2 금속 단계가 하나 이상의 증착 사이클에서 제공될 수 있다. 산소 단계, 또는 다른 바람직한 단계는 Al 단계 또는 N 단계 뒤에 수행될 수 있지만, 어떤 상황에서는, 일부 구현예에서, 다음 단계로 진행하기 전에 과량의 산소(또는 다른 반응물) 및 임의의 반응 부산물을 반응 공간으로부터 제거하는 것이 바람직하다. 일부 구현예에서, 산소 또는 추가적인 금속 단계와 같은 추가적인 단계는 최종 증착 사이클 이후 또는 증착 공정 중에 간헐적으로 제공될 수 있다.
일부 구현예에서, 기판의 제2 표면에 상대적인 기판의 제1 표면 상에 Al 및 N 함유 재료의 증착은 적어도 약 90% 선택적, 적어도 약 95% 선택적, 적어도 약 96%, 97%, 98% 또는 99% 이상 선택적이다. 일부 구현예에서, Al 및 N 함유 재료의 증착은 제1 표면 상에서만 일어나고 제2 표면 상에서는 일어나지 않는다. 일부 구현예에서, 기판의 제2 표면에 상대적인 기판의 제1 표면 상의 증착은 적어도 약 80% 선택적인데, 이는 일부 특별한 응용들에서 충분히 선택적일 수 있다. 일부 구현예에서, 기판의 제2 표면에 대한 기판의 제1 표면 상의 증착은 적어도 50% 선택적인데, 이는 일부 특별한 응용들에서 충분히 선택적일 수 있다. 일부 구현예에서, 기판의 제2 표면에 상대적인 기판의 제1 표면 상의 증착은 적어도 약 1%, 적어도 약 2.5%, 적어도 약 5%, 적어도 약 10%, 적어도 약 15%, 적어도 약 20%, 적어도 약 25%, 적어도 약 30%, 또는 적어도 약 40%이고, 이들 모두는 일부 특정 응용들에 대해 충분히 선택적일 수 있다.
일부 구현예에서, 기판의 제2 표면에 상대적인 기판의 제1 표면 상에 증착된 Al 및 N 함유 재료의 비는 약 10:1 이상, 약 20:1 이상, 또는 약 40:1 이상일 수 있다. 일부 구현예에서, 제1 표면 상에 증착되는 Al 및 N 함유 재료의 두께가 약 5 nm를 초과하는 경우, 기판의 제2 표면에 상대적인 기판의 제1 표면 상에 증착된 Al 및 N 함유 재료의 비는 약 10:1 이상, 약 20:1 이상, 또는 약 40:1 이상일 수 있다. 일부 구현예에서, 제1 표면 상에 증착되는 Al 및 N 함유 재료의 두께가 약 2.5 nm를 초과하는 경우, 기판의 제2 표면에 상대적인 기판의 제1 표면 상에 증착된 Al 및 N 함유 재료의 비는 약 10:1 이상, 약 20:1 이상, 또는 약 40:1 이상일 수 있다. 일부 구현예에서, 제1 표면 상에 증착되는 Al 및 N 함유 재료의 두께가 약 1 nm를 초과하는 경우, 기판의 제2 표면에 상대적인 기판의 제1 표면 상에 증착된 Al 및 N 함유 재료의 비는 약 10:1 이상, 약 20:1 이상, 또는 약 40:1 이상일 수 있다.
일부 구현예에서, Al 및 N 함유 재료 증착 방법이 약 0 내지 약 25 증착 사이클, 약 0 내지 약 50 증착 사이클, 약 0 내지 약 100 증착 사이클, 또는 약 0 내지 약 150 증착 사이클을 포함하는 경우, 기판의 제2 표면에 상대적인 기판의 제1 표면 상에 증착된 Al 및 N 함유 재료의 비는 약 10:1 이상, 약 20:1 이상, 또는 약 40:1 이상일 수 있다. 일부 구현예에서, Al 및 N 함유 재료 증착 방법이 약 0 내지 약 25 증착 사이클, 약 0 내지 약 50 증착 사이클, 약 0 내지 약 100 증착 사이클, 또는 약 0 내지 약 150 증착 사이클을 포함하는 경우, 약 0.1 nm 미만의 Al 및 N 함유 재료가 기판의 제2 표면 상에 증착된다.
일부 구현예에서, Al 및 N 함유 재료는 SiO2에 대하여 식각 선택도를 가지는 데, 즉 Al 및 N 함유 재료는, 예컨대 희석 HF에서 SiO2의 식각율보다 작은 식각율을 가진다. 일부 구현예에서, Al 및 N 함유 재료는 희석된 HF(0.5%)를 이용하는 분당 약 2~3 nm의 열적 산화물 제거율의 1/5보다 작은 습식 식각율(WER)을 가진다. 일부 구현예에서, 열적 산화된 실리콘(SiO2, TOX)의 습식 식각율에 대한 Al 및 N 함유 재료의 습식 식각율은 0.5% dHF에서 약 0.2보다 작다. 일부 구현예에서, TOX의 습식 식각율에 대한 Al 및 N 함유 재료의 습식 식각율은 0.5% dHF에서 약 0.1보다 작다. 일부 구현예에서, TOX의 습식 식각율에 대한 Al 및 N 함유 재료의 습식 식각율은 0.5% dHF에서 약 0.05보다 작다.
도 2를 참조하면, 일부 구현예에서, 제1 표면 및 제2 유전체 표면을 포함하는 기판이 제공되고 AlN은 적어도 1회의 사이클을 포함하는 주기적인 증착 방법(200)에 의해 기판의 제1 표면 상에 선택적으로 증착되고, 상기 1회의 사이클은 다음을 포함한다:
단계 220에서 기상 트리메틸알루미늄(TMA)와 기판을 접촉시키는 단계;
단계 230에서, 과량의 TMA 및 반응 부산물이 존재하는 경우, 과량의 TMA 및 반응 부산물을 표면으로부터 제거하는 단계;
단계 240에서 기상 NH3 와 기판을 접촉시키는 단계;
단계 250에서, 과량의 NH3 및 임의의 반응 부산물을 표면으로부터 제거하는 단계; 및
단계 260에서, 원하는 두께의 AlN 박막이 형성될 때까지 접촉 및 제거 단계를 선택적으로 반복하는 단계.
예시된 AlN 증착 사이클은 기판을 TMA와 접촉시키는 것으로 시작되지만, 다른 구현예에서, 증착 사이클은 기판을 NH3와 접촉시키는 것으로 시작될 수 있다. 당업자는 기판 표면을 TMA 및 NH3와 접촉시키는 단계는 증착 사이클에서 상호 바뀔 수 있음을 이해할 것이다.
일부 구현예에서, 증착 공정을 시작하기 전에 기판의 하나 이상의 표면에 대한 전처리 공정을 수행할 수 있다. 일부 구현예에서, 전처리 공정은 선택적 증착 공정(200)의 선택도를 향상시킬 수 있다. 일부 구현예에서, 전처리 공정은 증착 공정을 시작하기 전에 하나 이상의 상이한 표면들에 상대적인 하나의 표면 상에 AlN의 증착을 향상시킬 수 있다. 일부 구현예에서, 전처리 공정은 증착 공정을 시작하기 전에 하나 이상의 상이한 표면들에 상대적인 하나의 표면 상에 AlN의 증착을 억제할 수 있다. 도 2에서, 이는 단계 210으로 나타나는 데, 여기서 Al 및 N 함유 재료의 증착에 앞서 기판은 전처리 반응물, 예컨대 플라즈마에 노출될 수 있다.
일부 구현예에서, 기판 표면 및/또는 AlN 박막은 플라즈마 처리 공정을 선택적으로 거칠 수 있다. 도 2에서 이는 단계 270으로 표시된다. 일부 구현예에서, 이러한 플라즈마 처리 공정은 도 1과 관련하여 위에서 기술된 플라즈마 처리 공정(170)과 실질적으로 동일하다. 일부 구현예에서, 플라즈마 처리 공정(270)은 전처리 공정(210)과 실질적으로 동일할 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 1회를 초과하는 증착 사이클이 수행된 후 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 증착된 AlN막이 연속적이거나 닫혀지기 전에 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 약 10회의 증착 사이클이 지난 후, 약 20회의 증착 사이클이 지난 후, 또는 약 50회의 증착 사이클이 지난 후 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 증착 공정(200)과 동일한 반응 챔버 또는 반응기에서 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 증착 공정(200)과 상이한 반응 챔버 또는 반응기에서 수행될 수 있다.
일부 구현예에서, 제1 표면 및 제2 유전체 표면을 포함하는 기판이 제공되고, Al 및 N 함유 재료는 적어도 1회의 증착 사이클을 포함하는 주기적인 증착 공정을 이용하여 기판의 제1 표면 상에 선택적으로 증착되고, 상기 적어도 1회의 증착 사이클은 기상의 제1 전구체 및 기상의 제2 전구체와 기판을 교대로 순차적으로 접촉시키는 단계를 포함한다. 일부 구현예에서, 제1 전구체는 Al을 포함할 수 있고 제2 전구체는 N을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 트리터트부틸알루미늄을 포함할 수 있고 제2 전구체는 NH3을 포함할 수 있다.
일부 구현예에서, 제1 표면 및 제2 유전체 표면을 포함하는 기판이 제공되고, AlN은 적어도 1회의 증착 사이클을 포함하는 주기적인 증착 공정을 이용하여 기판의 제1 표면 상에 선택적으로 증착되고, 상기 적어도 1회의 증착 사이클은 기상의 제1 전구체 및 기상의 제2 전구체와 기판을 교대로 순차적으로 접촉시키는 단계를 포함한다. 일부 구현예에서, 제1 전구체는 Al을 포함할 수 있고 제2 전구체는 N을 포함할 수 있다. 일부 구현예에서, 제1 전구체는 트리터트부틸알루미늄을 포함할 수 있고 제2 전구체는 NH3을 포함할 수 있다.
일부 구현예에서, 기판이 제공되고, AlN은 적어도 1회의 증착 사이클을 포함하는 주기적인 증착 공정을 이용하여 기판의 일부 상에 증착되고, 상기 적어도 1회의 증착 사이클은 기상의 제1 전구체 및 기상의 제2 전구체와 기판을 교대로 순차적으로 접촉시키는 단계를 포함하고, 제2 전구체는 NH3을 포함할 수 있다.
일부 구현예에서, 증착 전에 기판은 전처리 공정을 거칠 수 있다. 일부 구현예에서, 전처리 공정은 선택적 증착 공정의 선택도를 향상시킬 수 있다. 일부 구현예에서, 전처리 공정은 증착 공정을 시작하기 전에 하나 이상의 상이한 표면들에 상대적인 하나의 표면 상에 AlN의 증착을 향상시킬 수 있다. 일부 구현예에서, 전처리 공정은 증착 공정을 시작하기 전에 하나 이상의 상이한 표면들에 상대적인 하나의 표면 상에 AlN의 증착을 억제할 수 있다. 일부 구현예에서, 전처리 공정은 AlN의 증착 전에 소정의 반응물, 예컨대 플라즈마에 기판을 노출시키는 단계를 포함할 수 있다.
일부 구현예에서, 기판 표면 및/또는 AlN 박막은 플라즈마 처리 공정을 선택적으로 거칠 수 있다. 일부 구현예에서, 이러한 플라즈마 처리 공정은 도 1 및 도 2와 관련하여 위에서 기술된 플라즈마 처리 공정(170 및 270)과 실질적으로 동일하다. 일부 구현예에서, 플라즈마 처리 공정은 전처리 공정과 실질적으로 동일할 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 1회를 초과하는 증착 사이클이 수행된 후 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 증착된 AlN막이 연속적이거나 닫혀지기 전에 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 약 10회 미만의 증착 사이클 후, 약 20회 미만의 증착 사이클 후, 또는 약 50회 미만의 증착 사이클 후에 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 증착 공정과 동일한 반응 챔버 또는 반응기에서 수행될 수 있다. 일부 구현예에서, 플라즈마 처리 공정은 증착 공정과 상이한 반응 챔버 또는 반응기에서 수행될 수 있다.
도 3을 참조하고 일부 구현예에 따르면, Al 및 N 함유 재료는 적어도 하나의 슈퍼-사이클(301)을 포함하는 공정(300)에 의해 제1 표면 및 상이한 제2 표면을 포함하는 기판의 상이한 제2 표면에 상대적인 제1 표면 상에 선택적으로 증착되고, 적어도 하나의 슈퍼-사이클은 하기 단계를 포함한다:
단계 320에서 상이한 제2 표면에 상대적인 기판의 제1 표면 상에 Al 및 N 함유 재료를 증착하는 단계;
단계 330에서 증착된 Al 및 N 함유 재료 전부가 제2 표면으로부터 실질적으로 제거되고 증착된 Al 및 N 함유 재료 전부가 제1 표면으로부터 제거되지 않도록 증착된 Al 및 N 함유 재료를 식각하는 단계;
단계 340에서 원하는 두께의 Al 및 N 함유 재료가 상이한 제2 표면에 상대적인 기판의 제1 표면 상에 형성될 때까지 선택적 증착 및 식각 단계를 선택적으로 반복하는 단계.
일부 구현예에서, 슈퍼-사이클(301)을 시작할 때 기판의 하나 이상의 표면은 전처리 공정을 거칠 수 있다. 일부 구현예에서, 전처리 공정은 선택적 증착 공정 단계(320)의 선택도를 향상시킬 수 있다. 일부 구현예에서, 선택적 증착 단계(320)에 앞서 전처리 공정은 하나 이상의 상이한 표면들에 상대적인 하나의 표면 상에서 Al 및 N 함유 재료의 증착을 향상시킬 수 있다. 일부 구현예에서, 선택적 증착 단계(320)에 앞서 전처리 공정은 하나 이상의 상이한 표면들에 상대적인 하나의 표면 상에서 Al 및 N 함유 재료의 증착을 억제할 수 있다. 도 3에서, 이는 슈퍼-사이클(301)의 단계 310으로 나타나는 데, 여기서 단계 320에서 Al 및 N 함유 재료의 선택적 증착에 앞서 기판은 전처리 반응물, 예컨대 플라즈마에 노출될 수 있다.
일부 구현예에서, 전처리 공정은 도 1과 2와 관련하여 본원에서 기술된 전처리 공정(110 및/또는 210)과 동일할 수 있다. 일부 구현예에서, 전처리 공정은 기판을 전처리 반응물에 노출시키는 단계를 포함할 수 있다: 일부 구현예에서, 전처리 반응물은 수소를 포함할 수 있다. 일부 구현예에서, 전처리 반응물은 수소 라디칼, 수소 원자, 수소 플라즈마 또는 이들의 조합을 포함한다. 일부 구현예에서, 전처리 반응물은 플라즈마, 예컨대 H2를 포함하는 가스로부터 발생된 플라즈마를 포함할 수 있다.
일부 구현예에서, 플라즈마는 반응기 내에 형성된다. 일부 구현예에서, 플라즈마는 기판의 상부 위에서 또는 기판과 근접하여 인 시튜로 형성될 수 있다. 다른 구현예에서, 플라즈마는 리모트 플라즈마 발생기 내에서 반응 챔버의 상류에 형성되고 플라즈마 생성물들은 반응 챔버로 향하여 기판과 접촉한다. 당업자가 인식하게 될 것처럼, 리모트 플라즈마의 경우 기판으로의 경로는 기판에 도달하기 전에 전기적으로 중성인 종을 최대화하고 이온 생존을 최소화하기 위해 최적화될 수 있다.
일부 구현예에서, 처리된 기판은 전처리 공정(310) 후, 선택적 증착 단계(320)의 시작에 앞서 분위기 환경에 노출되지 않는다. 일부 구현예에서, 처리된 기판은 전처리 공정(310) 후, 단계 320에서 선택적 증착 공정의 시작에 앞서 공기에 노출되지 않는다.
일부 구현예에서, 전처리 공정은 후속하는 선택적 증착 공정의 선택도를 향상시키기 위해 사용될 수 있다. 일부 구현예에서, 전처리 공정은 제2 상이한 표면에 상대적인 제1 표면, 예컨대 본원에 기술된 제1 및 제2 표면 상에 Al 및 N 함유 재료의 선택적 증착을 향상시킬 수 있다. 일부 구현예에서, 전처리 공정은 후속하는 선택적 증착 공정의 선택도를 약 2 초과, 약 5 초과, 또는 약 10 초과하는 인자만큼 향상시킬 수 있다.
일부 구현예에서, 전처리 공정(310)은 후속하는 선택적 증착 단계(320)와 동일한 반응 챔버 또는 반응기에서 수행될 수 있다. 일부 구현예에서, 전처리 공정(310)은 후속하는 선택적 증착 단계(320)과 상이한 반응 챔버 또는 반응기에서 수행될 수 있다.
다시 도 3을 참조하면, 단계 320에서 제2 표면에 상대적인 기판의 제1 표면 상에 Al 및 N 함유 재료가 선택적으로 증착된다. 일부 구현예에서, 단계 320에서 Al 및 N 함유 재료를 선택적으로 증착하는 것은 하나 이상의 증착 서브-사이클을 포함하는 선택적 증착 공정을 포함할 수 있고, 상기 하나 이상의 서브-사이클은 제1 기상 알루미늄 전구체 및 제2 기상 질소 전구체와 기판을 교대로 순차적으로 접촉시키는 단계를 포함한다. 일부 구현예에서, 증착 서브-사이클은 1 내지 1000회, 1 내지 500회, 1 내지 300회, 1 내지 200회, 1 내지 50회, 1 내지 100회, 또는 1 내지 50회 반복될 수 있다.
일부 구현예에서, 단계 320에서 Al 및 N 함유 재료를 선택적으로 증착하는 것은 예컨대 도 1과 2에 관련하여 본원에서 기술된 선택적 증착 공정을 포함할 수 있다. 일부 구현예에서, 단계 320에서 Al 및 N 함유 재료를 선택적으로 증착하는 것은 적어도 하나의 서브-사이클을 포함하는 ALD형 증착 공정을 포함할 수 있고, 상기 적어도 하나의 서브-사이클은 다음을 포함한다:
Al을 포함하는 제1 기상 전구체와 기판을 접촉시키는 단계;
과량의 제1 전구체 및 반응 부산물이 존재하는 경우, 과량의 제1 전구체 및 반응 부산물을 기판으로부터 제거하는 단계;
질소를 포함하는 제2 기상 전구체와 기판을 접촉시키는 단계;
과량의 제2 전구체 및 임의의 기체 부산물을 기판으로부터 제거하는 단계; 및
원하는 두께의 Al 및 N 함유 재료가 형성될 때까지 접촉 및 제거 단계를 선택적으로 반복하는 단계.
일부 구현예에서, 단계 320에서 Al 및 N 함유 재료를 선택적으로 증착하는 것은 적어도 하나의 서브-사이클을 포함하는 ALD형 증착 공정을 포함할 수 있고, 상기 적어도 하나의 서브-사이클은 다음을 포함한다:
트리메틸알루미늄(TMA)을 포함하는 제1 기상 전구체와 기판을 접촉시키는 단계;
과량의 제1 전구체 및 반응 부산물이 존재하는 경우, 과량의 제1 전구체 및 반응 부산물을 기판으로부터 제거하는 단계;
NH3를 포함하는 제2 기상 전구체와 기판을 접촉시키는 단계;
과량의 제2 전구체 및 임의의 기체 부산물을 기판으로부터 제거하는 단계; 및
원하는 두께의 Al 및 N 함유 재료가 형성될 때까지 접촉 및 제거 단계를 선택적으로 반복하는 단계.
일부 구현예에서, 단계 320에서 Al 및 N 함유 재료를 선택적으로 증착하는 것은 하나 이상의 서브-사이클을 포함할 수 있다. 일부 구현예는 적어도 약 5 서브-사이클, 적어도 약 10 서브-사이클, 적어도 약 50 서브-사이클, 적어도 약 100 서브-사이클, 적어도 약 150 서브-사이클, 적어도 약 200 서브-사이클, 적어도 약 300 서브-사이클, 적어도 약 500 서브-사이클, 또는 적어도 약 1000 서브-사이클의 반복을 포함한다. 일부 구현예에서, 선택적 증착 서브-사이클이 더 이상 선택적이지 않을 때까지 상기 선택적 증착 서브-사이클은 반복될 수 있다. 일부 구현예에서, 선택적 증착 서브-사이클이 더 이상 상당히 선택적이지 않을 때까지 상기 선택적 증착 서브-사이클은 반복될 수 있다. 일부 구현예에서, 선택적 증착 서브-사이클이 상당한 양의 선택도를 상실할 때까지 상기 선택적 증착 서브-사이클은 반복될 수 있다. 일부 구현예에서, 선택적 증착 서브-사이클이 더 이상 원하는 수준의 선택도를 달성하지 못할 때까지, 예컨대 선택적 증착 서브-사이클이 약 50% 선택도, 약 40% 선택도, 약 30% 선택도, 약 20% 선택도, 약 10% 선택도, 약 5% 선택도, 약 2% 선택도, 약 1% 이하의 선택도를 더 이상 달성하지 못할 때까지 상기 선택적 증착 서브-사이클은 반복될 수 있다. 즉, 일부 구현예에서, 단계 320에서 Al 및 N 함유 재료를 선택적으로 증착하는 것은 상기 서브-사이클이 제2 표면에 상대적인 기판의 제1 표면 상에 Al 및 N 함유 재료를 더 이상 선택적으로 증착하지 못하게 될 때까지 선택적 증착 서브-사이클, 예컨대 본원에 기술된 바와 같은 ALD 증착 사이클을 반복하는 단계를 포함할 수 있다. 일부 구현예에서, 단계 320에서 Al 및 N 함유 재료를 선택적으로 증착하는 것은 상기 서브-사이클의 선택도가 소정의 선택도 아래로 떨어질 때까지 선택적 서브-사이클, 예컨대 본원에 기술된 바와 같은 ALD 증착 사이클을 반복하는 단계를 포함할 수 있다. 예를 들어, 일부 구현예에서, 단계 320에서 Al 및 N 함유 재료를 선택적으로 증착하는 것은 상기 선택적 증착 서브-사이클이 약 50% 미만, 약 25% 미만, 약 15% 미만, 약 10% 미만, 약 5% 미만, 약 2% 미만, 약 1% 미만 또는 그 아래의 선택도를 가질 때까지 선택적 증착 서브-사이클을 반복하는 단계를 포함할 수 있다.
단계 320에서 Al 및 N 함유 재료를 선택적으로 증착한 이후, 증착된 Al 및 N 함유 재료는, 예컨대 단계 330에서 식각 공정을 거침으로써 식각된다. 일부 구현예에서, 식각 공정은 임의의 증착된 Al 및 N 함유 재료 전부를 기판의 제2 표면으로부터 실질적으로 제거하고 증착된 Al 및 N 함유 재료 전부를 기판의 제1 표면으로부터 실질적으로 제거하지 않는다. 일부 구현예에서, 식각 공정은 동일하거나 유사한 양 또는 두께의 Al 및 N 함유 재료를 기판의 제1 및 제2 표면으로부터 제거할 수 있지만, 단계 320에서 Al 및 N 함유 재료가 제2 표면에 상대적인 제1 표면 상에 선택적으로 증착되었기 때문에, Al 및 N 함유 재료의 적어도 일부 두께는 기판의 제1 표면 상에 남게 되고, 반면에 기판의 제2 표면 상에 증착되었던 Al 및 N 함유 재료 전부 또는 임의의 전부는 단계 330에서 식각 공정에 의해 제거된다.
일부 구현예에서, 단계 330에서 증착된 Al 및 N 함유 재료를 식각하는 단계는 증착된 Al 및 N 함유 재료가 기상 식각 공정을 거치는 단계를 포함할 수 있다. 일부 구현예에서, 기상 식각 공정은 주기적인 기상 식각 공정일 수 있다. 일부 구현예에서, 상기 식각 공정은 원자층 식각(ALE) 공정을 포함할 수 있다. 일부 구현예에서, 반응 공간에서 기판 표면을 적어도 하나의 기상 반응물과 접촉시키는 단계를 포함하는 원자층 식각(ALE) 공정에 의해 재료의 서브-단층 이상이 기판으로부터 제거될 수 있다. 일부 구현예에서, 하나 이상의 기상 할라이드 반응물이 사용된다. 할라이드 반응물은 금속 할라이드 또는 비금속 할라이드, 반금속 할라이드, 반/비금속 옥시할라이드, 및 유기 (옥시)할라이드일 수 있다. 일부 구현예에서, B 또는 C 오염과 같은 표면 오염이 기판 표면으로부터 제거될 수 있다.
일부 구현예에서, ALE 공정은 반응 공간에서 기판을 적어도 제1 및 제2 기상 반응물과 교대로 접촉시키는 단계를 포함한다. 일부 구현예에서, 기상 반응물 중 하나 이상은 할라이드 반응물이다. 하나 이상의 식각 사이클 또는 서브-사이클은 선택적 증착 공정 또는 선택적 증착 슈퍼-사이클의 일부로서 ALE 공정에서 제공될 수 있다. 일부 구현예에서, 식각 사이클은 기판을 두 가지 상이한 반응물에 노출시키는 단계를 포함한다. 일부 구현예에서, 식각 사이클은 기판을 세 가지 상이한 반응물에 노출시키는 단계를 포함한다. 일부 구현예에서, 식각 사이클은 기판을 네 가지 상이한 반응물에 노출시키는 단계를 포함한다. 일부 구현예에서, 식각 사이클은 기판을 다섯 가지 이상의 상이한 반응물에 노출시키는 단계를 포함한다. 일부 구현예에서, 반응물 노출들은 순차적이다. 반응물에 노출시키는 각각의 단계는 반응 공간을 퍼징하거나, 반응 챔버를 펌프 다운하여 반응 부산물과 과량의 반응물을 제거함으로써 분리될 수 있다.
일부 구현예에서, 식각될 기판은 할라이드, 산소 화합물, 산소 소거제, 할라이드 교환 드라이버, 리간드 교환자 및 금속 유기 또는 무기 반응물로부터 선택되는 하나 이상의 반응물에 노출된다. 산소 화합물은, 예를 들어 H2O, O2 또는 O3을 포함할 수 있다. 산소 소거제 또는 할라이드 교환 드라이버는, 예를 들어 ChyClx 또는 CCl4를 포함할 수 있다. 일부 구현예에서, 산소 소거제 또는 할라이드 교환 드라이버는 비금속(또는 반금속) 할라이드를 포함하는 본원에 기술된 할라이드이다. 리간드 교환자, 또는 금속 또는 무기 반응물은, 예를 들어 Hacac, 또는 TMA/Sn(acac)2를 포함할 수 있다. 일부 구현예에서, 리간드 교환자는 비금속 또는 반금속 할라이드를 비롯하여 본원에 기술된 할라이드일 수 있다.
일부 구현예에서, 식각 사이클은, 기판이 적어도 하나의 기상 반응물, 예컨대 할라이드 반응물과 접촉되는 포화적, 자기 제한적 흡착 단계를 포함한다. 예를 들어, 기판이 제1 기상 반응물과 접촉된 후, 기판이 제2 기상 반응물과 접촉되는 제2 노출 단계가 수행될 수 있다. 제1 흡착 단계에서, 제1 반응물은 기판 상의 식각될 재료에 자기 제한 방식으로 흡착된다. 그런 다음, 제2 노출 단계는 흡착질 원자, 제2 전구체 원자 및 식각 중인 표면으로부터의 일부 원자들을 포함하는 휘발성 부산물의 형성으로 이어진다. 이런 방식으로, 기판 표면 상에서 원하는 재료의 식각이 세밀하게 제어될 수 있다.
일부 구현예에서, 반응은 자기 제한적이지 않다. 그러나, 하나 이상의 반응물의 양을 조절하여 공급함으로써 식각을 조절할 수 있다.
일부 구현예에서, 반응 챔버 내로 전구체들을 교대로 순차적으로 공급함으로써 기상 반응을 회피한다. 기상 반응물들은, 예를 들어 반응물 펄스들 사이에 반응 챔버로부터 과량의 반응물 및/또는 반응 부산물을 제거함으로써 반응 챔버에서 서로 분리된다. 반응물은 퍼지 가스 및/또는 진공을 이용해 기판 표면과 근접한 곳으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응물 부산물은, 예를 들어 불활성 가스로 퍼징함으로써 반응 공간으로부터 제거된다. 반응물의 분리 및 반응의 자기 제한성 때문에 각각의 ALE 식각 사이클에서 하나의 단층 미만의 재료가 일반적으로 제거된다. 그러나, 일부 구현예에서는, 하나의 단층을 초과하는 재료가 각 사이클에서 제거될 수 있다. 일부 구현예에서, 반응물들의 펄스들은 부분적으로 또는 완전히 중첩할 수 있다. 예를 들어, 일부 구현예에서, 하나 이상의 추가적인 반응물이 원하는 간격으로 간헐적으로 제공되는 반면, 일 반응물은 반응 공간 내로 연속적으로 흐를 수 있다.
일부 구현예에서, 본원에 개시된 ALE 방법은 플라즈마 식각 공정과 대조되는 열 식각 공정이다. 따라서, 플라즈마 반응물은 이러한 열적 ALE 식각 사이클에 사용되지 않는다. 플라즈마 반응물을 사용하는 공정과 차별화 하기 위해 열적 ALE 공정으로 지칭하였지만, 일부 구현예에서, ALE 반응은 제로 활성화 에너지를 가질 수 있고, 따라서 임의의 추가적인 열적 에너지가 필요하지 않을 수 있다. 따라서, 반응은 화학 식각 공정으로 지칭될 수도 있다. 열적 ALE 방법은 하부 기판에 손상을 덜 줄 수 있기 때문에, 상황에 따라 열적 ALE 방법이 플라즈마 ALE 방법보다 더 바람직할 수 있다. 또한, 열적 ALE 방법은 비가시선(NLOS) 특성의 등방성 식각을 가능하게 한다.
그러나, 일부 구현예에서, 식각 공정은 플라즈마를 포함하는 ALE 공정을 포함할 수 있다. 일부 구현예에서, ALE 공정은 증착된 Al 및 N 함유 재료를 적어도 하나의 기상 식각 반응물과 접촉시키는 단계를 포함할 수 있다. 일부 구현예에서, 식각 공정은 건식 식각 공정, 예컨대 반응성 이온 식각 공정일 수 있다. 일부 구현예에서, 건식 식각 공정은 열적 건식 식각 공정일 수 있다. 일부 구현예에서, 건식 식각 공정은 플라즈마의 사용을 포함할 수 있다.
일부 구현예에서, 단계 330의 식각 공정은 하나 이상의 식각 서브-사이클을 포함하는 ALE 공정을 포함할 수 있고, 하나 이상의 식각 서브-사이클은 증착된 Al 및 N 함유 재료를 제1 기상 식각 반응물 및 제2 기상 식각 반응물과 접촉시키는 단계를 포함한다. 일부 구현예에서, 식각 서브-사이클은 1 내지 1000회, 1 내지 500회, 1 내지 300회, 1 내지 200회, 1 내지 50회, 1 내지 100회, 또는 1 내지 50회 반복될 수 있다.
일부 구현예에서, 단계 330의 식각 공정은 하나 이상의 식각 서브-사이클을 포함하는 ALE 공정을 포함할 수 있고, 상기 식각 서브-사이클은 다음을 포함한다:
기판을 제1 기상 식각 반응물과 접촉시키는 단계;
과량의 제1 식각 반응물 및 반응 부산물이 존재하는 경우, 과량의 제1 식각 반응물 및 반응 부산물을 기판으로부터 제거하는 단계;
기판을 제1 기상 식각 반응물과 접촉시키는 단계;
과량의 제2 식각 반응물 및 반응 부산물이 존재하는 경우, 과량의 제2 식각 반응물 및 반응 부산물을 기판으로부터 제거하는 단계; 및
예를 들어, 증착된 Al 및 N 함유 재료가 기판의 제2 표면으로부터 실질적으로 완전히 제거될 때까지 증착된 Al 및 N 함유 재료를 원하는 정도까지 식각하기 위한 접촉 및 제거 단계를 선택적으로 반복하는 단계.
일부 구현예에서, 단계 330의 식각 공정은 하나 이상의 식각 서브-사이클을 포함하는 ALE 공정을 포함할 수 있고, 상기 식각 서브-사이클은 다음을 포함한다:
기판을 제1 기상 할라이드 식각 반응물, 예컨대 NF3과 접촉시키는 단계;
과량의 제1 식각 반응물 및 반응 부산물이 존재하는 경우, 과량의 제1 식각 반응물 및 반응 부산물을 기판으로부터 제거하는 단계;
기판을 Al을 포함하는 제2 기상 식각 반응물, 예컨대 TMA와 접촉시키는 단계;
과량의 제2 식각 반응물 및 반응 부산물이 존재하는 경우, 과량의 제2 식각 반응물 및 반응 부산물을 기판으로부터 제거하는 단계; 및
예를 들어, 증착된 Al 및 N 함유 재료가 기판의 제2 표면으로부터 실질적으로 완전히 제거될 때까지 증착된 Al 및 N 함유 재료를 원하는 정도까지 식각하기 위한 접촉 및 제거 단계를 선택적으로 반복하는 단계.
일부 구현예에서, 식각 서브-사이클은 1회 이상 반복될 수 있다. 일부 구현예는 적어도 약 5 서브-사이클, 적어도 약 10 서브-사이클, 적어도 약 50 서브-사이클, 적어도 약 100 서브-사이클, 적어도 약 150 서브-사이클, 적어도 약 200 서브-사이클, 적어도 약 300 서브-사이클, 적어도 약 500 서브-사이클, 또는 적어도 약 1000 서브-사이클의 반복을 포함한다. 일부 구현예에서, 식각 서브-사이클은, 증착된 Al 및 N 함유 재료 전부가 기판의 제2 표면으로부터 실질적으로 제거되고 증착된 Al 및 N 함유 재료 전부가 기판의 제1 표면으로부터 실질적으로 제거되지 않을 때까지 반복될 수 있다.
일부 구현예에 따르면, 각각의 서브-사이클은 약 20 내지 약 1200℃, 약 50 내지 약 800℃, 약 75 내지 약 600℃, 약 300 내지 약 500℃, 또는 약 350 내지 약 450℃ 범위의 온도에서 수행될 수 있다. 일부 구현예에서, 온도는 약 20℃, 50℃ 또는 100℃를 초과하되, 약 1000℃, 800℃, 600℃ 또는 500℃ 미만이다. 일부 구현예에서, 사이클은 약 450℃의 온도에서 수행된다.
반응 챔버 내 압력은 일반적으로 약 10-9 토르(torr) 내지 약 760 토르, 또는 약 0.001 토르 내지 약 100 토르이다. 그러나, 주어진 특정 상황에서 당업자에 의해 결정될 수 있는 바와 같이, 일부의 경우 압력은 이러한 범위보다 높거나 낮을 수 있다. 일부 구현예에서, 2 토르 미만의 압력이 사용된다.
일부 구현예에서, 식각 반응물은 식각될 표면을 갖는 표면과 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3초, 또는 약 0.2초 내지 약 1.0초 동안 접촉한다. 일부 구현예에서, 식각 반응물은 식각될 기판 표면과 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3초, 약 0.2초 내지 약 1.0초 동안 접촉한다. 일부 구현예에서, 접촉 시간은 약 60초를 초과할 수 있다. 그러나, 반응기 유형, 식각될 재료 및 기타 공정 조건, 예컨대 표면적 및 온도에 따라 식각 반응물의 접촉 시간은 심지어 약 10초보다 더 길 수 있다. 일부 구현예에서, 접촉 시간은 분 단위일 수 있다. 최적의 접촉 시간은 특정 환경에 기초하여 당업자에 의해 쉽게 결정될 수 있다.
일부 구현예에서, 과량의 반응물 및 반응 부산물이 있으면, 과량의 반응물 및 반응 부산물은, 예를 들어 반응 챔버를 불활성 퍼지 가스로 퍼징함으로써 기판으로부터 제거될 수 있다. 일부 구현예에서, 반응 챔버는 과량의 반응물 및 반응 부산물을 반응 공간으로부터 확산시키거나 퍼징시키기에 충분한 시간 동안 캐리어 가스 또는 퍼지 가스를 계속 흐르게 하면서 식각 반응물의 흐름을 정지시킴으로써 퍼징될 수 있다. 일부 구현예에서, 과량의 식각 반응물 및 반응 부산물은 헬륨이나 아르곤과 같은 불활성 가스에 의해 퍼징된다. 일부 구현예에서, 기판은 제2 반응물이 포함된 반응 공간으로부터 다른 반응 공간으로 이동될 수 있다. 일부 구현예에서, 퍼지 가스의 펄스는 약 0.1초 내지 약 10초, 약 0.1초 내지 약 4초 또는 약 0.1초 내지 약 0.5초일 수 있다.
일부 구현예에서, 제1 기상 식각 반응물은 할라이드를 포함할 수 있다. 일부 구현예에서, 제1 기상 식각 반응물은 NF3을 포함할 수 있다. 일부 구현예에서, 제1 기상 반응물은 NbF5를 포함할 수 있다. 일부 구현예에서, 제1 기상 식각 반응물은 플라즈마 또는 여기된 반응물을 포함하지 않을 수 있다. 일부 구현예에서, 제2 기상 식각 반응물은 알루미늄을 포함할 수 있다. 일부 구현예에서, 제2 기상 식각 반응물은 트리터트부틸알루미늄(TTBA), 트리메틸알루미늄(TMA) 또는 트리에틸알루미늄(TEA) 중 하나를 포함할 수 있다. 일부 구현예에서, 제2 기상 식각 반응물은 선택적 증착 단계(320)의 기상 전구체와 동일할 수 있다. 일부 구현예에서, 제2 기상 식각 반응물은 TMA를 포함할 수 있다. 일부 구현예에서, 제2 기상 식각 반응물은 플라즈마 또는 여기된 반응물을 포함하지 않을 수 있다.
일부 구현예에서, 식각 단계(330)는 선택적 증착 단계(320)와 동일한 반응 공간에서 수행된다. 그러나, 일부 구현예에서, 식각 단계(330)는 선택적 증착 단계(320)와 상이한 반응 공간에서 수행될 수 있다. 일부 구현예에서, 원하는 두께의 Al 및 N 함유 재료가 제2 표면에 상대적인 기판의 제1 표면 상에 형성될 때까지 단계 340에서 AlN을 선택적으로 증착하는 단계 및 기판 식각 단계가 선택적으로 반복될 수 있다. 즉, 원하는 두께의 Al 및 N 함유 재료가 제2 표면에 상대적인 기판의 제1 표면 상에 형성될 때까지 슈퍼-사이클(301)은 선택적으로 반복될 수 있다. 일부 구현예에서, 식각 단계(330) 후, 다른 슈퍼-사이클(301)을 시작하기 전에 기판은 분위기 환경에 노출되지 않는다. 일부 구현예에서, 식각 단계(330) 후, 다른 슈퍼-사이클(301)을 시작하기 전에 기판은 공기에 노출되지 않는다.
제1 전구체
본원에 기술된 선택적 증착 공정에 수많은 상이한 제1 전구체들이 선택적 증착 사이클 및/또는 선택적 증착 서브-사이클의 제1 전구체들로서 사용될 수 있다. 일부 구현예에서, 제1 전구체는 알루미늄을 포함하는 유기금속 화합물이다. 일부 구현예에서, 제1 전구체는 알킬 알루미늄 화합물이다. 일부 구현예에서, 제1 전구체는 알루미늄 이외의 임의의 다른 금속들을 포함하지 않는다.
일부 구현예에서, 제1 전구체는 식 R3Al을 갖는 화합물이고, 여기서 R은 알킬기이다. 각각의 R은 메틸, 에틸기, 프로필기, 이소프로필기, n-부틸기, 이소부틸기, 및 터트부틸기의 목록으로부터 독립적으로 선택될 수 있다. 각각의 R은 바람직하게는 메틸기, 에틸기 및 터트부틸기로부터 독립적으로 선택될 수 있다. 일부 구현예에서, 각각의 R은 C1-C4 알킬기들로부터 독립적으로 선택될 수 있다.
일부 구현예에서, 제1 전구체는 Me3Al, Et3Al, 또는 tBu3Al을 포함한다. 일부 구현예에서, 제1 전구체는 트리터트부틸알루미늄(TTBA)이다. 위에서 언급된 바와 같이, 일부 구현예에서, 제1 전구체는 트리메틸알루미늄(TMA)이다.
일부 구현예에서, 제1 전구체는 할라이드가 아니다. 일부 구현예에서, 제1 전구체는 모든 리간드가 아니라 적어도 하나의 리간드에 할로겐을 포함한다. 일부 구현예에서, 제1 전구체는 하나의 염소 리간드와 적어도 두 개의 알킬 리간드를 포함한다. 일부 구현예에서, 제1 전구체는 AlCl3이다.
일부 구현예에서, 제1 전구체는 모든 리간드가 아니라 적어도 하나의 리간드로서 수소를 포함할 수 있다. 일부 구현예에서, 제1 전구체는 적어도 하나의 수소 리간드와 적어도 하나의 알킬 리간드를 포함할 수 있다.
일부 구현예에서, 제1 전구체는 질소를 포함하지 않는다. 일부 구현예에서, 제1 전구체는 실리콘을 포함하지 않는다. 일부 구현예에서, 제1 전구체는 산소를 포함하지 않는다. 일부 구현예에서, 제1 전구체는 질소, 실리콘 또는 산소를 포함하지 않는다.
제2 전구체
일부 구현예에서, 제2 전구체는 질소-수소 결합을 포함한다. 일부 구현예에서, 제2 전구체는 암모니아(NH3)이다. 일부 구현예에서, 제2 전구체는 질소 분자이다. 일부 구현예에서, 제2 전구체는 질소 함유 플라즈마이다. 일부 구현예에서, 제2 전구체는 질소 함유 플라즈마, 예컨대 질소 및 수소 함유 플라즈마이다. 일부 구현예에서, 제2 전구체는 활성화되거나 여기된 질소종을 포함한다. 일부 구현예에서, 제2 전구체는 질소 반응물 및 불활성 가스, 예컨대 아르곤의 혼합물일 수 있는 질소 함유 가스 펄스로 제공될 수 있다.
집적(Integration)
본 개시의 Al 및 N 함유 재료는 다양한 반도체 응용 분야에서 사용될 수 있다. 예를 들어, Al 및 N 함유 재료는 자기정렬된 콘택 형성 공정에서 식각 정지층, 예컨대 콘택 식각 정지층으로서 특히 유용할 수 있다. 자기정렬된 소스/드레인 콘택은 콘택 리소그라피를 위한 오정렬 마진을 제공하기 위해 사용될 수 있다. 그러나, 표준 자기정렬된 콘택 공정은 추가적인 공정 단계, 예컨대 다수의 금속 리세스 단계, SiN 충진 단계, 및 화학적-기계적 평탄화를 이용한 SiN 폴리싱 단계를 요구한다.
또한, 소자를 더 최소화함으로 인하여 표준 자기정렬된 콘택 공정에서 SiN 측벽 스페이서 및 식각 정지층의 추가적인 스케일링을 위한 필요는 스페이서 또는 식각 정지층의 과식각으로 인하여 콘택과 금속 게이트 간 단락의 위험을 생기게 할 수 있다.
일부 구현예에서, 본 개시의 Al 및 N 함유 재료는 금속 리세스를 포함하지 않는 자기 정렬식 콘택 공정에서 식각 정지층으로서 사용될 수 있다. 일부 구현예에서, 본 개시의 Al 및 N 함유 재료는 식각 저항성이다. 도 4는 일부 구현예에 따라 Al 및 N 함유 재료 보호층, 캐핑층, 또는 식각 정지층을 포함하는 자기정렬된 콘택 공정을 위한 공정 흐름을 도시한다. 일부 구현예에서, 자기정렬된 콘택(400)을 형성하기 위한 공정은 다음과 같이 진행된다:
단계 401에서, 소스/드레인 영역의 위에 놓인 제1 표면 및 상이한 제2 표면을 포함하는 반도체 기판이 제공되고;
단계 402에서, Al 및 N 함유 보호층 또는 식각 정지층, 예컨대 AlN이 제2 표면에 상대적인 기판의 제1 표면 상에 선택적으로 증착되고;
단계 403에서, 제2 표면은, 예컨대 습식 식각 공정을 이용하여 제거되고;
단계 404에서, 제거된 제2 표면을 대신하여 콘택이 기판의 소스/드레인 영역 상에 형성된다.
일부 구현예에 따르면, 단계 401에서, 반도체를 포함하는 기판이 제공된다. 반도체 기판은 제1 표면 및 상이한 제2 표면을 포함한다. 일부 구현예에서, 제1 표면은 전도성 표면을 포함한다. 일부 구현예에서, 제1 표면은 하나 이상의 금속 질화물을 포함한다. 일부 구현예에서, 제1 표면은 전도성 게이트의 표면 및/또는 스페이서의 표면을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 표면은 TiN 게이트 및 SiN 스페이서를 포함할 수 있다. 제2 표면은 바람직하게는 유전체 표면이다. 일부 구현예에서, 유전체는 SiO2를 포함한다. 일부 구현예에서, 제2 표면은 소스/드레인 영역 위에 놓인 더미 콘택이다. 일부 구현예에서, SiO2 더미 콘택은 소스/드레인 영역 위에 놓인다.
일부 구현예에서, 반도체 기판은 게이트 폴리싱을 통한 표준 공정을 거친다. 즉, 반도체 기판은 본 기술에서 잘 알려진 것처럼 소스, 게이트 및 드레인을 형성하기 위한 표준 대체 금속 게이트 공정 흐름을 거칠 수 있다. 일부 구현예에서, 반도체 기판은 화학적-기계적 평탄화 공정을 거칠 수 있다.
단계 402에서, Al 및 N 함유 보호층 또는 식각 정지층이 제2 표면에 상대적인 기판의 제1 표면 상에 선택적으로 증착된다. 일부 구현예에서, Al 및 N 함유 보호 재료는 TiN 게이트 및 SiN 스페이서 상에 형성된다. 일부 구현예에서, Al 및 N 함유 보호 재료는 TiN 게이트 및 SiN 스페이서 상에 직접 형성된다.
일부 구현예에서, Al 및 N 함유 보호층은 본원에 기술된 ALD 공정에 의해 증착된다. 일부 구현예에서, 기판은 Al을 포함하는 제1 전구체 및 N을 포함하는 제2 전구체와 교대로 순차적으로 접촉된다. 일부 구현예에서, Al 및 N 함유 보호층은 AlN을 포함한다. 일부 구현예에서, Al 및 N 함유 보호층은 AlN 박막을 포함한다.
일부 구현예에서, 기판의 제2 표면에 상대적인 기판의 제1 표면 상에 Al 및 N 함유 보호층의 증착은 적어도 약 90% 선택적, 적어도 약 95% 선택적, 적어도 약 96%, 97%, 98% 또는 99% 이상 선택적이다. 일부 구현예에서, Al 및 N 함유 재료의 증착은 제1 표면 상에서만 일어나고 제2 표면 상에서는 일어나지 않는다. 일부 구현예에서, 기판의 제2 표면에 상대적인 기판의 제1 표면 상의 증착은 적어도 약 80% 선택적이거나 적어도 약 50% 선택적이다.
일부 구현예에서, Al 및 N 함유 보호층 또는 식각 정지층은 특별한 두께를 갖도록 기판의 제1 표면 상에 증착된다. 적합한 두께는 약 0.1 nm 이상, 약 10 nm 이하일 수 있다. 일부 구현예에서, 두께는 약 0.1 nm 내지 약 5 nm일 것이다. 일부 구현예에서, 두께는 약 1 nm 내지 약 5 nm일 것이다. 일부 구현예에서, 두께는 약 1 nm 내지 약 3 nm일 것이다. 일부 구현예에서, 두께는 약 2 nm 내지 약 3 nm일 것이다. 적합한 두께는 약 0.1 nm 이상 약 10 nm 이하일 수 있다. 일부 구현예에서, 적합한 두께는 기판 표면 상에 완전한 층을 이루는 두께(즉, 어떠한 갭도 남기지 않는 두께)일 것이다. 따라서, 완전한 층을 이루는 실제 두께는 Al 및 N 함유 재료를 얻기 위해 사용된 전구체들의 종류에 따라 달라질 수 있다.
단계 403에서 기판의 제2 표면은, 예컨대 습식 식각 공정을 이용하여 제거된다. 일부 구현예에서, 기판의 제2 표면은 dHF를 이용한 식각에 의해 제거된다. 예를 들어, 일부 구현예에서, 기판의 제1 및 제2 표면은 dHF에 노출되고 Al 및 N 함유 보호층이 하부에 놓인 게이트 및 스페이서를 식각으로부터 보호하는 동안 기판의 제2 표면이 제거된다. Al 및 N 함유 보호층은 본원에 기술된 제2 유전체 표면의 습식 식각율보다 낮은 습식 식각율을 갖기 때문에 Al 및 N 함유 보호층은 식각 정지층으로 기능할 수 있다.
계속해서 도 4를 참조하면, 단계 404에서 소스/드레인 영역 상에 지금 제거된 제2 표면 대신 콘택이 형성될 수 있다. 일부 구현예에서, 콘택은 소스/드레인 영역 위에 직접 형성될 수 있다. 일부 구현예에서, 콘택은 실리사이드 재료 또는 티타늄 함유 재료, 예컨대 Ti 또는 TiN을 포함한다. 일부 구현예에 따르면, 콘택은 이 기술에서 알려진 임의 방법 또는 미래에 개발될 방법에 따라 형성될 수 있다. 예를 들어, Ti 콘택은 물리적 기상 증착(PVD)에 의해 형성될 수 있거나 TiN 콘택은 원자층 증착(ALD)에 의해 형성될 수 있다.
일부 구현예에서, 콘택 또는 콘택들의 형성 후, 기판은 선택적으로 추가적인 가공 또는 처리 단계들을 거칠 수 있다.
일부 구현예에서, 본 개시의 Al 및 N 함유 재료는 금속 리세스를 포함하지 않는 자기정렬된 콘택 공정에서 식각 정지층으로서 사용될 수 있다. 도 5는 일부 구현예에 따라 Al 및 N 함유 재료 보호층 또는 식각 정지층을 포함하는 자기정렬된 콘택 공정을 위한 공정 흐름을 도시한다. 일부 구현예에서, 자기정렬된 콘택(500)을 형성하기 위한 공정은 다음과 같이 진행된다:
단계 501에서, 소스/드레인 영역의 위에 놓인 제1 표면 및 상이한 제2 표면을 포함하는 반도체 기판이 제공되고;
단계 502에서, 제1 표면의 일부가 제거되어 거기에 리세스를 생성하고;
단계 503에서, Al 및 N 함유 보호층 또는 식각 정지층, 예컨대 AlN이 제2 표면에 상대적인 기판의 제1 표면 상에 선택적으로 증착되고;
단계 504에서, 제2 표면은, 예컨대 습식 식각 공정을 이용하여 제거되고 제거된 제2 표면을 대신하여 콘택이 기판의 소스/드레인 영역 상에 형성된다.
일부 구현예에 따르면, 단계 501에서, 반도체를 포함하는 기판이 제공된다. 반도체 기판은 제1 표면 및 상이한 제2 표면을 포함한다. 일부 구현예에서, 제1 표면은 전도성 표면을 포함한다. 일부 구현예에서, 제1 표면은 하나 이상의 금속 질화물을 포함한다. 일부 구현예에서, 제1 표면은 전도성 게이트의 표면 및/또는 스페이서의 표면을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 표면은 TiN 게이트 및 SiN 스페이서를 포함할 수 있다. 제2 표면은 바람직하게는 유전체 표면이다. 일부 구현예에서, 유전체는 SiO2를 포함한다. 일부 구현예에서, 제2 표면은 소스/드레인 영역 위에 놓인 더미 콘택이다. 일부 구현예에서, SiO2 더미 콘택은 소스/드레인 영역 위에 놓인다.
일부 구현예에서, 반도체 기판은 게이트 폴리싱을 통한 표준 공정을 거친다. 즉, 반도체 기판은 본 기술에서 잘 알려진 것처럼 소스, 게이트 및 드레인을 형성하기 위한 표준 대체 금속 게이트 공정 흐름을 거칠 수 있다. 일부 구현예에서, 반도체 기판은 화학적-기계적 평탄화 공정을 거칠 수 있다.
일부 구현예에 따르면, 단계 502에서, 제1 표면의 일부가 제거되어 거기에 리세스를 생성한다. 일부 구현예에서, 제거된 제1 표면의 일부는 금속 질화물이다. 일부 구현예에서, 제거된 제1 표면의 일부는 SiN이다. 일부 구현예에서, 제거된 제1 표면의 일부는 스페이서, 예컨대 SiN 스페이서를 포함할 수 있다. 일부 구현예에서, 제1 표면의 일부의 약 0.1 nm 내지 약 30 nm가 제거되어 약 0.1 nm 내지 약 30 nm의 깊이를 갖는 리세스를 생성한다. 일부 구현예에서, 제1 표면의 일부의 약 0.1 nm 내지 약 20 nm가 제거되어 약 0.1 nm 내지 약 20 nm의 깊이를 갖는 리세스를 생성한다. 일부 구현예에서, 제1 표면의 일부의 약 1 nm 내지 약 10 nm가 제거되어 약 1 nm 내지 약 10 nm의 깊이를 갖는 리세스를 생성한다.
단계 503에서, 이후 Al 및 N 함유 보호층 또는 식각 정지층이 제2 표면에 상대적인 기판의 제1 표면 상에 선택적으로 증착된다. 일부 구현예에서, Al 및 N 함유 보호 재료는 TiN 게이트 및 SiN 스페이서 상에 형성된다. 일부 구현예에서, Al 및 N 함유 보호 재료는 TiN 게이트 및 SiN 스페이서 상에 직접 형성된다.
일부 구현예에서, Al 및 N 함유 보호층은 본원에 기술된 ALD 공정에 의해 증착된다. 일부 구현예에서, 기판은 Al을 포함하는 제1 전구체 및 N을 포함하는 제2 전구체와 교대로 순차적으로 접촉된다. 일부 구현예에서, Al 및 N 함유 보호층은 AlN을 포함한다. 일부 구현예에서, Al 및 N 함유 보호층은 AlN 박막을 포함한다.
선택도는 [(제1 표면 상의 증착)-(제2표면 상의 증착)]/(제1 표면 상의 증착)에 의해 계산되는 백분율로서 제공될 수 있다. 증착은 다양한 방식들 중 하나로 측정될 수 있다. 일부 구현예에서, 증착은 증착된 재료의 측정된 두께로서 제공될 수 있다. 일부 구현예에서, 증착은 증착된 재료의 측정된 양으로서 제공될 수 있다. 일부 구현예에서, 기판의 제2 표면에 상대적인 기판의 제1 표면 상에 Al 및 N 함유 보호층의 증착은 적어도 약 90% 선택적, 적어도 약 95% 선택적, 적어도 약 96%, 97%, 98% 또는 99% 이상 선택적이다. 일부 구현예에서, Al 및 N 함유 재료의 증착은 제1 표면 상에서만 일어나고 제2 표면 상에서는 일어나지 않는다. 일부 구현예에서, 기판의 제2 표면에 상대적인 기판의 제1 표면 상의 증착은 적어도 약 80% 선택적이거나 적어도 약 50% 선택적이다.
일부 구현예에서, Al 및 N 함유 보호층 또는 식각 정지층은 특별한 두께를 갖도록 기판의 제1 표면 상에 증착된다. 적합한 두께는 0.1 nm 이상, 약 10 nm 이하일 수 있다. 일부 구현예에서, 두께는 약 0.1 nm 내지 약 5 nm일 것이다. 일부 구현예에서, 두께는 약 1 nm 내지 약 5 nm일 것이다. 일부 구현예에서, 두께는 약 1 nm 내지 약 3 nm일 것이다. 일부 구현예에서, 두께는 약 2 nm 내지 약 3 nm일 것이다. 적합한 두께는 약 0.1 nm 이상, 약 10 nm 이하일 수 있다. 일부 구현예에서, 적합한 두께는 기판 표면 상에 완전한 층을 이루는 두께(즉, 어떠한 갭도 남기지 않는 두께)일 것이다. 따라서, 완전한 층을 이루는 실제 두께는 Al 및 N 함유 재료를 얻기 위해 사용된 전구체들의 종류에 따라 달라질 수 있다.
단계 504에서 기판의 제2 표면은, 예컨대 습식 식각 공정을 이용하여 제거된다. 일부 구현예에서, 기판의 제2 표면은 dHF를 이용한 식각에 의해 제거된다. 예를 들어, 일부 구현예에서, 기판의 제1 및 제2 표면은 dHF에 노출되고 Al 및 N 함유 보호층이 하부에 놓인 게이트 및 스페이서를 식각으로부터 보호하는 동안 기판의 제2 표면이 제거된다. Al 및 N 함유 보호층은 본원에 기술된 제2 유전체 표면의 습식 식각율보다 낮은 습식 식각율을 갖기 때문에 Al 및 N 함유 보호층은 식각 정지층으로 기능할 수 있다. 지금 제거된 제2 표면을 대신하여 이후 콘택이 기판의 소스/드레인 영역 상에 형성된다. 일부 구현예에서, 콘택은 소스/드레인 영역 위에 직접 형성될 수 있다. 일부 구현예에서, 콘택은 실리사이드 재료 또는 티타늄 함유 재료, 예컨대 Ti 또는 TiN을 포함한다. 일부 구현예에 따르면, 콘택은 이 기술에서 알려진 임의 방법 또는 미래에 개발될 방법에 따라 형성될 수 있다. 예를 들어, Ti 콘택은 물리적 기상 증착(PVD)에 의해 형성될 수 있거나 TiN 콘택은 원자층 증착(ALD)에 의해 형성될 수 있다.
일부 구현예에서, 콘택 또는 콘택들의 형성 후, 기판은 선택적으로 추가적인 가공 또는 처리 단계들을 거칠 수 있다.
실시예 1
본 실시예에서, 기판의 제2 상이한 표면에 상대적인 기판의 제1 표면 상에 AlN을 선택적으로 증착하였다. 본 실시예에서, 기판의 제1 표면은 ALD에 의해 증착된 TiN을 포함하였고 기판의 제2 표면은 플라즈마 강화 기상 증착(PEALD)에 의해 증착된 SiO2를 포함하였다. 트리메틸알루미늄(TMA)을 제1 전구체로서 NH3를 제2 전구체로서 사용하는 ALD 공정에 의해 시료 AlN막들을 선택적으로 증착하였다. 각각의 증착 사이클을 375℃의 온도와 2 토르의 반응 챔버 압력에서 수행하였다. 각각의 증착 사이클은 0.5초의 제1 전구체 펄스와 2초의 제2 전구체 펄스를 포함하였다. 각각의 TMA 펄스 후, 반응 챔버를 3초 동안 퍼징하였고, 각각의 NH3 펄스 후 반응 챔버를 2초 동안 퍼징하였다.
30 내지 70회의 증착 사이클로 구성되는 ALD 공정을 이용하여 시료들을 증착하였다. 도 6에 도시된 바와 같이, 제1 TiN 표면 상에 증착된 재료의 두께를 측정하여 제2 SiO2 표면 상에 증착된 재료의 두께와 비교하였다. 제2 SiO2 표면에 대한 제1 TiN 표면 상에 증착된 재료 두께의 비는 증착 공정의 선택도를 정의한다. 도 6은 70회의 증착 사이클로 구성되는 ALD 공정에서 제2 표면에 대하여 제1 표면 상에 증착된 AlN의 비가 약 8.5:1(약 89%의 선택도를 표시함)이라는 것을 나타낸다.
실시예 2
본 실시예에서, 기판의 제2 상이한 표면에 상대적인 기판의 제1 표면 상에 AlN을 선택적으로 증착하였다. 본 실시예에서, 기판의 제1 표면은 ALD에 의해 증착된 TiN을 포함하였고 기판의 제2 표면은 PEALD에 의해 증착된 SiO2를 포함하였다. 추가 시료들을 준비하였는 데, 여기서 자연 실리콘 산화물을 포함하는 제2 표면에 상대적인, ALD에 의해 증착된 TiN을 포함하는 제1 표면 상에 AlN이 선택적으로 증착되었다. 트리메틸알루미늄(TMA)을 제1 전구체로서 NH3를 제2 전구체로서 사용하는 ALD 공정에 의해 시료 AlN막들을 선택적으로 증착하였다. 각각의 증착 사이클을 375℃의 온도와 2 토르의 반응 챔버 압력에서 수행하였다. 각각의 증착 사이클은 0.5초의 제1 전구체 펄스와 1초의 제2 전구체 펄스를 포함하였다. 각각의 TMA 펄스 후, 반응 챔버를 3초 동안 퍼징하였고, 각각의 NH3 펄스 후 반응 챔버를 2초 동안 퍼징하였다.
70 내지 150회의 증착 사이클로 구성되는 ALD 공정을 이용하여 시료들을 증착하였다. 도 7에 도시된 바와 같이, 제1 TiN 표면 상에 증착된 재료의 두께를 측정하여 제2 SiO2 및 자연 산화물 표면들 상에 증착된 재료의 두께와 비교하였다. 도 7은 130회의 증착 사이클로 구성되는 ALD 공정에서 제2 PEALD SiO2 표면에 대하여 제1 표면 상에 증착된 AlN의 비가 약 43:1(약 98%의 선택도를 표시함)이라는 것을 나타낸다. 110회의 증착 사이클로 구성되는 ALD 공정에서 제2 자연 산화물 표면에 대하여 제1 표면 상에 증착된 AlN의 비가 약 3:1(약 75%의 선택도를 표시함)이라는 것을 나타낸다.
실시예 3
본 실시예에서, 기판의 제2 상이한 표면에 상대적인 기판의 제1 표면 상에 AlN을 선택적으로 증착하였다. 기판의 제1 표면은 ALD에 의해 증착된 TiN을 포함하였고 기판의 제2 표면은 자연 실리콘 산화물을 포함하였다. AlN의 증착에 앞서, 기판은 플라즈마 처리 공정을 거쳤다. 기판은 50W의 출력에서 발생된 직접 플라즈마에 10초 동안 노출되었다. 플라즈마는 O2로부터 발생되었다.
트리메틸알루미늄(TMA)을 제1 전구체로서 NH3를 제2 전구체로서 사용하는 ALD 공정에 의해 시료 AlN막을 선택적으로 증착하였다. 각각의 증착 사이클을 375℃의 온도와 2 토르의 반응 챔버 압력에서 수행하였다. 각각의 증착 사이클은 0.5초의 제1 전구체 펄스와 1초의 제2 전구체 펄스를 포함하였다. 각각의 TMA 펄스 후, 반응 챔버를 3초 동안 퍼징하였고, 각각의 NH3 펄스 후 반응 챔버를 2초 동안 퍼징하였다. 110회의 증착 사이클로 구성되는 ALD 공정을 이용하여 시료를 증착하였다.
도 8을 참조하면, 제1 플라즈마 처리된 TiN 표면 상에 증착된 재료의 두께를 측정하여 제2 플라즈마 처리된 자연 산화물 표면 상에 증착된 재료의 두께와 비교하였다. 도 8은 또한 실시예 2의 시료들로부터 수득된 데이터를 나타낸다. 110회의 증착 사이클 후 제1 TiN 표면 상에 증착된 재료의 두께를 110회의 증착 사이클 후 제1 플라즈마 처리된 TiN 표면 상에 증착된 재료의 두께와 비교하였을 ?? AlN 두께의 상당한 변화는 관찰되지 않는다. 그러나, 110회의 증착 사이클 후 제2 자연 산화물 표면 상에 증착된 재료의 두께를 제2 플라즈마 처리된 자연 산화물 표면 상에 증착된 재료의 두께와 비교하였을 때 AlN 두께의 상당한 변화가 관찰된다. 플라즈마 처리 후, 제1 표면에 상대적인 제2 표면 상에서 어떠한 증착도 본질적으로 관찰되지 않는다. 110회의 증착 사이클로 구성되는 ALD 공정의 경우, 제2 플라즈마 처리된 자연 산화물 표면에 대한 제1 플라즈마 처리된 TiN 표면 상에 증착된 AlN의 비는 약 33:1인데, 이는, 플라즈마 처리 없는 동일한 선택적 증착 공정과 비교 시 10x를 초과하는 선택도 향상이다.
추가 시료들을 준비하였는 데, 여기서 기판의 제1 표면은 ALD에 의해 증착된 TiN을 포함하였고 기판의 제2 표면은 자연 실리콘 산화물을 포함하였다. AlN의 증착에 앞서, 기판들은 플라즈마 처리 공정을 거쳤다. 50W 내지 300W의 출력에서 발생된 직접 플라즈마에 기판들을 3초 내지 10초 동안 노출시켰다. 플라즈마는 O2로부터 발생되었다. 각 기판의 제1 표면 상에 증착된 AlN의 두께를 제2 표면 상에 증착된 재료의 두께와 비교하였고 각 시료에 대한 선택도를 계산하였다. 그 결과는 아래의 표 1에 나타난다.
직접 플라즈마 처리 조건들을 변화시켰을 때 ALD에 의해 증착된 AlN의 선택
O2 플라즈마 출력(와트) O2 직접 플라즈마 노출 시간(초) 사이클의 횟수 TiN 상에 증착된 AlN의 두께(nm) 자연 산화물 상에 증착된 AlN의 두께(nm) 선택도
50 10 110 5.21 0.16 32.6
300 10 110 4.36 0.2 21.8
300 3 110 4.40 0.43 10.2
이들 결과로부터, 직접 플라즈마 전처리 출력이 증가함에 따라 AlN의 선택도는 감소되었고, 반면에 직접 플라즈마 노출 시간이 증가함에 따라 선택도는 증가하였음을 관찰하였다.
실시예 4
본 실시예에서, 기판의 제2 상이한 표면에 상대적인 기판의 제1 표면 상에 AlN을 선택적으로 증착하였다. 본 실시예에서, 기판의 제1 표면은 ALD에 의해 증착된 TiN을 포함하였고 기판의 제2 표면은 PEALD에 의해 증착된 SiO2를 포함하였다. 추가 시료들을 준비하였는 데, 여기서 PEALD에 의해 증착된 SiO2를 포함하는 제2 표면에 상대적인, ALD에 의해 증착된 TiN을 포함하는 제1 표면 상에 AlN이 선택적으로 증착되었다. 트리메틸알루미늄(TMA)을 제1 전구체로서 NH3를 제2 전구체로서 사용하는 ALD 공정에 의해 시료 AlN막들을 선택적으로 증착하였다. 각각의 증착 사이클을 390℃의 온도와 2 토르의 반응 챔버 압력에서 수행하였다. 각각의 증착 사이클은 0.5초의 제1 전구체 펄스와 1초의 제2 전구체 펄스를 포함하였다. 각각의 TMA 펄스 후, 반응 챔버를 5초 동안 퍼징하였고, 각각의 NH3 펄스 후 반응 챔버를 또한 5초 동안 퍼징하였다.
70 내지 100회의 증착 사이클로 구성되는 ALD 공정을 이용하여 시료들을 증착하였다. 도 9에 도시된 바와 같이, 제1 TiN 및 W 표면들 상에 증착된 재료의 두께를 측정하여 제2 SiO2 표면 상에 증착된 재료의 두께와 비교하였다. 도 9는 W나 TiN 중 하나를 포함하는 제1 표면 상에서 AlN 증착은 SiO2 표면에 비해 매우 높은 선택도를 가졌음을 나타낸다.
실시예 5
본 실시예에서, 기판의 제2 상이한 표면에 상대적인 동일 기판의 제1 표면 상에 AlN을 선택적으로 형성하였다. 본 실시예에서, 기판은 W/SiO2 패턴을 포함하였는 데, 여기서 기판의 제1 표면은 실리콘 웨이퍼 상에 형성된 W 금속배선들을 포함하였다. 기판의 제2 표면은 플라즈마 강화 화학기상증착(PECVD)에 의해 증착된 SiO2를 포함하였다. 시료 AlN막들이 본원에 기술된 공정, 예컨대 도 3을 참조하면, 슈퍼-사이클을 포함하는 공정에 의해 기판의 제1 표면 상에 형성되었는 데, 슈퍼-사이클은 기판을 전처리하는 단계, 제2 표면에 상대적인 제1 표면 상에 AlN을 선택적으로 증착하는 단계, 및 증착된 AlN 전부가 제2 표면으로부터 실질적으로 제거되고 증착된 AlN 전부가 제1 표면으로부터 실질적으로 제거되지 않도록 증착된 AlN을 식각하는 단계를 포함한다. 상기 공정은 4회의 슈퍼-사이클을 포함하였다.
일부 구현에에 따르고 본원에 기술된 바와 같이, 전처리 단계는 250℃의 온도에서 H2로부터 발생된 플라즈마에 기판을 노출시키는 단계를 포함하였다. 선택적 증착 단계는 복수의 서브-사이클을 포함하는 ALD 공정을 포함하였는 데, 상기 복수의 서브-사이클은 일부 구현예에 따르고 본원에 기술된 바와 같이 TMA를 포함하는 제1 기상 전구체 및 NH3를 포함하는 제2 기상 전구체와 기판을 375℃의 온도에서 교대로 순차적으로 접촉시키는 단계를 포함하였다. 식각 단계는 복수의 서브-사이클을 포함하는 ALE 공정을 포함하는 데, 상기 복수의 서브-사이클은 NF3을 포함하는 제1 기상 식각 반응물 및 TMA를 포함하는 제2 기상 식각 반응물과 기판을 300℃의 온도에서 교대로 순차적으로 접촉시키는 단계를 포함한다. 서브-사이클들은, 증착된 AlN 전부가 제2 표면으로부터 실질적으로 제거되고 증착된 AlN 전부가 제1 표면으로부터 실질적으로 제거되지 않을 때까지 반복되었다.
도 10a는 증착된 AlN막의 주사전자현미경(SEM) 영상을 나타낸다. W을 포함하는 제1 표면 상에 약 15 nm의 AlN이 형성되었지만, SiO2를 포함하는 제2 표면 상에 관찰가능한 AlN은 형성되지 않았음을 관찰하였다. 도 10b는 기판의 일부 상세도로서, 하나의 W 배선을 나타낸다. AlN막은 W 표면 상에서 명확하게 관찰될 수 있지만, 인접한 SiO2 표면 상에서 AlN은 관찰되지 않는다.
본원에서 사용된 정도(degree)의 언어, 예컨대 본원에서 사용된 용어 "대략(approximately)", "약(about)", 및 "실질적으로"는 여전히 원하는 기능을 수행하거나 원하는 결과를 성취하는, 언급된 값, 양 또는 특징에 가까운 값, 양 또는 특징을 나타낸다. 예를 들어, 용어 "대략", "약", "일반적으로" 및 "실질적으로"는 언급된 양의 10% 이하 이내, 5% 이하 이내, 1% 이하 이내, 0.1% 이하 이내, 0.01% 이하 이내인 양을 지칭할 수 있다. 언급된 양이 0(예컨대, 없음, 갖지 않음)이면, 위에 기재된 범위는 특정 범위일 수 있고 이 값의 특정 % 이내가 아닐 수 있다. 예를 들어, 언급된 값의 10 wt./vol.% 이하 이내, 언급된 값의 5 wt./vol.% 이하 이내, 언급된 값의 1 wt./vol.% 이하 이내, 언급된 값의 0.1 wt./vol.% 이하 이내, 언급된 값의 0.01 wt./vol.% 이하 이내.  
용어 "막" 및 "박막"은 간략화를 위해 본원에서 사용된다. "막" 및 "박막"은 본원에 개시된 방법에 의해 증착된 임의의 연속적인 또는 비-연속적인 구조 및 재료를 의미한다. 예컨대, "막" 및 "박막"은 2D 재료, 나노막대, 나노튜브 또는 나노입자 또는 심지어는 단일 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. "막" 및 "박막"은 핀홀을 포함하는 재료 또는 층을 포함할 수 있지만 여전히 적어도 부분적으로 연속적일 수 있다.
당업자는 본 발명의 사상을 벗어나지 않고서 다수의 그리고 다양한 변형들이 만들어질 수 있음을 이해할 것이다. 설명된 형상, 구조물, 특징 및 전구체는 임의의 적합한 방식으로 결합될 수 있다. 따라서, 본 발명의 형태들은 단지 예시적인 것이며 본 발명의 범위를 한정하도록 의도된 것이 아니라는 것을 분명히 이해해야 한다. 모든 변형 및 변경은 첨부된 청구범위에 의해 정의된 본 발명의 범주에 속하는 것으로 의도된다.

Claims (20)

  1. 기판의 제1 표면 상에, 상기 기판의 제2의 다른 표면과 비교하여, 알루미늄 및 질소를 포함하는 물질을 선택적으로 형성하기 위한 방법으로서, 상기 방법은 하나 이상의 슈퍼-사이클들을 포함하고, 상기 하나 이상의 슈퍼-사이클들은:
    상기 기판의 상기 제1 표면 상에, 상기 기판의 상기 제2의 다른 표면과 비교하여, 알루미늄 및 질소를 포함하는 상기 물질을 선택적으로 증착하는 단계를 포함하는 하나 이상의 선택적 증착 서브-사이클들; 및
    상기 알루미늄 및 질소를 포함하는 상기 증착된 물질을 식각하는 단계를 포함하는 하나 이상의 원자층 식각 서브-사이클들을 포함하고,
    상기 하나 이상의 원자층 식각 서브-사이클들은:
    상기 기판을 제1 기상 할라이드 식각 반응물과 접촉시키는 단계; 및
    상기 기판을 알루미늄을 포함하는 제2 기상 식각 반응물과 접촉시키는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서, 상기 알루미늄 및 질소를 포함하는 상기 물질은 상기 기판의 상기 제2의 다른 표면과 비교하여 상기 기판의 제1 표면 상에 약 99%를 초과하는 선택도를 갖고서 선택적으로 형성되는 방법.
  3. 제1항에 있어서, 상기 알루미늄 및 질소를 포함하는 상기 물질은 상기 하나 이상의 선택적 증착 서브-사이클들 내에서 상기 기판의 상기 제2의 다른 표면과 비교하여 상기 기판의 상기 제1 표면 상에 5%를 초과하는 선택도를 갖고서 증착되는 방법.
  4. 제1항에 있어서, 상기 하나 이상의 슈퍼-사이클들은 상기 기판을 전처리 반응물에 노출시키는 단계를 추가로 포함하는 방법.
  5. 제4항에 있어서, 상기 기판은 상기 하나 이상의 선택적 증착 서브-사이클들 이전에 상기 전처리 반응물에 노출되는 방법.
  6. 제5항에 있어서, 상기 전처리 반응물은 플라즈마를 포함하는 방법.
  7. 제6항에 있어서, 상기 플라즈마는 H2를 포함하는 가스로부터 발생되는 방법.
  8. 제1항에 있어서, 상기 하나 이상의 선택적 증착 서브-사이클들은:
    알루미늄을 포함하는 제1 기상 전구체와 상기 기판을 접촉시키는 단계; 및
    질소를 포함하는 제2 기상 전구체와 상기 기판을 접촉시키는 단계를 포함하는 방법.
  9. 제8항에 있어서, 상기 하나 이상의 선택적 증착 서브-사이클들은, 상기 하나 이상의 선택적 증착 서브-사이클들이 더 이상 선택적이지 않을 때까지 반복되는 방법.
  10. 제8항에 있어서, 알루미늄을 포함하는 상기 제1 기상 전구체는 트리터트부틸알루미늄(TTBA), 트리메틸알루미늄(TMA) 또는 트리에틸알루미늄(TEA)을 포함하는 방법.
  11. 제8항에 있어서, 질소를 포함하는 상기 제2 기상 전구체는 NH3를 포함하는 방법.
  12. 삭제
  13. 제1항에 있어서, 상기 제1 기상 할라이드 식각 반응물은 NF3 또는 NbF5를 포함하는 방법.
  14. 제1항에 있어서, 알루미늄을 포함하는 상기 제2 기상 식각 반응물은 트리메틸알루미늄(TMA) 또는 트리에틸알루미늄(TEA)을 포함하는 방법.
  15. 제1항에 있어서, 상기 하나 이상의 원자층 식각 서브-사이클들은 300℃의 공정 온도에서 수행되는 방법.
  16. 제1항에 있어서, 상기 제1 표면은 전도성 표면이고 상기 제2의 다른 표면은 유전체 표면인 방법.
  17. 제1항에 있어서, 상기 제1 표면은 W를 포함하고 상기 제2 표면은 SiO2를 포함하는 방법.
  18. 제1항에 있어서, 상기 제1 표면은 TiN을 포함하고 상기 제2 표면은 SiO2를 포함하는 방법.
  19. 제1항에 있어서, 상기 하나 이상의 선택적 증착 서브-사이클들은 1 내지 300회 반복되고;
    상기 하나 이상의 원자층 식각 서브-사이클들은 1 내지 150회 반복되는 방법.
  20. 제1항에 있어서, 상기 하나 이상의 선택적 증착 서브-사이클들은 상기 기판을 트리메틸알루미늄(TMA)을 포함하는 제1 기상 전구체와 접촉시키는 단계와 상기 기판을 NH3을 포함하는 제2 기상 전구체와 접촉시키는 단계를 포함하고,
    상기 하나 이상의 원자층 식각 서브-사이클들은 상기 기판을 NF3을 포함하는 제1 기상 할라이드 식각 반응물 및 TMA를 포함하는 제2 기상 식각 반응물과 접촉시키는 단계를 포함하는 방법.
KR1020210101938A 2017-02-14 2021-08-03 알루미늄 및 질소 함유 재료의 선택적 증착 KR102470043B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220155211A KR102597990B1 (ko) 2017-02-14 2022-11-18 알루미늄 및 질소를 포함하는 물질의 선택적 증착 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/432,263 US10121699B2 (en) 2015-08-05 2017-02-14 Selective deposition of aluminum and nitrogen containing material
US15/432,263 2017-02-14
KR1020180018079A KR102287788B1 (ko) 2017-02-14 2018-02-13 알루미늄 및 질소 함유 재료의 선택적 증착

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180018079A Division KR102287788B1 (ko) 2017-02-14 2018-02-13 알루미늄 및 질소 함유 재료의 선택적 증착

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220155211A Division KR102597990B1 (ko) 2017-02-14 2022-11-18 알루미늄 및 질소를 포함하는 물질의 선택적 증착 방법

Publications (2)

Publication Number Publication Date
KR20210101174A KR20210101174A (ko) 2021-08-18
KR102470043B1 true KR102470043B1 (ko) 2022-11-23

Family

ID=63452996

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020180018079A KR102287788B1 (ko) 2017-02-14 2018-02-13 알루미늄 및 질소 함유 재료의 선택적 증착
KR1020210101938A KR102470043B1 (ko) 2017-02-14 2021-08-03 알루미늄 및 질소 함유 재료의 선택적 증착
KR1020220155211A KR102597990B1 (ko) 2017-02-14 2022-11-18 알루미늄 및 질소를 포함하는 물질의 선택적 증착 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020180018079A KR102287788B1 (ko) 2017-02-14 2018-02-13 알루미늄 및 질소 함유 재료의 선택적 증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220155211A KR102597990B1 (ko) 2017-02-14 2022-11-18 알루미늄 및 질소를 포함하는 물질의 선택적 증착 방법

Country Status (1)

Country Link
KR (3) KR102287788B1 (ko)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US20060226409A1 (en) 2005-04-06 2006-10-12 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US20170040164A1 (en) * 2015-08-05 2017-02-09 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060226409A1 (en) 2005-04-06 2006-10-12 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US20170040164A1 (en) * 2015-08-05 2017-02-09 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material

Also Published As

Publication number Publication date
KR102287788B1 (ko) 2021-08-10
KR20220162106A (ko) 2022-12-07
KR20210101174A (ko) 2021-08-18
KR102597990B1 (ko) 2023-11-07
KR20180093832A (ko) 2018-08-22

Similar Documents

Publication Publication Date Title
US10903113B2 (en) Selective deposition of aluminum and nitrogen containing material
US10847361B2 (en) Selective deposition of aluminum and nitrogen containing material
US11996284B2 (en) Formation of SiOCN thin films
US10991573B2 (en) Uniform deposition of SiOC on dielectric and metal surfaces
US10699899B2 (en) Atomic layer deposition of antimony oxide films
TWI781229B (zh) 基材上選擇性沉積金屬薄膜之方法
US11081342B2 (en) Selective deposition using hydrophobic precursors
US9816180B2 (en) Selective deposition
US7666773B2 (en) Selective deposition of noble metal thin films
JP2018100446A (ja) 酸化物薄膜の堆積
KR102033391B1 (ko) 금속 규화물들의 선택적 형성
TW202115270A (zh) 第六族金屬沈積方法
KR102470043B1 (ko) 알루미늄 및 질소 함유 재료의 선택적 증착

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)