JP2018100446A - 酸化物薄膜の堆積 - Google Patents

酸化物薄膜の堆積 Download PDF

Info

Publication number
JP2018100446A
JP2018100446A JP2017222532A JP2017222532A JP2018100446A JP 2018100446 A JP2018100446 A JP 2018100446A JP 2017222532 A JP2017222532 A JP 2017222532A JP 2017222532 A JP2017222532 A JP 2017222532A JP 2018100446 A JP2018100446 A JP 2018100446A
Authority
JP
Japan
Prior art keywords
substrate
precursor
gas phase
oxide
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017222532A
Other languages
English (en)
Other versions
JP7050468B2 (ja
Inventor
スヴィ ピー. ハウッカ
P Haukka Suvi
スヴィ ピー. ハウッカ
エリナ ファーム
Farm Elina
エリナ ファーム
ライヤ エイチ. マテロ
H Matero Raija
ライヤ エイチ. マテロ
エヴァ イー. トイス
E Tois Eva
エヴァ イー. トイス
ヒデミ スエモリ
Hidemi Suemori
ヒデミ スエモリ
アンティ ユハニ ニスカネン
Juhani Niskanen Antti
アンティ ユハニ ニスカネン
ソンフン チョン
Sung Hoon Jung
ソンフン チョン
ペトリ ライサネン
Raisanen Petri
ペトリ ライサネン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2018100446A publication Critical patent/JP2018100446A/ja
Priority to JP2022052748A priority Critical patent/JP7300032B2/ja
Application granted granted Critical
Publication of JP7050468B2 publication Critical patent/JP7050468B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02469Group 12/16 materials
    • H01L21/02472Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】酸化物薄膜を堆積する方法の提供。【解決手段】基材の第2の表面に対して第1の表面上に選択的に薄膜を堆積する方法において、基材を有機金属を含む第1の気相前駆体と接触させることと、基材を第1の気相前駆体と接触させた後に基材をパージガス又は真空に曝すことと、及び基材をパージガス又は真空に曝した後に基材を分子状酸素(O2)を含む第2の気相前駆体に曝すことにより、絶縁性金属酸化物を含む酸化物薄膜を形成する方法。【選択図】図1

Description

本開示は、概ね、気相堆積、特に酸化物材料の周期的な気相堆積の分野に関する。
関連技術
集積回路は、現在、様々な材料の層が半導体基材上の所定の配置で順次構築される精巧なプロセスによって製造されている。
半導体基材上の材料の所定の配置は、多くの場合、基材の表面全体に材料を堆積させた後、基材の所定の領域から材料を除去することにより、例えばマスク層の堆積及び後続の選択的エッチングプロセス等により、達成される。
場合によっては、基材上に集積化された表面を製造することに関わる工程の数を、選択的堆積プロセスを利用することにより低減することができ、後続の処理を必要とすることなく、又は後続の処理の必要性を低減して、材料を、第2の表面に対して第1の表面上に選択的に堆積する。様々な状況において、選択性は、同じ部品の異なる表面上で堆積量を区別するため、又は異なる部品上で堆積を区別するために有用であることができる。
選択的に形成するか、あるいはブランケット堆積するかに係わらず、酸化物材料は、半導体製造を含む様々な状況において有用である。多くの場合、酸化物の均一で薄い層を形成することは有用である。
いくつかの態様によれば、基材の第2の表面に対して第1の表面上に選択的に薄膜を堆積する方法が開示される。いくつかの実施形態では、方法は、基材を第1の気相前駆体と接触させることと、基材を第1の気相前駆体と接触させた後に基材をパージガス又は真空に曝すことと、及び基材をパージガス又は真空に曝した後に基材を分子状酸素(O)を含む第2の気相前駆体に曝すことと、を含み得る。いくつかの実施形態では、薄膜は、絶縁性金属酸化物を含み得る。いくつかの実施形態では、第2の表面は有機種を含み得る。
いくつかの実施形態では、第1の表面は、第2の表面とは実質的に異なる材料である。いくつかの実施形態では、方法は、基材を分子状酸素を含む第2の気相前駆体と接触させた後、基材をパージガス又は真空に曝すことを更に含み得る。いくつかの実施形態では、第2の表面は自己組織化単分子膜(SAM)を含み得る。いくつかの実施形態では、第2の表面上に堆積された薄膜の厚さ又は量は、基材の第1の表面上に選択的に堆積された薄膜の厚さ又は量の約50%未満である。
いくつかの実施形態では、第1の気相前駆体は、有機金属化合物を含み得る。いくつかの実施形態では、第1の気相前駆体は、マグネシウム、ランタン、ハフニウム、ジルコニウム、アルミニウム、イットリウム、スカンジウム、ランタニド、又は遷移金属を含み得る。いくつかの実施形態では、第1の気相前駆体は、ビス(シクロペンタジエニル)マグネシウム(Mg(Cp))を含み得る。いくつかの実施形態では、第1の気相前駆体は、ランタンホルムアミジネート(La(FAMD))を含み得る。いくつかの実施形態では、第1の気相前駆体は、テトラメチルエチルアルキルアミドハフニウム(TEMAH)を含み得る。いくつかの実施形態では、第2の気相前駆体は、酸素を含む追加の化合物を含まない。
いくつかの実施形態では、基材を分子状酸素を含む第2の気相前駆体と接触させることは、基材の第2の表面を分解も酸化もさせない。いくつかの実施形態では、薄膜は、約100℃〜約500℃の温度で堆積される。
いくつかの態様によれば、基材の表面上に酸化マグネシウム、酸化ランタン、又は酸化ハフニウム薄膜を堆積させる方法が開示される。いくつかの実施形態では、方法は、基材を、マグネシウム、ランタン又はハフニウムを含む第1の気相前駆体と接触させることと、基材をパージガス又は真空に曝すことと、基材をパージガス又は真空に曝した後に、基材を分子状酸素(O)を含む第2の気相前駆体と接触させることと、を含み得る。いくつかの実施形態では、方法は、基材を第2の気相前駆体と接触させた後、基材をパージガス又は真空に曝すことを更に含み得る。
いくつかの実施形態では、基材は、第1の表面及び第2の実質的に異なる表面とを含み、酸化マグネシウム、酸化ランタン、又は酸化ハフニウムが、第2の実質的に異なる表面に対して基材の第1の表面上に選択的に堆積され得る。いくつかの実施形態では、基材の第2の表面は有機種を含む。いくつかの実施形態では、マグネシウム、ランタン、又はハフニウムを含む第1の気相前駆体は、少なくとも1つのシクロペンタジエニル(Cp)配位子を含む。いくつかの実施形態では、分子状酸素を含む第2の気相前駆体は、酸素を含む他の化合物を全く含まない。いくつかの実施形態では、酸化マグネシウム、酸化ランタン、又は酸化ハフニウム薄膜は、基材の第2の表面に対して基材の第1の表面上に選択的に堆積され、第2の表面は有機物である。
本発明は、発明を実施するための形態から、及び本発明を例示することを意図しており本発明を限定することを意図するものではない添付図面から、よりよく理解されるであろう。
酸化物膜を堆積する方法を一般的に例示するプロセスフロー図である。 酸化マグネシウム膜を堆積する方法を一般的に例示するプロセスフロー図である。 酸化ランタン膜を堆積する方法を一般的に例示するプロセスフロー図である。 酸化ハフニウム膜を堆積する方法を一般的に例示するプロセスフロー図である。 第1の表面、第2の表面、及びパッシベーション層を含む基材を全体的に例示する概略図である。 選択的堆積処理された後の第1の表面、第2の表面、及びパッシベーション層を含む基材を全体的に例示する概略図である。 本明細書に記載のプロセスを実行するように構成された反応器を全体的に例示する概略図である。 いくつかの実施形態による堆積された酸化マグネシウム膜の堆積サイクル数の関数としての酸化マグネシウム膜厚を示すプロットである。 様々な堆積プロセス処理前後の自己組織化単分子膜(SAM)の水接触角を比較する棒グラフである。 第2の前駆体としてOを含むいくつかの実施形態による堆積プロセス、及び第2の前駆体としてHOを含む堆積プロセスを用いた、第1の自然酸化シリコン表面上に堆積されたMgO膜厚と、SAMを含む第2の表面上に堆積されたMgO膜厚とを比較した棒グラフである。 いくつかの実施形態によるHfO堆積プロセス処理された後の第1の自然酸化シリコン表面及びSAMを含む第2の表面の、HfOの目標膜厚の関数としての水接触角測定値を示すプロットである。 HfOの目標膜厚の関数として、第1の自然酸化シリコン表面上と、SAMを含む第2の表面上とに堆積したHfO膜厚を示すプロットである。 いくつかの実施形態による第2の前駆体としてOを含む堆積プロセスを用いて堆積されたHfOの目標膜厚の関数として、X線光電子分光法(XPS)によって検出されたHf(at%)の量を示すプロットである。 いくつかの実施形態による第2の前駆体としてOを含むHfO堆積プロセス処理された後、続いてArスパッタリング処理された後のSAMを含む基材の表面の組成(at%)を例示する。
酸化物薄膜の場合、堆積を達成するために、原子層堆積(ALD)及び化学蒸着(CVD)等の蒸着プロセスを使用することができる。典型的には、これらのプロセスは、酸素含有反応物質、例えば、オゾン(O)、酸素含有プラズマ又は水(HO)等、を含むが、選択的堆積プロセスでは、O又はHO反応物質の濃度を制御することが困難であり、O又はHO反応物質を反応チャンバーから除去することが困難な場合がある。更に、例えば、O又は酸素プラズマは、堆積が望ましくない第2の表面又はパッシベーション層を破壊又は酸化する可能性がある。
本開示のいくつかの態様によれば、第2の表面に対して基材の第1の表面上に酸化物材料を堆積させるのに、選択的堆積を用いることができる。いくつかの実施形態では、選択的堆積プロセスは、蒸着プロセス、例えば基材の表面と、1つ又は複数の前駆体又は反応物質との間の化学反応を利用する堆積プロセス、例えば原子層堆積タイプのプロセスであってもよい。いくつかの実施形態では、蒸着プロセスは、熱蒸着プロセスであってもよい。いくつかの実施形態では、選択的堆積プロセスは、周期的堆積プロセス、例えばALDプロセス又は周期的CVDプロセスであってもよい。いくつかの実施形態では、選択的堆積プロセスは、分子Oを含む酸素含有反応物質を含み得る。いくつかの実施形態では、選択的に堆積した酸化物材料は、酸化物薄膜を含み得る。いくつかの実施形態では、選択的に堆積した酸化物材料は、金属酸化物、例えば、誘電体又は絶縁金属酸化物、例えば酸化ランタン、酸化ハフニウム、酸化ジルコニウム、酸化マグネシウム、遷移金属酸化物若しくは酸化アルミニウム等、を含み得る。いくつかの実施形態では、堆積した金属酸化物材料は、存在する場合には、貴金属、例えばRu等の相当量を含まない。いくつかの実施形態では、堆積した金属酸化物材料は実質的に導電性ではない。いくつかの実施形態では、堆積した金属酸化物材料は、約10,000μΩ・cmより大きい、又は約1,000,000μΩ・cmより大きい比抵抗を有する。
いくつかの実施形態では、第1の表面は、金属材料又は半金属材料を含み得る。いくつかの実施形態では、第1の表面は金属性材料を含み得る。いくつかの実施形態では、第1の表面は、酸化された金属又は金属性材料を含み得る。例えば、第1の表面は金属又は金属性材料を含み、金属又は金属性材料はその表面上で酸化された、例えば金属窒化物、金属ケイ化物、金属炭化物、若しくはそれらの混合物等の材料を含むが、これらに限定されない。いくつかの実施形態では、第1の表面は、1つ又は複数の遷移金属を含む。いくつかの実施形態では、第1の表面は、1つ又は複数のAl、Cu、Co、Ni、W、Nb、Feを含む。いくつかの実施形態では、第1の表面は、1つ又は複数の貴金属、例えばRu等、を含み得る。いくつかの実施形態では、第1の表面は、Zn、Fe、Mn、又はMoを含み得る。いくつかの実施形態では、第1の表面は誘電材料を含み得る。いくつかの実施形態では、第1の表面は、半導体、又は金属酸化物、窒化物、炭化物、ホウ化物、ケイ酸塩、若しくはそれらの組み合わせを含み得る。例えば、第1の表面は、1つ又は複数のRuO、NbC、NbB、NiO、CoO、NbO、WNC、TaN、又はTiNを含み得る。
いくつかの実施形態では、第1の表面は、半導体材料を含み得る。いくつかの実施形態では、第1の表面はシリコン及び/又はゲルマニウムを含み得る。例えば、第1の表面は、窒化ケイ素、酸化ケイ素、炭化ケイ素、又は酸化ゲルマニウムを含み得る。いくつかの実施形態では、第1の表面は二酸化シリコン表面を含み得る。いくつかの実施形態では、第1の表面は、2つ以上の上記の材料の混合物を含み得る。
いくつかの実施形態では、酸化物材料は、基材の第2の異なる表面に対して基材の第1の表面上に選択的に堆積される。いくつかの実施形態では、第2の表面は、有機表面を含み得る。いくつかの実施形態では、第2の表面はポリマー表面を含み得る。例えば、いくつかの実施形態では、第2の表面は、ポリイミド、ポリアミド、ポリスチレン、ポリ尿素、又は他のそのようなポリマーを含み得る。いくつかの実施形態において、ポリマーは、二量体、三量体、ポリウレタン、ポリチオ尿素、ポリエステル、又はポリイミンを含み得る。いくつかの実施形態では、有機表面は、他のポリマー形態又は上記材料の混合物を含み得る。いくつかの実施形態では、第2の有機表面は、グラフェン又は別の形態の炭素を含む。いくつかの実施形態では、有機材料はアモルファスカーボンを含み得る。いくつかの実施形態では、アモルファスカーボンは水素を含み得る。いくつかの実施形態では、第2の有機表面はフォトレジスト材料を含む。いくつかの実施形態では、表面の、例えば大気からの炭化水素汚染がない。
いくつかの実施形態では、第2の表面は、ブロック共重合体層等の誘導自己組織化層(DSA)を含み得る。いくつかの実施形態では、第2の表面は自己組織化単分子膜(SAM)を含み得る。例えば、いくつかの実施形態では、第2の表面は、トリクロロ(オクタデシル)シランSAMを含み得る。いくつかの実施形態では、第2の表面は、選択的堆積中にパッシベーション層として働き得る。いくつかの実施形態では、第2の表面は有機パッシベーション材料を含むことができ、例えば、第2の表面はベンゾトリアゾール(BTA)を含み得る。いくつかの実施形態では、第2の表面は、選択的堆積中に第2の表面を不動態化する働きができる有機種を含み得る。いくつかの実施形態では、第2の表面は、連続層でも閉鎖層でもないことができる。いくつかの実施形態では、第2の表面は、レジスト、例えばフォトレジスト等、を含み得る。即ち、いくつかの実施形態では、第2の表面は、例えばフォトリソグラフィ又は写真製版工程で使用することができる感光材料を含み得る。例えば、いくつかの実施形態では、第2の表面は、別名EUVレジストとも称される極端紫外線リソグラフィプロセスで使用されることができるフォトレジストを含み得る。いくつかの実施形態では、第2の表面は、液浸リソグラフィプロセスで使用されることができるフォトレジスト、例えば、別名193iレジストと称される193nmの波長の光を使用する液浸リソグラフィプロセスで使用されることができるフォトレジスト、を含み得る。
いくつかの実施形態では、第2の異なる表面を形成するために、基材の第1の表面の一部の上に、第2の材料、例えばパッシベーション層、有機種若しくは材料、及び/又はSAM等、を堆積させ得る。いくつかの実施形態では、第2の表面は有機材料又は有機種を含むことができ、第1の表面は有機材料も有機種も含み得ない。
第2の表面がパッシベーション層等の有機表面を含むいくつかの実施形態では、選択的堆積プロセスは、有機表面の厚さによって測定される有機表面の約40%未満、約30%未満、約20%未満、約10%未満、約5%未満、又は約1%未満を除去又は分解し得る。いくつかの実施形態では、選択的堆積プロセスにより、有機表面から実質的にどんな物質をも除去も分解もされることができない。いくつかの実施形態では、パッシベーション層のような有機第2の表面は、酸素含有反応物質としてのOを含む選択的堆積プロセスによって、O、酸素含有プラズマ、及び/又は酸素含有反応物質としてのHOを含む類似の堆積プロセスと比較して、実質的に除去も分解もされ得ない。
選択性
選択性は、[(第1の表面上の堆積)−(第2の表面上の堆積)]/(第1の表面上の堆積)によって計算される百分率として与えられ得る。堆積は、様々な方法のいずれかで測定され得る。いくつかの実施形態では、堆積は、堆積した材料の測定された厚さとして与えられてもよい。いくつかの実施形態では、堆積は、堆積した材料の測定量として与えられてもよい。
堆積が堆積した材料の厚さとして測定され、選択性が異なる表面上の厚さの比であるいくつかの実施形態では、選択性は約10%より大きく、約50%より大きく、約75%より大きく、約85%より大きく、約90%より大きく、約93%より大きく、約95%より大きく、約98%より大きく、約99%より大きく、又は更に約99.5%より大きい。本明細書に記載の実施形態では、選択性は、持続時間又は堆積の厚さにより変化し得る。
堆積が堆積した材料の量として測定され、選択性が異なる表面上に堆積した材料の量の比であるいくつかの実施形態では、選択性は約10%より大きく、約50%より大きく、約75%より大きく、約85%より大きく、約90%より大きく、約93%より大きく、約95%より大きく、約98%より大きく、約99%より大きく、又は更に約99.5%より大きい。本明細書に記載の実施形態では、選択性は、堆積の過程にわたって持続時間又は堆積した材料の量により変化し得る。
いくつかの実施形態では、堆積は第1の表面上でのみ起こり、第2の表面上では起こらない。いくつかの実施形態では、基材の第2の表面に対して基材の第1の表面上の堆積は、少なくとも選択性約80%であり、いくつかの特定の用途には十分に選択的であることができる。いくつかの実施形態では、基材の第2の表面に対して、基材の第1の表面上の堆積は、少なくとも選択性約50%であり、いくつかの特定の用途には十分に選択的であることができる。いくつかの実施形態では、基材の第2の表面に対して、基材の第1の表面上の堆積は、少なくとも選択性約10%であり、いくつかの特定の用途には十分に選択的であることができる。当業者は、堆積における部分的な選択性さえも、得られる層に完全な選択性を容易に提供できることを理解するであろう。例えば、20nmの材料が第1の表面上に堆積され、1nmだけが第2の表面上に堆積される場合、後続の短時間のエッチング(例えば、等方性ウェットエッチング)は、第2の表面上から材料をすべて除去し、第1の表面上から材料をほんのわずかだけ除去し得る。追加的又は代替的に、第2の表面がその上の堆積を最小にするためにパッシベーション層を含む場合、パッシベーション層の除去は、その上に堆積した材料のいずれかをアンダーカット及び除去することができる。
いくつかの実施形態では、基材の第1の表面上に選択的に堆積された酸化膜は、約50nm未満、約20nm未満、約10nm未満、約5nm未満、約3nm未満、約2nm未満、又は約1nm未満の厚さであることができ、一方、基材の第1の表面上に堆積される材料の、基材の第2の表面上に堆積される材料に対する比は、約2:1以上、約20:1以上、約15:1以上、約10:1以上、約5:1以上、約3:1以上、又は約2:1以上であることができる。
いくつかの実施形態では、酸素含有反応物質としてOを含む周期的選択的堆積プロセスは、所望のレベルを超える初期選択性、例えば第1の堆積サイクルの場合50%を超える選択性、を有し得る。いくつかの実施形態では、酸素含有反応物質としてOを含む周期的選択的堆積プロセスは、O、酸素含有プラズマ、及び/又は酸素含有反応物質としてHOを含む類似の堆積プロセスと比較して、更に後続する堆積サイクルでは、所望のレベルを超える選択性、例えば50%を超える選択性、を維持し得るいくつかの実施形態では、酸素含有反応物質としてOを含む周期的選択的堆積プロセスは、O、酸素含有プラズマ、及び/又は酸素含有反応物質としてHOを含む類似の堆積プロセスよりも、25%を超えるサイクル、50%を超えるサイクル、75%を超えるサイクル、又は100%を超えるサイクル、又はより多くのサイクルでは、所望のレベルを超える選択性を維持し得る。
いくつかの実施形態では、酸素含有反応物質としてOを含む周期的選択的堆積プロセスは、O、酸素含有プラズマ、及び/又は酸素含有反応物質としてHOを含む類似の選択的堆積プロセスと比較して、1つ又は複数の堆積サイクルではより高いレベルの選択性を達成し得る。いくつかの実施形態では、酸素含有反応物質としてOを含む選択的堆積プロセスは、O、酸素含有プラズマ、及び/又は酸素含有反応物としてのHOを含む等を含む同様の選択的堆積プロセスよりも、25%高い、50%高い、75%、100%高い、又はより高い選択性を有し得る。
原子層堆積タイプのプロセス
原子層堆積(ALD)タイプのプロセスは、前駆体化学物質又は反応物質の制御された自己制御表面反応に基づいている。気相反応は、基材を前駆体と交互に順次接触させることによって回避される。気相反応物質は、例えば、過剰な反応物質及び/又は反応物質副生成物を反応物質パルス間に対象の基材の表面から除去することにより、基材の表面上で互いに分離される。いくつかの実施形態では、1つ又は複数の基材の表面は、2つ以上の気相前駆体又は反応物質と交互に順次接触する。基材の表面を気相反応物質と接触させることは、反応物質蒸気が限られた時間、基材の表面と接触することを意味する。換言すれば、基材の表面が各気相の反応物質に限られた時間曝されると理解され得る。
簡潔に述べると、少なくとも第1の表面と第2の異なる表面とを含む基材を、一般に減圧下で、適切な堆積温度に加熱する。堆積温度は、一般的に、反応物質の熱分解温度未満に維持されるが、反応物質の凝縮を回避し、所望の表面反応の活性化エネルギーを提供するのに十分高いレベルに維持される。もちろん、任意の所定のALD反応の適切な温度ウィンドウは、表面終端及び含まれる反応物質種に依存するであろう。ここで、温度は使用される前駆体に応じて変化し、一般的に約700℃以下であり、いくつかの実施形態では、堆積温度は一般的に熱ALDについて約100℃以上であり、いくつかの実施形態では、約150℃〜約350℃であり、いくつかの実施形態では、堆積温度は約175℃〜約300℃である。
基材の表面は、気相の第1の反応物質又は前駆体と接触する。いくつかの実施形態では、気相の第1の反応物質のパルスが、基材を含む反応空間に供給される(例えば、時間分割ALD)。いくつかの実施形態では、基材は、気相の第1の反応物質を含む反応空間に移動される(例えば、空間分割ALD)。第1反応物質の約1層以下の単層が自己制御で基材の第1の表面上に吸着されるように条件を選択することができる。しかし、いくつかの構成では、ハイブリッドCVD/ALDプロセス又は周期的CVDプロセスは、基材上で異なる相互反応性反応物質の重ね合わせを可能にし、したがって1サイクル当たりで単層より多くの層を生成することができる。当業者は、特定の状況に基づいて適切な接触時間を容易に決定し得る。過剰な第1の反応物質及び反応副生成物がある場合には、不活性ガスでパージすることによって、又は第1の反応物質の存在から基材を除去することによって、基材の表面から除去される。
反応物質間の重ね合わせが最小化され又は回避されるALDプロセスでは、例えば、真空ポンプを用いてチャンバーを排気することにより、及び/又はパージする(例えば、反応器内のガスをアルゴン若しくは窒素等の不活性ガスで置換する)ことにより、気相前駆体物質及び/又は気相副生成物は基材の表面から除去される。基材の表面への反応物質の供給は、典型的には、除去期間中に停止され、除去期間中に異なるチャンバー又は真空ポンプに分路され得る。典型的な除去時間は、約0.05〜20秒、約1〜10秒、又は約1〜2秒である。しかし、例えば、非常に高いアスペクト比の構造若しくは複雑な表面形態を有する他の構造上で高度な共形ステップカバレッジが必要な場合、必要に応じて他の除去時間が利用され得る。
基材の表面は、気相の第2の気体反応物質又は前駆体と接触する。いくつかの実施形態では、第2の気体反応物質のパルスが、基材を含む反応空間に供給される。いくつかの実施形態では、基材は、気相の第2の反応物質を含む反応空間に移動される。表面反応の過剰な第2の反応物質及び気体の副生成物がある場合には、これらは基材の表面から除去される。接触させること及び除去することは、各サイクルが約1層以下の単分子層を残しながら所望の厚さの薄膜が基材の第1表面の上に選択的に形成されるまで繰り返される。より複雑な材料、例えば三元材料を形成するために、基材の表面を他の反応物質と交互に順次接触させることを含む追加の段階含むことができる。
上述したように、各サイクルの各段階はALDプロセスでは自己制御することが可能である。影響を受け易い構造表面、例えば基材の第1の表面等、を飽和させるために、過剰の反応物質前駆体が各段階において供給される。表面飽和によって、(例えば、物理的サイズ又は「立体障害」の制限を受け易い)すべての利用可能な反応性部位の反応物質の占有が確実になり、したがって優れたステップカバレッジが確実になる。典型的には、各サイクルで材料の1分子層未満が堆積されるが、いくつかの実施形態では、1サイクル中に1層を超える分子層が堆積される。
過剰な反応物質の除去は、反応空間の内容物の一部を排気すること、及び/又は反応空間をヘリウム、窒素、アルゴン、又は他の不活性ガスでパージすることを含み得る。いくつかの実施形態では、パージすることは、不活性キャリアガスを反応空間に流し続けながら、反応性ガスの流れを止めることを含み得る。
基材は、様々なタイプの材料を含み得る。集積回路を製造する場合、基材は、典型的には、様々な化学的及び物理的特性を有する多数の薄膜を含む。限定ではなく例として、基材は、第1の層及び少なくとも第2の異なる層を含み得る。いくつかの実施形態では、第2の異なる層は、パッシベーション層又はブロッキング層であってもよい。いくつかの実施形態では、第2の異なる層は有機層であってもよい。
ALDタイプのプロセスで使用される前駆体は、前駆体が基材の表面と接触する前に気相であれば、標準的な条件(室温及び大気圧)下で固体、液体又は気体の材料であってもよい。基材の表面を気化した前駆体と接触させることは、前駆体蒸気が所定の時間、基材の表面と接触することを意味する。典型的には、接触時間は約0.05〜10秒である。しかし、基材のタイプ、その表面積、及び/又はチャンバーのサイズに応じて、接触時間は10秒よりも更に長くてもよい。接触時間は、場合によっては、特に複数の基材上のバッチ式堆積プロセスの場合、数分のオーダーである場合がある。当業者は、特定の状況に基づいて適切な接触時間を決定し得る。
当業者は、前駆体の質量流量を決定することもできる。いくつかの実施形態では、単一ウェーハ堆積反応容器の場合、金属前駆体の流量は、制限をしないが、約1〜1000sccm、より具体的には約100〜500sccmである。
反応チャンバー内の圧力は、典型的には約0.01〜約20ミリバール、又は約1〜約10ミリバールである。特定の状況が与えられれば、当業者によって決定され得るが、しかし、場合によっては、圧力はこの範囲よりも高い又は低いであろう。
膜の堆積を開始する前に、基材を典型的には適切な成長温度に加熱する。成長温度は、形成される薄膜の種類、前駆体の物理的特性等に応じて異なる。成長温度は、アモルファス薄膜が形成されるように堆積材料の結晶化温度未満である場合があり、又は、結晶薄膜が形成されるように結晶化温度を超える場合もある。堆積温度は、多くの因子、例えば、反応物質前駆体、圧力、流速、反応器の配置、堆積された薄膜の結晶化温度、及び堆積される材料の性質を含む基材の組成等、に応じて変化し得るが、これらに限定されない。当業者は、特定の成長温度を選択し得る。
いくつかの実施形態では、選択性を促進させるために、基材温度は、目的の反応物質についての熱ALDをサポートするのには十分高く、有機パッシベーション層の焼失を回避するのに十分に低い。例えば、成長温度は、一般的に、約100℃より高くてもよい。いくつかの実施形態では、成長温度は、約700℃以下、約500℃以下、又は約400℃以下であってもよい。いくつかの実施形態では、成長温度は約150℃〜約500℃であり、いくつかの実施形態では成長温度は約150℃〜約350℃であり、いくつかの実施形態では成長温度は約175℃〜約300℃である。
薄膜を成長させるために使用することができる反応器を堆積に使用し得る。このような反応器としては、前駆体を供給するための適切な装置及び手段を備えたALD反応器、並びにCVD反応器が挙げられる。いくつかの実施形態によれば、シャワーヘッド反応器を使用し得る。
使用することができる適切な反応器の例としては、アリゾナ州フェニックスのASM America,Inc.、及びオランダ アルメレのASM Europe B.V.から入手可能な、市販の単一基材(又は単一ウェーハ)堆積装置、例えば、Pulsar(登録商標)反応器(例えば、Pulsar(登録商標)2000及びPulsar(登録商標)3000等)、並びにEmerALD(登録商標)反応器等が挙げられる。他の市販の反応器としては、商品名Eagle(登録商標)XP及びXP8、日本エー・エス・エム(株)(東京、日本)製の反応容器が挙げられる。
いくつかの実施形態では、バッチ式反応器を使用し得る。適切なバッチ式反応器としては、ASM Europe B.V(オランダ アルメレ)から市販の商品名ALDA400(商標)及びA412(商標)のAdvance(登録商標)400シリーズ反応器が挙げられるが、これに限定されない。いくつかの実施形態では、中で処理中にボートが回転するA412(商標)等の垂直バッチ式反応器が利用される。したがって、いくつかの実施形態では、ウェーハは処理中に回転する。他の実施形態では、バッチ式反応器は、10枚以下のウェーハ、8枚以下のウェーハ、6枚以下のウェーハ、4枚以下のウェーハ、又は2枚のウェーハを収容するように構成されたミニバッチ反応器を備える。バッチ式反応器が使用されるいくつかの実施形態では、ウェーハ間の均一性は3%(1シグマ)未満、2%未満、1%未満又は更には0.5%未満である。
本明細書に記載の堆積プロセスを、クラスターツールに接続された反応器又は反応空間で任意に行うことができる。クラスターツールでは、各反応空間が1つのタイプのプロセス専用であるため、各モジュール内の反応空間の温度を一定に保つことができ、これにより各運転の前に基材をプロセス温度まで加熱する反応器と比較してスループットが向上する。更に、クラスターツールでは、反応空間を基材間で所望のプロセス圧力レベルに排気する時間を短縮することが可能である。
独立型反応器にはロードロックが装備されている。その場合、各運転と運転との間に反応空間を冷却する必要はない。いくつかの実施形態では、酸化物材料、例えば金属酸化物薄膜、を堆積させるための選択的堆積プロセスは、複数の堆積サイクル、例えばALDサイクルを含み得る。いくつかの実施形態では、各ALDサイクルは、少なくとも2つの異なる段階を含む。基材を第1の前駆体と接触させた後、過剰の第1の前駆体及び反応副生成物を基材の表面から除去することは、1つの段階とみなされることができ、第1段階、第1の前駆体段階、金属段階、金属前駆体段階、第1の金属段階、第1の金属前駆体段階等と称され得る。堆積サイクルの場合、第1段階においては、基材を、基材の表面上に約1層以下の単層を形成する第1の前駆体と接触させる。第1段階は、供給期間とも称される接触期間と、除去(例えば、パージ)期間とを含み得る。第2段階では、基材を酸素を含む第2の前駆体と接触させ、吸着した第1の前駆体を酸化物材料、例えば酸化ランタン又は酸化マグネシウム等の絶縁性金属酸化物、に転化することができる。基材を第2の前駆体と接触させた後、過剰の第2の前駆体及び反応副生成物を基材の表面から除去することは、1つの段階とみなされることができ、第2段階、第2の前駆体段階、酸化段階、酸素段階、酸素前駆体段階、第2の酸素段階、及び/又は第2の酸素前駆体段階と称され得る。N、Ar又はHe等のキャリアガスを用いて1つ又は複数の前駆体を供給してもよい。最終的な膜の組成を調整するために、必要に応じて追加の相を加えてもよく、相を除去してもよい。
図1を参照すると、いくつかの実施形態によれば、酸化物材料は、
工程120において、基材を第1の気相前駆体と接触させることと、
工程130において、任意の過剰な第1の前駆体及び反応副生成物がある場合には、これらを基材から除去することと、
工程140において、基材を分子状酸素(O)を含む第2の気相前駆体と接触させるために、基材の表面に分子状酸素(O)を供給することと、
工程150において、分子状酸素及び/又は任意の気体副生成物を含む任意の過剰の第2の前駆体を基材から除去することと、
工程170において、基材上に所望の厚さの酸化物材料が形成されるまで、工程160で接触させる工程及び除去する工程を任意に繰り返すことと、を含む少なくとも1つのサイクルを含む周期的熱蒸着堆積プロセス100により基材上に選択的に堆積される。
いくつかの実施形態では、上記の周期的堆積は、ALDタイプのプロセスであってもよい。いくつかの実施形態では、堆積は、酸化物材料を第2の表面に対して第1の表面上に選択的に形成し得る。一実施形態では、第1及び第2の表面は同じ基材上にある。別の実施形態では、第1の表面は基材上にあり、第2の表面は第1の表面とは同じではない基材上にある。
いくつかの実施形態では、基材の1つ又は複数の基材の表面は、堆積プロセス100を開始する前に前処理プロセスを受けてもよい。いくつかの実施形態では、前処理プロセスは、選択的堆積プロセス100の選択性を高め得る。いくつかの実施形態では、前処理プロセスは、堆積プロセス100を開始する前に、1つ又は複数の異なる表面に対して1つの表面上の酸化物材料の堆積を向上させ得る。いくつかの実施形態では、前処理プロセスは、堆積プロセス100を開始する前に、1つ又は複数の異なる表面に対して1つの表面上の酸化物材料の堆積を阻害し得る。
いくつかの実施形態では、前処理プロセスは、パッシベーション層又はブロック層を基材の一部の上に形成し、それにより基材上に第2の表面を形成することを含み得る。いくつかの実施形態では、前処理プロセスは、DSA層又はSAM層を基材の一部の上に形成することを含み得る。いくつかの実施形態では、前処理は、有機材料、例えばポリマー、を含む層を基材の一部の上に形成することを含み得る。
いくつかの実施形態では、前処理プロセスを使用して、後続の選択的堆積プロセスの選択性を高めることができる。いくつかの実施形態では、前処理プロセスは、第2の異なる表面上に対して第1の表面上に、酸化物材料の選択的堆積を向上させ得る。いくつかの実施形態では、前処理プロセスは、後続の選択的堆積プロセスの選択性を約2倍より高く、約5倍より高く、又は約10倍より高く向上させ得る。
いくつかの実施形態では、前処理プロセスを、後続の堆積プロセス100と同じ反応チャンバー又は同じ反応器内で実行してもよい。いくつかの実施形態では、前処理プロセスを、後続の堆積プロセス100とは異なる反応チャンバー又は異なる反応器で実行してもよい。
再び図1を参照すると、工程120で、基材は第1の前駆体と接触する。いくつかの実施形態では、第1の前駆体は、気相パルスの形態で反応チャンバーに導かれ、基材の表面と接触する。前駆体の約1層以下の単層が自己制御で基材の表面上に吸着されるように条件を選択し得る。しかし、いくつかの実施形態では、例えば、前駆体の分解により、材料の1層を超える単層が形成されるように条件を選択することができるが、場合によっては、選択性の喪失を引き起こすCVD気相反応を回避すべきである。
第1の前駆体パルスを、気相の形態で供給し得る。第1の前駆体ガスは、その種が露出した表面を飽和させるのに十分な濃度でワークピースに種を運ぶためのプロセス条件下で、その種が十分な蒸気圧を示す場合に、本明細書の目的では「揮発性」とみなされる。
いくつかの実施形態では、第1の前駆体は、基材に約0.01秒〜約60秒間、約0.02秒〜約30秒間、約0.025秒〜約20秒間、約0.05秒〜約5.0秒間、約0.05秒〜約2.0秒間、又は約0.1秒〜約1.0秒間接触する。
周期的堆積プロセスで使用される第1の前駆体は、標準的な条件(室温及び大気圧)下では固体、液体又は気体材料であってもよいが、第1の前駆体は反応チャンバーに導入されて基材の表面と接触する前は気相である。いくつかの実施形態では、第1の前駆体は、金属、例えば、マグネシウム、ランタン、ハフニウム、ジルコニウム、アルミニウム又は遷移金属を含み得る。
工程130において、過剰な第1の前駆体及び反応副生成物がある場合には、これらを基材の表面から、例えば基材をパージガス又は真空に曝すこと等、で除去する。いくつかの実施形態では、過剰の第1の前駆体及び任意の反応副生成物の除去は、窒素又はアルゴン等の不活性ガスのパルスでパージすることによって達成し得る。工程130のような除去期間中に、真空ポンプを用いて反応器チャンバーを排気することにより、及び/又は反応器内のガスをアルゴン若しくは窒素等の不活性ガスでパージすることにより、及び/又は基材を前駆体の供給から離して移動させることにより、任意の気相前駆体及び/又は任意の気相副生成物を基材の表面から除去することができる。典型的な除去時間は、約0.05〜20秒、例えば、約1〜10秒、より具体的には約1〜2秒である。しかし、非常に高いアスペクト比の構造上に、又は複雑な表面形態を有する他の構造上に層を堆積することが必要な場合等には、必要に応じて、他の除去時間を利用することができる。当業者は、特定の状況に基づいて適切な除去時間を容易に決定し得る。
上述したように、いくつかの実施形態では、過剰な第1の前駆体及び反応副生成物がある場合には、これらを除去することは、第1の前駆体がもはや基材に接触しないように、基材を移動させることを含み得る。いくつかの実施形態では、チャンバーの様々な部分から前駆体を除去しなくてもよい。いくつかの実施形態では、基材は、第1の前駆体を含むチャンバーの一部から、第2の前駆体を含む又は全く前駆体を含まないチャンバーの別の部分に移動される。いくつかの実施形態では、基材は、第1の反応チャンバーから第2の異なる反応チャンバーに移動される。いくつかの実施形態では、基材は、同じ反応チャンバー内の第1及び第2の前駆体に曝される。
工程140において、基材を分子状酸素を含む第2の気相前駆体と接触させるために、分子状Oが基材の表面に供給される。いくつかの実施形態では、第2の気相前駆体は分子状酸素を含み、基材と接触する前に励起されない。したがって、いくつかの実施形態では、工程140は、その場(in situ)でもその場外(ex situ)でも励起されることなく分子状Oを反応チャンバーに流すことを含み得る。いくつかの実施形態では、工程140は、基材の表面に分子状Oの流れを供給することを含み得る。
いくつかの実施形態では、第2の前駆体は基材に供給され、第2の前駆体は基材の表面に結合した第1の前駆体と反応する。ALDシークエンスでは、反応により、基材の表面上に酸化物材料を最大でほぼ単層形成し得る。しかし、いくつかの実施形態では、酸化物材料の1層を超える分子層が基材上に形成されるが、場合によっては、選択性の喪失を引き起こすCVD気相反応を回避すべきである。
いくつかの実施形態では、第2の前駆体は、基材に約0.01秒〜約60秒間、約0.02秒〜約30秒間、約0.025秒〜約20秒間、約0.05秒〜約5.0秒間、約0.05秒〜約2.0秒間、又は約0.1秒〜約1.0秒間接触する。しかし、反応器の種類、基材の種類及びその表面積に応じて、第2の前駆体の接触時間は、特に、非常に大きな表面積がコーティングされるバッチ式反応器又は他のプロセスの場合には、10秒を超えることさえあり得る。いくつかの実施形態では、接触時間は分のオーダーとすることができる。当業者は、特定の状況に基づいて最適な接触時間を容易に決定し得る。
反応チャンバー内の第2の前駆体の濃度は、約0.01体積%〜約99.0体積%であることができる。そして、第2の前駆体は、約1標準cm/分〜約4000標準cm/分の速度で反応チャンバーを通って流れ得る。
工程150では、工程130について上述したように、過剰な第2の前駆体及び表面反応の気体副生成物がある場合には、これらは基材から除去される。いくつかの実施形態では、不活性ガスを用いて過剰の前駆体及び反応副生成物を除去する。いくつかの実施形態では、過剰の第2の前駆体及び任意の副生成物は、基材をパージガス又は真空に曝すことによって除去される。
接触させる及び除去する操作は、各サイクルが約1層以下の単分子層を堆積しながら、所望の厚さの酸化物材料が基材の表面上に形成されるまで任意に工程160で繰り返される。場合によっては、様々な前駆体の少なくとも1種の少なくとも部分的な分解を達成することが望ましい場合がある。したがって、いくつかの実施形態では、酸化物材料の1層を超える分子層が各堆積サイクルで基材上に形成されるように条件を選択し得るが、場合によっては、選択性の喪失を引き起こすCVD気相反応を回避すべきである。場合によっては、様々な前駆体の少なくとも1種の部分的な分解が起こり得る、そしてそれは、ある場合には、いずれかの理論に縛られることなく、少なくとも部分的に分解した前駆体のOに対する反応性を増加し得る。
本開示の酸化物材料の選択的堆積プロセスは、1つ又は複数のサイクルを含み得る。いくつかの実施形態は、少なくとも約5サイクル、少なくとも約10サイクル、少なくとも約50サイクル、少なくとも約100サイクル、少なくとも約200サイクル、又は少なくとも約300サイクル、又はそれを超える繰り返しを含む。
いくつかの実施形態によれば、Oを用いて堆積された堆積薄膜は、約50%より高い、約80%より高い、約90%より高い、又は約95%より高いステップカバレッジ及びパターンローディング効果を示し得る。場合によっては、ステップカバレッジ及びパターンローディング効果は、(測定ツール又は測定方法の正確さ内で)約98%よりも大きく、場合によっては、約100%であることができる。これらの値は、2以上のアスペクト比、いくつかの実施形態では約3以上のアスペクト比、いくつかの実施形態では約5以上のアスペクト比、及びいくつかの実施形態では約8以上のアスペクト比の形体で達成され得る。
例示の酸化物材料堆積サイクルは、基材の表面を第1の気相前駆体と接触させることから始まるが、他の実施形態では、堆積サイクルは、基材の表面を分子状酸素を含む第2の気相前駆体と接触させることから始まる。基材の表面を第1の気相前駆体及び分子状酸素を含む第2の気相前駆体と接触させることは、堆積サイクルにおいて交換可能であることは、当業者には理解されるであろう。更に、いくつかのサイクルは、同じ段階の複数の逐次繰り返しを含み得る。
いくつかの実施形態では、所望の順序で所望の時間、異なる反応物質が基材の表面に交互に順次接触するように、基材を移動する。いくつかの実施形態では、除去する工程130及び150を行わない。いくつかの実施形態では、チャンバーの様々な部分から反応物質を除去しなくてもよい。いくつかの実施形態では、基材は、第1の前駆体を含むチャンバーの一部から、第2の反応物質を含むチャンバーの別の部分へ移動される。いくつかの実施形態では、基材は、第1の反応チャンバーから第2の異なる反応チャンバーに移動される。いくつかの実施形態では、基材は、同じ反応チャンバー内の第1及び第2の前駆体に曝される。
当業者は、選択された前駆体の特性に基づいて適切な反応物質蒸発温度を決定し得る。当業者は、選択された前駆体の特性及び堆積した酸化物材料の所望の特性に基づいて、定型的実験を通して最適な反応物質の接触時間を決定し得る。
堆積した酸化物材料の成長速度は、反応条件に応じて変化する。後述のように、最初の実験では、成長速度は約0.01Å/サイクルと約1.5Å/サイクルとの間で変化した。いくつかの実施形態では、成長速度は、約0.01Å/サイクル〜約10.0Å/サイクル、約0.1Å/サイクル〜約2.5Å/サイクル、又は0.3Å/サイクル〜約1.5Å/サイクルであってもよい。いくつかの実施形態では、前駆体の分解が起こる場合、成長速度は2.5Å/サイクルを超える、又は5Å/サイクルを超えることができる。
いくつかの実施形態では、堆積した酸化物材料は薄膜を含む。いくつかの実施形態では、堆積した酸化物材料は金属酸化物を含み、いくつかの実施形態では、堆積した酸化物材料は、絶縁金属酸化物、例えば、酸化ランタン、酸化ハフニウム、酸化ジルコニウム、酸化マグネシウム、遷移金属酸化物又は酸化アルミニウム等、を含む。いくつかの実施形態では、堆積した酸化物材料は、酸化ランタン、酸化ハフニウム、酸化ジルコニウム、酸化マグネシウム、遷移金属酸化物、又は酸化アルミニウムである。ここで用いられる場合には、酸化マグネシウムは、一般化学式がMgO又はMgO、(式中、xが約0.8〜約1.2である)を有する材料を指すが、酸化マグネシウムは化学量論である必要はないことが理解されるであろう。ここで用いられる場合には、酸化ランタンは、一般化学式がLa又はLaOを有する材料を指すが、酸化ランタンは化学量論である必要はないことが理解されるであろう。ここで用いられる場合には、酸化ハフニウムは、一般化学式がHfO又はHfOを有する材料を指すが、酸化ハフニウムは化学量論である必要はないことが理解されるであろう。ここで用いられる場合には、酸化ジルコニウムは、一般化学式がZrO又はZrOを有する材料を指すが、酸化ジルコニウムは化学量論である必要はないことが理解されるであろう。ここで用いられる場合には、酸化アルミニウムは、一般化学式がAl又はAlOを有する材料を指すが、酸化アルミニウムは化学量論である必要はないことが理解されるであろう。
いくつかの実施形態では、金属酸化物材料は、他の成分(例えば、金属ケイ酸塩又は金属酸窒化物)を含む。いくつかの実施形態では、金属(単数)又は金属(複数)及び酸素から本質的になる金属酸化物材料が形成される。いくつかの実施形態では、金属(単数)又は金属(複数)及び酸素以外の汚染物質は、水素を除いた場合の薄膜の約30at%以下、約20at%以下、約10at%以下、約5at%以下、約3at%以下、約1.5at%以下、又は約0.5at%以下である。いくつかの実施形態では、金属(単数)又は金属(複数)及び酸素以外の汚染物質は、水素を含む場合、薄膜の約45at%以下、約30at%以下、約20at%以下、約10at%以下、約5at%以下、約3at%以下、約1.5at%以下、又は約0.5at%以下である。いくつかの実施形態では、追加の金属相等の追加の相は、最終堆積サイクルの後に、又は堆積プロセスにおいて間欠的に供給されてもよい。
いくつかの実施形態では、酸化物材料は、1つ又は複数のサイクルを含む周期的蒸着プロセスにより基材上に選択的に堆積され、各サイクルは、
基材を第1の気相前駆体と接触させることと、
基材を第1の気相前駆体と接触させた後、基材をパージガス又は真空に曝すことと、
基材を分子状酸素(O)を含む第2の気相前駆体と接触させるために、基材の表面に分子状酸素(O)を供給することと、
基材を分子状酸素と接触させた後、基材をパージガス又は真空に曝すことと、
基材上に所望の厚さの酸化物材料が形成されるまで、接触させる工程及び除去する工程を任意に繰り返すことと、を含む。
ここで図2を参照して、いくつかの実施形態によれば、酸化マグネシウム材料、例えば、酸化マグネシウム薄膜は、
工程220において、基材をマグネシウムを含む第1の気相前駆体と接触させることと、
工程230において、任意の過剰な、マグネシウムを含む第1の気相前駆体及び反応副生成物がある場合には、これらを表面から除去することと、
工程240において、基材を分子状酸素を含む第2の気相前駆体と接触させるために、基材の表面に分子状酸素(O)を供給することと、
工程250において、分子状酸素及び/又は任意の気体副生成物を含む任意の過剰の第2の前駆体を表面から除去することと、
工程270において、基材の表面上に所望の厚さの酸化マグネシウム薄膜が形成されるまで、工程260で接触させる工程及び除去する工程を任意に繰り返すことと、を含む少なくとも1サイクルを含む周期的堆積プロセス200により、基材の表面上に堆積される。
いくつかの実施形態では、上記の周期的堆積は、ALDタイプのプロセスであってもよい。いくつかの実施形態では、堆積は、酸化マグネシウム材料を第2の表面に対して第1の表面上に選択的に形成し得る。一実施形態では、第1及び第2の表面は同じ基材上にある。別の実施形態では、第1の表面は基材上にあり、第2の表面は他の部分上、例えば反応器表面上にある。
例示の酸化マグネシウムの堆積サイクルは、基材をマグネシウムを含む第1の前駆体と接触させることから始まるが、他の実施形態では、堆積サイクルは、基材を分子状酸素を含む第2の前駆体と接触させることから始まる。基材の表面をマグネシウムを含む第1の前駆体と分子状酸素を含む第2の前駆体と接触させることが、堆積サイクルにおいて交換可能であることは、当業者には理解されるであろう。
いくつかの実施形態では、上記の堆積プロセスは、選択的堆積プロセスであってもよい。即ち、いくつかの実施形態では、第1の表面及び第2の異なる表面を含む基材が提供され、基材をマグネシウムを含む気相第1の前駆体及び分子状酸素を含む気相第2の前駆体と交互に順次接触させることを含む、少なくとも1つのサイクルを含む周期的堆積プロセスにより、酸化マグネシウムは第2の異なる表面に対して基材の第1の表面上に選択的に堆積される。
いくつかの実施形態では、第1の前駆体はマグネシウムを含む有機金属化合物を含むことができ、第2の前駆体はOを含み得る。いくつかの実施形態では、第1の前駆体はMg(Cp)を含むことができ、第2の前駆体はOを含み得る。
いくつかの実施形態では、約0.01Å/サイクル〜約2.0Å/サイクルの成長速度で酸化マグネシウム膜を堆積し得る。いくつかの実施形態では、酸化マグネシウム薄膜の成長速度は、約0.1Å/サイクルより大きく、約0.5Å/サイクルより大きく、約0.75Å/サイクルより大きく、又は約1.0Å/サイクルより大きくてもよい。
いくつかの実施形態では、堆積した酸化マグネシウム薄膜の標準偏差(STD)均一性は、約10%未満、約7.5%未満、約5%未満、又は更に約1%未満であることができる。いくつかの実施形態では、堆積した酸化マグネシウム薄膜は、約50%より大きい、約80%より大きい、約90%より大きい、約95%より大きい、約98%より大きい、約99%より大きい、又はより大きいステップカバレッジを有し得る。これらの値は、2以上のアスペクト比、いくつかの実施形態では約3以上のアスペクト比、いくつかの実施形態では約5以上のアスペクト比、及びいくつかの実施形態では約8以上のアスペクト比の形体で達成され得る。
いくつかの実施形態では、酸化マグネシウム選択的堆積プロセスのための堆積温度は、約150℃〜約500℃、約200℃〜約450℃、又は約225℃〜約400℃であってもよい。いくつかの実施形態では、反応チャンバー内の圧力は、約0.001トール〜約100トール、又は約0.1トール〜約20トールであってもよい。
ここで図3を参照して、いくつかの実施形態によれば、酸化ランタン材料、例えば、酸化ランタン薄膜は、
工程320において、基材をランタンを含む第1の気相前駆体と接触させることと、
工程330において、任意の過剰な第1の前駆体及び反応副生成物がある場合には、これらを基材から除去することと、
工程340において、基材を分子状酸素を含む第2の気相前駆体と接触させるために、基材の表面に分子状酸素(O)を供給することと、
工程350において、分子状酸素及び任意の気体副生成物を含む任意の過剰の第2の前駆体を基材から除去することと、
工程370において、基材の第1の表面上に所望の厚さの酸化物材料が形成されるまで、工程360で接触させる工程及び除去する工程を任意に繰り返すことと、を含む少なくとも1サイクルを含むADLタイプの堆積プロセス300により、基材上に選択的に堆積される。
いくつかの実施形態では、上記の周期的堆積は、ALDタイプのプロセスであってもよい。いくつかの実施形態では、堆積は、酸化ランタン材料を第2の表面に対して第1の表面上に選択的に形成し得る。一実施形態では、第1及び第2の表面は同じ基材上にある。別の実施形態では、第1の表面は基材上にあり、第2の表面は他の部分上、例えば反応器表面上にある。
例示の酸化ランタンの堆積サイクルは、基材をランタンを含む第1の前駆体と接触させることから始まるが、他の実施形態では、堆積サイクルは、基材を分子状酸素を含む第2の前駆体と接触させることから始まる。基材の表面をランタンを含む第1の前駆体と分子状酸素を含む第2の前駆体と接触させることが、堆積サイクルにおいて交換可能であることは、当業者には理解されるであろう。
いくつかの実施形態では、第1の前駆体はランタンを含む有機金属化合物を含むことができ、第2の前駆体はOを含み得る。いくつかの実施形態では、第1の前駆体はランタンホルムアミジネート(La(FAMD))を含むことができ、第2の前駆体はOを含み得る。
ここで図4を参照して、いくつかの実施形態によれば、酸化ハフニウム材料、例えば、酸化ハフニウム薄膜は、
工程420において、基材をハフニウムを含む第1の気相前駆体と接触させることと、
工程430において、任意の過剰な第1の前駆体及び反応副生成物がある場合には、これらを基材から除去することと、
工程440において、基材を分子状酸素を含む第2の気相前駆体と接触させるために、基材の表面に分子状酸素(O)を供給することと、
工程450において、分子状酸素及び任意の気体副生成物を含む任意の過剰の第2の前駆体を基材から除去することと、
工程470において、基材の第1の表面上に所望の厚さの酸化物材料が形成されるまで、工程460で接触させる工程及び除去する工程を任意に繰り返すことと、を含む少なくとも1サイクルを含むADLタイプの堆積プロセス400により、基材上に選択的に堆積される。
いくつかの実施形態では、上記の周期的堆積は、ALDタイプのプロセスであってもよい。いくつかの実施形態では、堆積は、酸化ハフニウム材料を第2の表面に対して第1の表面上に選択的に形成し得る。一実施形態では、第1及び第2の表面は同じ基材上にある。別の実施形態では、第1の表面は基材上にあり、第2の表面は他の部分上、例えば反応器表面上にある。
例示の酸化ハフニウムの堆積サイクルは、基材をハフニウムを含む第1の前駆体と接触させることから始まるが、他の実施形態では、堆積サイクルは、基材を分子状酸素を含む第2の前駆体と接触させることから始まる。基材の表面をハフニウムを含む第1の前駆体と分子状酸素を含む第2の前駆体と接触させることが、堆積サイクルにおいて交換可能であることは、当業者には理解されるであろう。
いくつかの実施形態では、第1の前駆体は、ハフニウムを含む有機金属化合物を含むことができ、第2の前駆体は、Oを含み得る。いくつかの実施形態では、第1の前駆体は、アルキルアミドハフニウム(TEMAH)を含むことができ、第2の前駆体は、Oを含み得る。
図5Aは選択的堆積プロセス処理される前の第1の表面510及び第2の異なる表面520を含む基材500を全体的に例示する概略図である。いくつかの実施形態では、本明細書に記載される堆積プロセスを使用して、酸化物材料を第2の表面520に対して基材500の第1の表面510上に選択的に堆積させることができる。いくつかの実施形態では、基材の第2の表面520は、本明細書に記載のように有機パッシベーション層522、例えばポリマーパッシベーション層又はSAM、を含み得る。
図5Bは、本明細書に記載のように選択的堆積プロセス処理された後の第1の表面510及び第2の異なる表面520を含む基材500を全体的に例示する概略図である。所望の厚さの酸化物材料530が、パッシベーション層522を含む第2の表面520に対して基材の第1の表面510上に選択的に堆積されている。したがって、いくつかの実施形態では、有機パッシベーション層522は、第2の表面520上の酸化物材料530の堆積を抑制又は防止し得る。更に、いくつかの実施形態では、選択的堆積プロセスは、下にある第2の表面520を露出させるのに十分には有機パッシベーション層522を分解することも酸化することもなく、第1の表面510上に酸化物材料530を堆積することができる。
図5A及び図5Bは概略図であり、その中に示される層の厚さは、いくつかの実施形態における層の実際の厚さ又はサイズに必ずしも対応していないことに留意されたい。更に、酸化物材料は、第2の表面520又はパッシベーション層522の上に堆積されているように例示されていないが、いくつかの実施形態では、いくつかの酸化物材料530は、堆積における選択性により第1の表面510上よりもより薄い厚さに、第2の表面520上に堆積され得る。いくつかの実施形態では、第2の表面502が、その上の堆積を最小にするためにパッシベーション層522を含む場合、パッシベーション層422の除去は、その上に堆積した酸化物材料430のいずれかをアンダーカット及び除去し得る。しかし、いくつかの実施形態では、酸化物材料430の堆積は、第1の表面410上でのみ起こり、第2の表面420上では起こらない。
図6を参照すると、いくつかの実施形態では、上記堆積プロセスを、堆積チャンバー又は反応空間510を含む反応器600内で行うことができる。上記のプロセスを実施するために、いくつかの実施形態では、反応器500は、制御システム620を含む。制御システム620は、堆積チャンバー610へ接続された第1の金属前駆体源630を介して、堆積チャンバー610への第1の金属前駆体の供給を制御するように構成され得る。制御システム620は、堆積チャンバー610へ接続された酸素源640を介して、堆積チャンバー610への第2の反応物質の供給を制御するようにも構成され得る。したがって、制御システム620は、図1〜4に関して上述したように、例えば金属前駆体源630及び/又は酸素源640から前駆体のパルスを介して、所望の交互及び/又は順次接触工程を提供することができる。制御システム620は、プロセッサ622及びメモリ624を含むことができる。いくつかの実施形態では、制御システム620は、メモリに格納され、プロセスを実行するように構成されたソフトウェアプログラムを含み得る。それは、業界で知られている他のコンポーネントを含むこともできる。汎用コンピュータを制御システム620として使用するようにプログラムすることができる。制御システム620は、メモリに格納されたプログラムに従って、例えば、第1の金属前駆体源630及び/又は酸素源640のバルブを開閉することによって、第1の金属前駆体及び/又は第2の反応物質を堆積チャンバー610に自動的に供給し得る。制御システム620は、他の動作パラメータの中で、温度、圧力、及びロボット制御等の反応器600の他の動作を制御するように構成され得る。
当業者は、空間分割ALD又はハイブリッドシステムに本明細書の教示をどのようにして取り入れるのかを容易に理解するであろうが、固定基材のための時間分割ALDの例を用いて反応器600の操作手順をここで説明する。第1の段階では、第1の金属前駆体を堆積チャンバー610に供給する。具体的には、酸素源640が堆積チャンバー610へ流れない間、第1の金属前駆体が、例えば第1の供給導管を通って堆積チャンバー610内へ流れ込むことができるように、第1の金属前駆体源630は取り込まれる。第2の反応物質の流れは、例えば2002年1月21日公開、国際特許公開第02/08488号の8ページに記載されているようなパルスバルブ又は不活性ガス弁の配置によって、堆積チャンバー610へ流れることを防止することができ、その開示は参照によりその全体が本明細書に組み込まれる。いくつかの実施形態では、パージガスは、第1の金属前駆体源630を堆積チャンバー610に接続する第1の供給導管と、酸素源640を堆積チャンバー610に接続する第2の供給導管との両方を通って流れる。この段階の間に、第1の金属前駆体を基材の活性部位に吸着させて、本明細書に記載の吸着単層を形成することができる。第2の段階の間に、任意の過剰の第1の金属前駆体及び任意の気体副生成物が堆積チャンバー610から、又は少なくとも基材の表面の近傍から除去される。いくつかの実施形態では、これは、供給導管を通るパージガスの流れを継続しながら、第1の金属前駆体流を遮断することにより達成され得る。いくつかの実施形態では、パージガスを、追加的に又は代わりに堆積チャンバー610へ独立して接続された第3の供給導管を介して供給し得る。第3の段階では、第2の反応物質は堆積チャンバー610に供給される。具体的には、第1の金属前駆体源630が堆積チャンバー610に流れない間に、酸素源640は取り込まれて堆積チャンバー610に流れる。いくつかの実施形態では、パージガスは依然として第1及び第2の導管の両方を通って供給される。第1の金属前駆体及び第2の反応物質は相互に反応性である。このように、第1の金属前駆体の吸着種(典型的には、純粋なALDでは単分子層以下)は、堆積チャンバー610に導入された第2の反応物質と反応する。この反応は、所望の金属酸化物薄膜を基材上に残す。この反応は、一般的に自己制御的であり、第1の金属前駆体の吸着種の全量が消費されると終了する。反応は、薄層中に元素を残してもよく、又は単に吸着層から配位子を取り除いてもよいことに注目されたい。第4の段階では、任意の過剰の第2の反応物質及び任意の気体の副生成物が堆積チャンバー610から、又は少なくとも基材の表面の近傍から除去される。これは、パージガスが第1及び第2の供給導管の両方に流れ続ける間に、酸素源を遮断することによって達成され得る。金属酸化物薄膜を所望の厚さに堆積させるために、上記のサイクルを必要に応じて繰り返すことができる。勿論、いくつかの実施形態では、パージ段階は、減圧段階、又は、所定の反応物質を含まない別の堆積チャンバーへ、若しくは所定の反応物質を含まない堆積チャンバーの領域へ基材を移動させることを含む段階で置き換えることができる。
第1の前駆体
いくつかの異なる第1の前駆体を、本明細書に記載の選択的堆積プロセスで使用し得る。いくつかの実施形態では、第1の前駆体は金属を含み得る。いくつかの実施形態では、第1の前駆体は、少なくとも1つのアルキル配位子、例えばC−Cアルキル配位子等、を含み得る。いくつかの実施形態では、第1の前駆体は、有機金属化合物又は金属有機化合物を含み得る。いくつかの実施形態では、第1の前駆体は、少なくとも1つのシクロペンタジエニル(Cp)配位子を含み得る。いくつかの実施形態では、第1の前駆体は、ホルムアミジネート又はアミジネート化合物を含み得る。いくつかの実施形態では、第1の前駆体はβ−ジケトネート化合物を含み得る。いくつかの実施形態では、第1の前駆体は、ジアルキルアミノ化合物等のアルキルアミノ化合物を含み得る。いくつかの実施形態では、第1の前駆体は、−NMe、−NEt又は−NEtMe等のアルキルアミノ配位子を含み得る。
いくつかの実施形態では、第1の前駆体は、マグネシウムを含み得る。いくつかの実施形態では、第1の前駆体は、マグネシウムを含む有機金属化合物又は金属有機化合物であることができる。例えば、いくつかの実施形態では、第1の前駆体は、Mg(Cp)又は、その誘導体を含み得る。
いくつかの実施形態では、第1の前駆体はランタンを含み得る。いくつかの実施形態では、第1の前駆体はランタンを含む有機金属化合物であることができる。いくつかの実施形態では、第1の前駆体は、ランタンホルムアミジネート(La(FAMD))を含み得る。
いくつかの実施形態では、第1の前駆体はハフニウムを含み得る。いくつかの実施形態では、第1の前駆体はハフニウムを含む有機金属化合物を含み得る。例えば、いくつかの実施形態では、第1の前駆体は、アルキルアミノハフニウム化合物、例えば、テトラメチルエチルアルキルアミノハフニウム(TEMAH、Hf(NEtMe))又はその誘導体等、を含み得る。
いくつかの実施形態では、第1の前駆体はジルコニウムを含み得る。いくつかの実施形態では、第1の前駆体はアルミニウムを含み得る。いくつかの実施形態では、第1の前駆体は遷移金属を含む。いくつかの実施形態では、第1の前駆体は、Ru等の貴金属を含まない。
いくつかの実施形態では、第1の前駆体は次の式:
MgL (I)
(式中、Mgはマグネシウム、及び各Lは炭化水素基であるように互いに独立して選択され得る)を有する。
いくつかの実施形態では、各Lは、直鎖、分枝鎖、環状アルキル又は不飽和炭化水素基、例えば、アルケニル、アルキニル、芳香族、シクロペンタジエニル、フェニル、シクロオクタジエニル、又はシクロヘプタトリエニル基等、であることができる。いくつかの実施形態では、1つ又は両方のLはシクロペンタジエニル基であることができる。いくつかの実施形態では、1つ又は両方のLは二座配位子、例えばベタジケトネート、グアニジネート又はアミジネート等、であることができる。いくつかの実施形態において、ベタジケトネート配位子は、アセチルアセトネート、又は2,2,6,6−テトラメチル−3,5−ヘプタンジオナト(thd)であることができる。
いくつかの実施形態では、第1の前駆体は、シクロペンタジエニル化合物又はその誘導体、例えばアルキル置換シクロペンタジエニル化合物であり、以下の式:
Mg(RCp) (II)
(式中、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、及びR基のそれぞれは、水素、又は置換若しくは非置換のアルキル基であるように互いに独立して選択され得る)を有する。
いくつかの実施形態では、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、及びR基のそれぞれは、水素、又は直鎖若しくは分枝鎖C−Cアルキル基であるように、互いに独立して選択され得る。いくつかの実施形態では、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、及びR基のそれぞれは、水素又はC−Cアルキル基、例えば、メチル、エチル、n−プロピル、又はi−プロピル基等、であるように、互いに独立して選択され得る。いくつかの実施形態では、第1の前駆体はMg(Cp)であることができる。
いくつかの実施形態では、第1の前駆体は、1つ又は複数の配位子、例えばシクロペンタジエニル(「Cp」)配位子等、を含む。これらの第1の前駆体化合物を、以下の化合物からなる群から選択することができる。
(Cp)La (III);
(Cp)La (IV);
(Cp)La (V);
(CP)La (VI);
Laはランタン、Cpはシクロペンタジエニル又はシクロオクタジエニル基であり、化学式I〜IVのCp基は互いに同じであっても他のものと異なっていてもよい。xはCp配位子の数を示し、1からLaの酸化状態までの整数である。シクロオクタジエンは、通常、Codと短縮されるが、ここでは、シクロペンタジエニル及びシクロオクタジエニルの両方に対して単一の一般的な略語Cpを使用することにより表記を簡略化することとする。
はその1つ又は複数の原子から金属に結合する中性付加物配位子であり、yは結合配位子の数を表す。
WはCpではない1価の他の配位子であり、nは配位子の数を示す。いくつかの実施形態では、Wはアミジネート又はホルムアミジネートである。いくつかの実施形態では、Wはβ−ジケトネート又はその対応する硫黄若しくは窒素化合物、ハロゲン化物、アミド、アルコキシド、カルボキシレート又はシッフ塩基である。
化学式I〜IVにおいて、シクロペンタジエニル及び/又はシクロオクタジエニル基は同一分子内に存在してもよく、その結果、Si、N、P、Se、S又はBから選択されるヘテロ原子を含み得る、置換又は非置換のC−C鎖からなる2つのCp基の間に架橋が存在する。
いくつかの実施形態では、Lは互いに独立して選択される、
(i)炭化水素、
(ii)酸素を含有する炭化水素、
(iii)窒素を含有する炭化水素、
(iv)硫黄を含有する炭化水素、
(v)リンを含有する炭化水素、
(vi)ヒ素を含有する炭化水素、
(vii)セレンを含有する炭化水素、及び/又は
(viii)テルルを含有する炭化水素、である。
いくつかの実施形態では、Lは互いに独立して選択される、
(a)アミン又はポリアミン、
(b)ビピリジン、
(c)化学図式:
Figure 2018100446
(式中、Gは−O−、−S−又は−NRであり、Rは互いに独立して選択される水素、又は置換若しくは非置換の、環状、直鎖若しくは分枝鎖アルキル、アルケニル、アリール、アルキルアリール、アリールアルキル、アルコキシ、チオ、シアノ又はシリル基である。R中の環式又は芳香族環は、ヘテロ原子を含み得る。水素又はR型置換基は化学式Vの炭素原子に結合していてもよい)による配位子、又は、
(d)エーテル又はチオエーテル、である。
化学式I〜IVのシクロペンタジエニル又はシクロオクタジエニル基、Cpは、式:
Cp’Ra−m (VII)
(式中、aが8である場合mは0〜8の整数であり、aが5である場合、mは0〜5の整数であり、
Cp’は、縮合した又は単離したシクロペンタジエニル若しくはシクロオクタジエニルであり、
Rは、互いに独立して選択された1〜6個の炭素原子を含む炭化水素フラグメント、例えばC−C炭化水素である)を有する。
いくつかの実施形態では、各R配位子は、互いのR配位子と同一であることができ、又は各R配位子は、互いに異なることもできる。即ち、各R配位子を互いに独立して選択し得る。いくつかの実施形態において、Rは、置換若しくは非置換の、環状、直鎖若しくは分枝鎖アルキルアルケニル、アリール、アルキルアリール、アリールアルキル、アルコキシ、チオ、アミノ、シアノ又はシリル基であることができる。置換基の環状又は芳香族環は、ヘテロ原子を含み得る。置換基の例は、メチル、エチル、プロピル及びイソプロピル基である。
化学式II及びIVに示される中性付加物配位子Lは、互いに独立して選択された、エーテル、アミン、又は溶媒分子、例えばテトラヒドロフラン等、であることができ、1つの原子で金属との結合を形成する。いくつかの原子で金属との結合を形成する好適な中性付加物配位子の例は、ポリエーテル及びポリアミンである。
いくつかの実施形態では、第1の前駆体は、少なくとも1つのシクロペンタジエニル配位子を含むことができ、式VIII:
(RCp)−MR −(R (VIII)
(式中、Mは、Mg、Sr、Ba、Sc、Y、及びランタニドからなる群から選択される金属であり、
ここで、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、及びR基のそれぞれは、互いに独立して、
i. 水素、
ii. 互いに独立して置換又は非置換の、直鎖及び分枝鎖C1−C6アルキル、アルケニル並びにアルキニル基、
iii. アリール、フェニル、シクロペンタジエニル、アルキルアリール、及びハロゲン化炭素環式基等の炭素環式基、及び
iv. 複素環式基、から選択されることができ、
ここで、Rは、互いに独立して、
i. 水素、
ii. 互いに独立して置換又は非置換の、直鎖及び分枝鎖C1−C6アルキル、アルケニル並びにアルキニル基、
iii. アリール、フェニル、シクロペンタジエニル、アルキルアリール、ハロゲン化炭素環基等の炭素環式基、
iv. 複素環式基、及び、
v. NR、から選択され、並びに、
ここで、x≧1、y≧1、及びz≧0である)、により記載され得る。
いくつかの実施形態では、シクロペンタジエニル化合物を含む第1の前駆体は、式IX:
(RCp)−MR −(NR (IX)
(式中、Mは、Mg、Sr、Ba、Sc、Y、又はランタニドからなる群から選択される金属であり、
ここで、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、及びR基のそれぞれは、互いに独立して、
i. 水素、
ii. 互いに独立して置換又は非置換の、直鎖及び分枝鎖C1−C6アルキル、アルケニル並びにアルキニル基、
iii. アリール、フェニル、シクロペンタジエニル、アルキルアリール、及びハロゲン化炭素環式基等の炭素環式基、及び
iv. 複素環式基、から選択され、並びに、
ここで、x≧1、y≧1、及びz≧0である)、により記載されるように、窒素を介して金属と結合する少なくとも1つの配位子を含む。
式IXにおいて、アルキル、アルケニル及びアルキニル基は、1〜6個の炭素原子を有する任意の直鎖又は分枝鎖アルキル、アルケニル及びアルキニル基から選択することができる。このようなアルキル基の例としては、メチル、エチル、n−及びi−プロピル−、n−、i−及びt−ブチル−、n−及びイソアミル、n−及びイソペンチル、n−及びイソヘキシル、及び2,3−ジメチル−2−ブチルが挙げられる。いくつかの実施形態では、アルキル基が用いられる。別の実施形態では、対応する不飽和度を有する対応する基を含むC1−6アルケニル及びアルキニル基が用いられる。
いくつかの実施形態では、第1の前駆体は、少なくとも1つのシクロペンタジエニル配位子及び少なくとも1つのキレート配位子、例えば二座配位子、を有する化合物である。いくつかの実施形態では、この化合物は、以下のように、式X:(RCp)−MR −(NRNRR)
Figure 2018100446
(式中、Mは、Mg、Sr、Ba、Sc、Y、又はランタニドからなる群から選択される金属であり、
Rは、互いに独立して置換若しくは非置換の、任意の直鎖及び分枝鎖C1−C6アルキル、アルケニル又はアルキニル基であり、Rは、2つの架橋窒素原子にアルキル、アルケニル及びアルキニル基の任意の位置に結合し得る。
ここで、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、及びR基のそれぞれは、互いに独立して、
i. 水素、
ii. 互いに独立して置換又は非置換の、直鎖及び分枝鎖C1−C6アルキル、アルケニル並びにアルキニル基、
iii. アリール、フェニル、シクロペンタジエニル、アルキルアリール、及びハロゲン化炭素環式基等の炭素環式基、及び
iv. 複素環式基、から選択され、並びに、
ここで、x≧1、y≧1、及びz≧0である)、により表される。
いくつかの実施形態では、第1の前駆体は、以下のように、式XI:(RCp)−MR −[(NRNR)CNR
Figure 2018100446
(式中、Mは、Mg、Sr、Ba、Sc、Y、又はランタニドからなる群から選択される金属であり、
ここで、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、及びR基のそれぞれは、互いに独立して、
i. 水素、
ii. 互いに独立して置換又は非置換の、直鎖及び分枝鎖C1−C6アルキル、アルケニル並びにアルキニル基、
iii. アリール、フェニル、シクロペンタジエニル、アルキルアリール、及びハロゲン化炭素環式基等の炭素環式基、及び
iv. 複素環式基、から選択され、並びに、
ここで、x≧1、y≧1、及びz≧0である)、により表される。
いくつかの実施形態では、第1の前駆体は、以下のように、式XII:(RCp)−MR −[(NRNR)CNR
Figure 2018100446
(式中、Mは、Mg、Sr、Ba、Sc、Y、又はランタニドからなる群から選択される金属であり、
ここで、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、及びR基のそれぞれは、互いに独立して、
i. 水素、
ii. 互いに独立して置換又は非置換の、直鎖及び分枝鎖C1−C6アルキル、アルケニル並びにアルキニル基、
iii. アリール、フェニル、シクロペンタジエニル、アルキルアリール、及びハロゲン化炭素環式基等の炭素環式基、及び
iv. 複素環式基、から選択され、並びに、
ここで、x≧1、y≧1、及びz≧0である)、により表される。
いくつかの実施形態では、式VIII〜XIIに記載の第1の前駆体は、R、R、R、R、R、R及びRを含むことができ、ここで、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、R基のそれぞれ、及びR基のそれぞれ、並びにR基のそれぞれは、互いに独立して、
i. 水素、
ii. 互いに独立して置換又は非置換の、直鎖及び分枝鎖C1−C6アルキル、アルケニル並びにアルキニル基、
iii. アリール、フェニル、シクロペンタジエニル、及びアルキルアリール等の炭素環式基、並びに、
iv. 複素環式基、から選択され得る。
場合によっては、記載の第1の前駆体は、修飾されたシクロペンタジエニル基を含み得る。いくつかの実施形態では、修飾されたシクロペンタジエニル基は、MeCp、MeCp、EtCp、及びMeSiCpからなる群から選択される。更なる実施形態では、第1の前駆体は、トリイソプロピルグアニジネート(triisopropylguandinate)配位子等のアニオン性又はジアニオン性のグアニジネート配位子を含み得る。
第2の配位子
いくつかの実施形態では、第2の前駆体は酸素を含み、本明細書で酸素前駆体、酸素反応物質、酸素含有前駆体、又は酸素含有反応物質と称され得る。いくつかの実施形態では、第2の前駆体は分子状酸素(O)を含み得る。いくつかの実施形態では、第2の前駆体はO以外の酸素を含む化合物を含まない。いくつかの実施形態では、第2の前駆体はOもHOも含まない。いくつかの実施形態では、第2の前駆体はプラズマ、例えば、酸素プラズマを含まない。いくつかの実施形態では、第2の前駆体はN、He、若しくはAr等の不活性ガスと共に、若しくはそれらと混合して供給される。
いくつかの実施形態では、第2の前駆体は、分子状酸素と、約50%、25%、15%、10%、5%、1%又は0.1%未満の不活性ガス以外の不純物を含む。
第2の前駆体が分子状酸素であるいくつかの実施形態では、このような第2の前駆体は、O又はHOと比較して、基材の表面からのパージを有利に改善し得る。いくつかの実施形態では、改善されたパージは、サイクル時間をより速くすることができるため、第2の表面、例えばSAM又はポリマー等の有機パッシベーション層を備える第2の表面、の状態が改善することができ又は損傷を最小にすることができる。
いくつかの実施形態では、第2の前駆体は、基材の第2の表面を実質的に酸化も分解もしない。例えば、いくつかの実施形態では、分子状酸素は、堆積条件下でSAM又はポリマー層等の有機パッシベーション層を含む第2の表面を酸化も分解もしない。即ち、いくつかの実施形態では、選択的堆積プロセスは、有機パッシベーション層が分解又は燃焼する温度よりも低い堆積温度を有し得る。いくつかの実施形態では、堆積温度は、約450℃未満、約400℃未満、約350℃未満、約300℃未満、又はより低い場合がある。
実施例1
マグネシウムを含む第1の前駆体としてビス(シクロペンタジエニル)マグネシウム(Mg(Cp))、及び第2の前駆体として分子状酸素(O)を用いて、いくつかの実施形態によるALDタイプのプロセスにより、酸化マグネシウム(MgO)薄膜を堆積が行われた。堆積温度は約250℃であり、各サンプル毎に堆積サイクル数を変えた。
ここで図7を参照すると、堆積した酸化マグネシウム膜の厚さは、堆積サイクル数の増加と共に直線的に増加した。酸化マグネシウムの堆積プロセスの成長速度は約0.77nm/サイクルであることが観察され、堆積は非常に均一であることが観察された。
実施例2
酸化マグネシウム(MgO)の堆積は2つの試験基材片、自然酸化シリコン表面を有する参照シリコン試験基材片、及び2つのSAMシリコン試験基材片上で行われ、各基材は、自然酸化シリコン表面の一部の上に形成されたSAM層を含む表面を有していた。
試験基材片の表面の水接触角を、MgO層の堆積の前後に測定した。表面の水接触角は、その表面の疎水性又は親水性を示す。この水接触角測定は、SAM試験基材片上のSAM層のパッシベーション能力を研究するために用いられた。
図8Aに示すように、SAM層の接触角はMgO堆積プロセスの前では、非常に高く、105°より高く、これは、−CH終端を含むSAM表面は非常に疎水性であることを示していた。自然酸化物表面は、非常に小さい接触角を有するとして測定され、Si−OH終端を含む表面の親水性を示していた。
その後、SAM試験基材片をMgO堆積プロセス処理した。第1のSAM試験基材片は、いくつかの実施形態による、及び本明細書に記載の、第1の前駆体としてMgCpと第2の前駆体としてOとを含むMgO堆積プロセスで処理された。第2のSAM試験基材片は、第1の前駆体としてMgCp及び第2の前駆体としてHOを含む、当該技術分野で公知の典型的なMgO堆積プロセスで処理された。
図8Aに示すように、第1のSAM試験基材片のSAM層の水接触角は、いくつかの実施形態による第2の前駆体としてOを含むMgO堆積プロセス後に非常に高いままであった。これは、第2の前駆体としOを含むMgO堆積プロセスに対するSAM層の望ましいパッシベーション特性を示し、それにより堆積プロセスを高度に選択的にすることを可能にする。第2のSAM試験基材片のSAM層の水接触角は、第2の前駆体としてHOを含むMgO堆積プロセスで処理された後に非常に低下し、パッシベーション層としてのSAMの性能が低いことを示した。
図8Bは、参照試験基材片上に堆積したMgOの厚さを、2枚のSAM試験基材片上に堆積したMgOの厚さと比較する。堆積したMgO層の厚さは、第2の前駆体としてHOを含むMgO堆積プロセスで処理された参照試験基材片及び第2のSAM基材では、ほぼ同じであったが、いくつかの実施形態による、第2の前駆体としてOを含むMgO堆積プロセスで処理された第1のSAM試験基材片上で、MgO層は測定されなかった。
第1のSAM試験基材片はXPSでも分析され、第1のSAM試験基材片上に少量のMgを検出したが、検出されたMgの量は、第2の前駆体としてOを含むMgO堆積サイクルの関数として、25nmの公称厚さまで大きくは増加しなかった。
実施例3
酸化ハフニウム(HfO)の堆積は、自然酸化シリコン表面を有する第1のシリコン試験基材片上と、自然酸化シリコン表面の一部の上に形成されたSAM層を含む表面を有する第2のシリコン試験基材片上で行われた。試験基材片は、いくつかの実施形態による、及び本明細書に記載の、第1前駆体としてTEMAH及び第2の前駆体としてOを含むALDハフニウム酸化物堆積プロセスで処理された。堆積温度は285℃であった。
試験基材片の表面の水接触角は、HfO堆積プロセスの前後で測定された。図9Aに示すように、SAM層の接触角はHfO堆積プロセスの前では、非常に高く、110°であり、これは、−CH終端を含むSAM表面は非常に疎水性であることを示していた。自然酸化物表面は、非常に小さい接触角を有するとして測定され、Si−OH終端を含む表面の親水性を示していた。
図9Aから更に分かるように、第2の試験基材片のSAM表面の水接触角は、10nmの目標厚さを有するHfO堆積プロセス処理された後でも100°を超えたままであった。この結果は、SAM層が、いくつかの実施形態による、第1の前駆体としてTEMAH及び第2の前駆体としてOを含むHfO堆積プロセスに対して望ましいパッシベーション特性を有し、それにより選択的HfO堆積を可能にすることを示した。
図9Bは、第2の前駆体としてOを含むHfO堆積プロセスによって第1及び第2の試験基材片上に堆積されたHfO材料の厚さを示す。SAM層上に堆積された材料の厚さは、HfOの目標厚さが増加しても、したがって堆積サイクル数が増加しても、一定のままであることが判明した。自然酸化シリコン表面上のHfOの厚さは、期待されるように、目標厚さと共に直線的に増加し、HfOの規則的な堆積を示した。
同様の実験を第2のSAM試験基材片上で行ったが、基材は、第1の前駆体としTEMAH及び第2の前駆体としてHOを含む、当技術分野で公知の典型的なHfO堆積プロセスで処理された。SAM層の水接触角は、6nmの目標厚さのHfO堆積プロセスで処理された後に94°に低減した。このHfO堆積プロセスは、285℃の堆積温度を有し、85の堆積サイクルであった。堆積プロセスの前は、試験基材片の自然酸化シリコン表面上に液相から形成されたSAM層は水接触角が107°であり、高品質であることを示した。
図10に示すように、第2の前駆体としてOを含む堆積プロセスを用いて第1及び第2の試験基材片上に堆積したHfO材料の厚さは、XPSにより決定された。第1の試験基材片の自然酸化物表面上のXPSによって検出されたHfの原子百分率は、目標のHfO厚さの増加と共に増加したが、第2の試験片のSAM表面上のHfの原子百分率は、HfOの目標厚さの増加にもかかわらずほぼゼロのままであった。この結果は、いくつかの実施形態による、第1の前駆体としてTEMAH及び第2の前駆体としてOを含むHfO堆積プロセスに対するSAM層の望ましいパッシベーション特性を示し、それにより選択的堆積を可能にすることを示した。
図11は、第2の前駆体としてOを含み、5nmと7.5nmの目標膜厚のHfO堆積プロセスで処理された後の、第2の試験片のSAM表面の原子百分率での組成を示す。堆積プロセス後には、表面上に0.1at%〜0.5at%の非常に少量のHfしか検出されなかった。その後、SAM表面の一部を除去するために、SAM表面を15秒間の軟らかくArスパッタリングした。Arスパッタリング後、基材の表面上に、0.18at%〜0.22at%のHfが検出された。
本明細書で使用される場合、用語「約」は、所定の値の15%以内、10%以内、5%以内、又は1%以内の値を指し得る。
用語「膜」及び「薄膜」は、本明細書では簡略化のために使用される。「膜」及び「薄膜」は、本明細書に開示された方法により堆積された任意の連続的又は非連続的な構造及び材料を意味することを意図する。「膜」及び「薄膜」としては、例えば、2D材料、ナノロッド、ナノチューブ若しくはナノ粒子、又は平坦な単一の部分的な若しくは完全な分子層、又は部分的な若しくは完全な原子層、又は原子及び/若しくは分子のクラスター、を挙げることができる。「膜」及び「薄膜」は、ピンホールを有する材料又は層を含み得るが、それでも少なくとも部分的に連続している。
当業者であれば、本発明の精神から逸脱することなく、多くの様々な変更が可能であることを理解するであろう。記載された特徴、構造、特性及び前駆体は、任意の適切な方法で組み合わせることができる。したがって、本発明の形態は例示的なものに過ぎず、本発明の範囲を限定するものではないことは明らかである。添付の特許請求の範囲によって規定されるように、すべての修正及び変更は、本発明の範囲内に入ることが意図される。
特定の実施形態及び実施例について論じたが、特許請求の範囲は、具体的に開示された実施形態を超えて他の代替実施形態、並びに/又はそれらの使用、明らかな変更及び等価物に及ぶことを理解するであろう。

Claims (20)

  1. 第2の表面に対して基材の第1の表面上に選択的に薄膜を堆積する方法であって、前記方法は、
    前記基材を第1の気相前駆体と接触させることと、
    前記基材を前記第1の気相前駆体と接触させた後、前記基材をパージガス又は真空に曝すことと、
    前記基材をパージガス又は真空に曝した後、前記基材を分子状酸素(O)を含む第2の気相前駆体と接触させることと、を含み、
    前記薄膜は絶縁金属酸化物を含み、また、
    前記第2の表面は有機種を含む、方法。
  2. 前記第1の表面は、前記第2の表面とは実質的に異なる材料である、請求項1に記載の方法。
  3. 前記基材を分子状酸素を含む前記第2の気相前駆体と接触させた後、前記基材をパージガス又は真空に曝すことを更に含む、請求項1に記載の方法。
  4. 前記第2の表面は、自己組織化単分子膜(SAM)を含む、請求項1に記載の方法。
  5. 前記第2の表面上に堆積された前記薄膜の厚さ又は量は、前記基材の前記第1の表面上に選択的に堆積された前記薄膜の厚さ又は量の約50%未満である、請求項1に記載の方法。
  6. 前記第1の気相前駆体は有機金属化合物を含む、請求項1に記載の方法。
  7. 前記第1の気相前駆体は、マグネシウム、ランタン、ハフニウム、ジルコニウム、アルミニウム、イットリウム、スカンジウム、ランタニド、又は遷移金属を含む、請求項6に記載の方法。
  8. 前記第1の気相前駆体は、ビス(シクロペンタジエニル)マグネシウム(Mg(Cp))を含む、請求項7に記載の方法。
  9. 前記第1の気相前駆体は、ランタンホルムアミジネート(La(FAMD))を含む、請求項7に記載の方法。
  10. 前記第1の気相前駆体は、テトラメチルエチルアルキルアミドハフニウム(TEMAH)を含む、請求項7に記載の方法。
  11. 前記第2の気相前駆体は、酸素を含む追加の化合物を含まない、請求項1に記載の方法。
  12. 前記基材を分子状酸素を含む前記第2の気相前駆体と接触させることは、前記第2の表面を分解も酸化もさせない、請求項1に記載の方法。
  13. 前記薄膜は、約100℃〜約500℃の温度で堆積される、請求項1に記載の方法。
  14. 基材の表面上に酸化マグネシウム、酸化ランタン、又は酸化ハフニウム薄膜を堆積させる方法であって、前記方法は、
    前記基材をマグネシウム、ランタン、又はハフニウムを含む第1の気相前駆体と接触させることと、
    前記基材をマグネシウム、ランタン、又はハフニウムを含む前記第1の気相前駆体と接触させた後、前記基材をパージガス又は真空に曝すことと、
    前記基材をパージガス又は真空に曝した後、前記基材を分子状酸素(O)を含む第2の気相前駆体と接触させることと、を含む、方法。
  15. 前記基材を前記第2の気相前駆体と接触させた後、前記基材をパージガス又は真空に曝すことを更に含む、請求項14に記載の方法。
  16. 前記基材は、第1の表面及び第2の実質的に異なる表面とを含み、酸化マグネシウム、酸化ランタン、又は酸化ハフニウムが、前記第2の実質的に異なる表面に対して前記基材の前記第1の表面上に選択的に堆積される、請求項14に記載の方法。
  17. 前記基材の前記第2の表面は、有機種を有する、請求項に16記載の方法。
  18. マグネシウム、ランタン、又はハフニウムを含む前記第1の気相前駆体は、少なくとも1つのシクロペンタジエニル(Cp)配位子を含む、請求項14に記載の方法。
  19. 分子状酸素を含む前記第2の気相前駆体は、酸素を含む他の化合物を全く含まない、請求項14に記載の方法。
  20. 前記酸化マグネシウム、酸化ランタン、又は酸化ハフニウム薄膜は、前記基材の第2の表面に対して前記基材の第1の表面上に選択的に堆積され、前記第2の表面は有機材料を含む、請求項14に記載の方法。
JP2017222532A 2016-11-29 2017-11-20 酸化物薄膜の堆積 Active JP7050468B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022052748A JP7300032B2 (ja) 2016-11-29 2022-03-29 酸化物薄膜の堆積

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/364,024 2016-11-29
US15/364,024 US11430656B2 (en) 2016-11-29 2016-11-29 Deposition of oxide thin films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022052748A Division JP7300032B2 (ja) 2016-11-29 2022-03-29 酸化物薄膜の堆積

Publications (2)

Publication Number Publication Date
JP2018100446A true JP2018100446A (ja) 2018-06-28
JP7050468B2 JP7050468B2 (ja) 2022-04-08

Family

ID=62190963

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017222532A Active JP7050468B2 (ja) 2016-11-29 2017-11-20 酸化物薄膜の堆積
JP2022052748A Active JP7300032B2 (ja) 2016-11-29 2022-03-29 酸化物薄膜の堆積

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022052748A Active JP7300032B2 (ja) 2016-11-29 2022-03-29 酸化物薄膜の堆積

Country Status (4)

Country Link
US (2) US11430656B2 (ja)
JP (2) JP7050468B2 (ja)
KR (2) KR102513600B1 (ja)
TW (2) TWI804213B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018147955A (ja) * 2017-03-02 2018-09-20 株式会社日立国際電気 半導体装置の製造方法、プログラム及び記録媒体
JP2020147788A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 成膜方法
JP2021106242A (ja) * 2019-12-27 2021-07-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
WO2022107769A1 (ja) 2020-11-19 2022-05-27 株式会社Adeka 薄膜の製造方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP7101551B2 (ja) * 2018-07-02 2022-07-15 東京エレクトロン株式会社 選択的に対象膜を形成する方法およびシステム
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) * 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
EP3919979A1 (en) 2020-06-02 2021-12-08 Imec VZW Resistless patterning mask
KR20210158811A (ko) 2020-06-24 2021-12-31 에이에스엠 아이피 홀딩 비.브이. 유기 재료의 영역 선택적 제거
KR20220039629A (ko) * 2020-09-22 2022-03-29 에이에스엠 아이피 홀딩 비.브이. 게르마늄 칼코지나이드를 포함한 층을 증착하기 위한 시스템, 소자, 및 방법
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
US20240035151A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Methods of selective deposition of molybdenum

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004281479A (ja) * 2003-03-13 2004-10-07 Rikogaku Shinkokai 薄膜形成方法
US20090081827A1 (en) * 2007-09-26 2009-03-26 Cheng Yang Process for selective area deposition of inorganic materials
JP2009539237A (ja) * 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
JP2010518644A (ja) * 2007-02-14 2010-05-27 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
JP2010232316A (ja) * 2009-03-26 2010-10-14 Fujifilm Corp 酸化亜鉛系半導体薄膜の成膜方法、及び成膜装置
US20100270626A1 (en) * 2009-04-27 2010-10-28 Raisanen Petri I Atomic layer deposition of hafnium lanthanum oxides
US20150217330A1 (en) * 2014-02-04 2015-08-06 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
WO2016147941A1 (ja) * 2015-03-13 2016-09-22 株式会社村田製作所 原子層堆積阻害材料

Family Cites Families (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100476374B1 (ko) * 2000-12-26 2005-03-16 주식회사 하이닉스반도체 반도체소자 제조 방법
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
EP1563117B1 (en) 2002-11-15 2010-01-06 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
CN103147062A (zh) 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TW201013961A (en) 2008-07-16 2010-04-01 Applied Materials Inc Hybrid heterojunction solar cell fabrication using a metal layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
EP2459766A1 (en) 2009-07-31 2012-06-06 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) * 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) * 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20190077619A (ko) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
US8883637B2 (en) * 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP2015528011A (ja) 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
CN104968724B (zh) 2013-01-31 2017-09-26 大日本印刷株式会社 电子束固化性树脂组合物、反射器用树脂框架、反射器、半导体发光装置、及成形体的制造方法
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
KR102099841B1 (ko) 2013-06-28 2020-04-13 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
MX2016002656A (es) 2013-09-20 2016-06-06 Baker Hughes Inc Materiales compuestos para uso en operaciones de estimulacion y control de arena.
CN105556644B (zh) 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150247238A1 (en) * 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
EP3122918A4 (en) 2014-03-27 2018-03-14 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
KR20160137977A (ko) 2014-03-28 2016-12-02 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN107533951B (zh) 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
WO2016204772A1 (en) 2015-06-18 2016-12-22 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR102662612B1 (ko) 2016-10-02 2024-05-03 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004281479A (ja) * 2003-03-13 2004-10-07 Rikogaku Shinkokai 薄膜形成方法
JP2009539237A (ja) * 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
JP2010518644A (ja) * 2007-02-14 2010-05-27 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
US20090081827A1 (en) * 2007-09-26 2009-03-26 Cheng Yang Process for selective area deposition of inorganic materials
JP2010540773A (ja) * 2007-09-26 2010-12-24 イーストマン コダック カンパニー 無機材料の選択領域堆積法
JP2010232316A (ja) * 2009-03-26 2010-10-14 Fujifilm Corp 酸化亜鉛系半導体薄膜の成膜方法、及び成膜装置
US20100270626A1 (en) * 2009-04-27 2010-10-28 Raisanen Petri I Atomic layer deposition of hafnium lanthanum oxides
US20150217330A1 (en) * 2014-02-04 2015-08-06 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
WO2016147941A1 (ja) * 2015-03-13 2016-09-22 株式会社村田製作所 原子層堆積阻害材料

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
K.KUKLI ETAL: "Properties of hafnium oxide films grown by ALD from hafnium tetraiodide and oxgen", J.APPL.PHYSICS, vol. 92, JPN7021003931, 2002, pages 5698, ISSN: 0004600581 *

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018147955A (ja) * 2017-03-02 2018-09-20 株式会社日立国際電気 半導体装置の製造方法、プログラム及び記録媒体
JP2020147788A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 成膜方法
WO2020184212A1 (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 成膜方法
JP7109397B2 (ja) 2019-03-13 2022-07-29 東京エレクトロン株式会社 成膜方法
JP2021106242A (ja) * 2019-12-27 2021-07-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7227122B2 (ja) 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2022107769A1 (ja) 2020-11-19 2022-05-27 株式会社Adeka 薄膜の製造方法
KR20230107613A (ko) 2020-11-19 2023-07-17 가부시키가이샤 아데카 박막의 제조 방법

Also Published As

Publication number Publication date
KR102513600B1 (ko) 2023-03-23
JP2022088516A (ja) 2022-06-14
KR20180061052A (ko) 2018-06-07
US20220367173A1 (en) 2022-11-17
US11430656B2 (en) 2022-08-30
TW202227653A (zh) 2022-07-16
TW202334477A (zh) 2023-09-01
KR20230043089A (ko) 2023-03-30
TW201833356A (zh) 2018-09-16
JP7300032B2 (ja) 2023-06-28
KR102626489B1 (ko) 2024-01-18
TWI804213B (zh) 2023-06-01
US20180151345A1 (en) 2018-05-31
TWI759365B (zh) 2022-04-01
JP7050468B2 (ja) 2022-04-08

Similar Documents

Publication Publication Date Title
JP7300032B2 (ja) 酸化物薄膜の堆積
US11107673B2 (en) Formation of SiOCN thin films
JP7182676B2 (ja) 周期的堆積により基材上に金属性膜を形成する方法及び関連する半導体デバイス構造
JP6950012B2 (ja) SiOCN薄膜の形成
US20210351031A1 (en) Selective deposition using hydrophobic precursors
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
KR102185458B1 (ko) 선택적 퇴적
CN110993482A (zh) 选择性钝化和选择性沉积
JP2018095961A (ja) レニウム含有薄膜の原子層堆積
US20100227476A1 (en) Atomic layer deposition processes
KR102470043B1 (ko) 알루미늄 및 질소 함유 재료의 선택적 증착
CN114698381B (zh) 在金属表面上选择性沉积杂环钝化膜

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201022

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220308

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220329

R150 Certificate of patent or registration of utility model

Ref document number: 7050468

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150