TW201346056A - 由金屬脒鹽前驅物製造介電膜的方法 - Google Patents

由金屬脒鹽前驅物製造介電膜的方法 Download PDF

Info

Publication number
TW201346056A
TW201346056A TW102114224A TW102114224A TW201346056A TW 201346056 A TW201346056 A TW 201346056A TW 102114224 A TW102114224 A TW 102114224A TW 102114224 A TW102114224 A TW 102114224A TW 201346056 A TW201346056 A TW 201346056A
Authority
TW
Taiwan
Prior art keywords
precursor
metal
film
salt
group
Prior art date
Application number
TW102114224A
Other languages
English (en)
Other versions
TWI554636B (zh
Inventor
Steven Hung
Atif Noori
David Thompson
Yoshihide Senzaki
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201346056A publication Critical patent/TW201346056A/zh
Application granted granted Critical
Publication of TWI554636B publication Critical patent/TWI554636B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

本案描述用於使用金屬脒鹽前驅物原子層沉積包含混合金屬氧化物之膜的方法。混合金屬氧化物膜可包含鑭系元素及諸如鉿、鋯及/或鈦之過渡金屬。此等混合金屬氧化物膜可用作以下各者中之介電層:電容器、電晶體、動態隨機存取記憶體單元、電阻式隨機存取記憶體單元、快閃記憶體單元及顯示面板。

Description

由金屬脒鹽前驅物製造介電膜的方法
本發明之實施例大體而言係關於半導體製造製程及元件的領域,特定言之係關於沉積介電膜之方法及此等膜在電子元件中之使用。
微電子元件係製造於半導體基板上作為積體電路,其中各種導電層彼此互連以允許電子訊號在元件內傳播。此元件之實例為互補金氧半導體(complementary metal-oxide-semiconductor;CMOS)場效電晶體(field effect transistor;FET)或金氧半導體場效電晶體(metal-oxide-semiconductor field effect transistor;MOSFET)。
在過去的幾十年中,MOSFET已不斷按比例縮小尺寸且現代積體電路正併入具有小於0.1微米之通道長度的MOSFET。特徵尺寸之減少已導致某些挑戰,因為小的MOSFET與較大元件相比顯示較高的洩漏電流及較低輸出電阻。然而,較小的MOSFET由於若干原因是為人們所需要的。使電晶體更小之主要原因在於在給定晶片面積內填充越來越多元件,以降低每晶片之價格。另外,電晶體尺寸之縮減可 幫助增加速度。
因為小MOSFET幾何形狀的原因,必須降低可施加至閘極的電壓以維持可靠性。為維持效能,MOSFET之臨限電壓亦必須降低。當臨限電壓降低時,電晶體無法以可用之有限電壓擺動由完全斷開切換至完全接通。在過去忽略之次臨限值洩漏現在可對元件效能具有顯著影響。
閘極電極為積體電路之部分。舉例而言,CMOS電晶體包含安置在源極區與汲極區之間的閘極結構,該源極區及汲極區係形成在半導體基板中。閘極結構通常包含閘極電極及閘極介電質。閘極電極係安置在閘極介電質上方以控制載荷子在通道區內之流動,該通道區係形成在位於閘極介電質下方之汲極區與源極區之間。閘極介電質通常包含薄材料層(例如,諸如二氧化矽(SiO2)、氮氧化矽(SiON)及類似物之閘極氧化物),該薄材料層具有約4.0或更大的介電常數。當將矽CMOS元件之閘極長度定標為小於100nm時,新的高介電常數(K)材料將很可能取代氧化矽。另外,金屬閘極將很可能取代多晶矽(聚矽)閘極。舉例而言,在一些CMOS電晶體中,閘極電極可由以下之至少一者形成:金屬(例如,鈦(Ti)、鉭(Ta)、鎢(W)及類似物)及含金屬之導電化合物(例如,氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)及類似物)。以金屬及含金屬之化合物取代作為閘極電極之傳統材料的聚矽降低了與聚矽空乏效應相關聯之非期望電壓降,以及增加CMOS電晶體之驅動電流效能及操作速度。
充當介於閘極與通道之間的絕緣體的閘極氧化物應 儘可能薄地製造,以在電晶體接通時增加通道導電性及效能且在電晶體斷開時降低次臨限值洩漏。然而,在具有約1.2nm厚度之當前閘極氧化物的情況下,電子穿隧之量子機械現象發生在閘極與通道之間,從而導致增加的功率消耗。
具有大於二氧化矽之介電常數的諸如二氧化鉿(HfO2)之高k介電質正被用來降低閘極洩漏。增加閘極介電質之介電常數允許較厚層,同時維持高電容。通常,較高的介電厚度降低通過介於閘極與通道之間的介電質的量子穿隧電流。然而,半導體與介電質在導電帶能方面的差異(及在價帶能量方面的相應差異)影響洩漏電流位準。對於傳統的閘極氧化物,二氧化矽,前阻障層為近似8eV。對於許多替代介電質,該值顯著較低,如此傾向於增加穿隧電流,而稍微否定較高介電常數之優點。
如上所述,已提出用作閘極介電材料之替代材料。儘管已藉由使用替代閘極金屬及閘極介電材料對半導體閘極電極進行改良,但期望進一步改良來改良積體電路元件之效能,例如以降低洩漏電流密度。
因此,在此技術領域中存在對於快速且有效地沉積介電膜之方法的持續需要。
本發明之一態樣係關於沉積膜之方法,該方法包含以下步驟:將基板之表面順序地暴露至第一金屬前驅物及氧化劑之交替脈衝以提供第一金屬氧化物膜;及將第一金屬氧化物膜順序地暴露至氧化劑及第二金屬前驅物之交替脈衝以 提供包含第一金屬及第二金屬之混合金屬氧化物之膜。在此態樣之實施例中,第一金屬為一或多個過渡金屬,諸如Hf、Zr或Ti。第二金屬前驅物可為鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物。根據一或多個實施例,鑭系元素為鈰。
第一金屬前驅物亦可為脒鹽前驅物或胍鹽前驅物,諸如具有化學式M1Ln之一者,其中M1係選自Hf、Zr及Ti,Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至4的數字,且其中每一L獨立地為與另一L相同或不同的配位體。在一些實施例中,一或多個L為另一脒鹽或胍鹽配位體。
鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物可具有藉由以下結構式表示之結構:
其中M2為鑭系元素,R、R'及R"每一者獨立地為氫、C1-8烷基、芳基、醯基、醛基、酮基、C2-4烯基、炔基、氮氣、氨基或CF3,Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、 環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至3的數字,且其中每一L獨立地為與另一L相同或不同的配位體。在一些實施例中,一或多個L為另一脒鹽或胍鹽配位體。
根據一或多個實施例,包含混合金屬氧化物之膜為混合金屬氧化物膜、混合金屬氮氧化物膜、混合金屬矽酸鹽膜或氮化之混合金屬矽酸鹽膜。
基於在膜中之鑭系元素及過渡金屬的總莫耳,包含混合金屬氧化物之膜可包含1莫耳百分比至30莫耳百分比之鑭系元素。在一些實施例中,鑭系元素為鈰且過渡金屬為鉿。
氧化劑可包含以下各物質中之一或多者:H2O、H2O2、O2、O3、N2O、NO、NOx、硝酸鹽、乙醇、羧酸、CO、CO2及HCOH。
在一些實施例中,包含混合金屬氧化物膜之膜可在沉積之後退火。
本發明之另一態樣係關於沉積膜之方法,該方法包含以下步驟:將基板之表面順序地暴露至氧化劑及前驅物混合物之交替脈衝以提供包含第一金屬及第二金屬之混合金屬氧化物的膜,該前驅物混合物包含第一金屬前驅物及第二金屬前驅物。在此態樣之實施例中,第一金屬為一或多個過渡金屬,諸如Hf、Zr或Ti。第二金屬前驅物可為鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物。根據一或多個實施例,鑭系元素為鈰。
第一金屬前驅物亦可為脒鹽前驅物或胍鹽前驅物, 諸如具有化學式M1Ln之一者,其中M1係選自Hf、Zr及Ti,Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至4的數字,且其中每一L獨立地為與另一L相同或不同的配位體。在一些實施例中,一或多個L為另一脒鹽或胍鹽配位體。
鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物可具有藉由以下結構式表示之結構:
其中M2為鑭系元素,R、R'及R"每一者獨立為氫、C1-8烷基、芳基、醯基、醛基、酮基、C2-4烯基、炔基、氮氣、氨基或CF3,Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至3的數字,且其中每一L獨立地為與另一L相同或不同的配位體。在一些實施例中,一或多個L為另一脒鹽或胍鹽配位體。
根據此態樣之一或多個實施例,包含混合金屬氧化 物之膜為混合金屬氧化物膜、混合金屬氮氧化物膜、混合金屬矽酸鹽膜或氮化之混合金屬矽酸鹽膜。
第一金屬前驅物及第二金屬前驅物可在將第一金屬前驅物及第二金屬前驅物引入沉積腔室內之前混合,或前驅物可位於腔室本身中。在一些實施例中,第一金屬前驅物及第二金屬前驅物在前驅物混合物中之莫耳比係在自100:1至2:1之範圍內。
氧化劑可包含以下物質中之一或多者:H2O、H2O2、O2、O3、N2O、NO、NOx、硝酸鹽、乙醇、羧酸、CO、CO2及HCOH。
本發明之又一態樣係關於沉積包含混合金屬氧化物之膜的方法,該方法包含以下步驟:控制第一金屬前驅物及第二金屬前驅物之流動以提供前驅物混合物;將基板之表面暴露至前驅物混合物;及將基板之表面暴露至氧化劑以提供包含第一金屬及第二金屬之混合金屬氧化物的膜。
在此態樣之實施例中,第一金屬為一或多個過渡金屬,諸如Hf、Zr或Ti。第二金屬前驅物可為鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物。根據一或多個實施例,鑭系元素為鈰。
第一金屬前驅物亦可為脒鹽前驅物或胍鹽前驅物,諸如具有化學式M1Ln之一者,其中M1係選自Hf、Zr及Ti,Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒 鹽及胍鹽,n為自1至4的數字,且其中每一L獨立地為與另一L相同或不同的配位體。在一些實施例中,一或多個L為另一脒鹽或胍鹽配位體。
鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物可具有藉由以下結構式表示之結構:
其中M2為鑭系元素,R、R'及R"每一者獨立為氫、C1-8烷基、芳基、醯基、醛基、酮基、C2-4烯基、炔基、氮氣、氨基或CF3,Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至3的數字,且其中每一L獨立地為與另一L相同或不同的配位體。在一些實施例中,一或多個L為另一脒鹽或胍鹽配位體。
根據此態樣之一或多個實施例,包含混合金屬氧化物之膜為混合金屬氧化物膜、混合金屬氮氧化物膜、混合金屬矽酸鹽膜或氮化之混合金屬矽酸鹽膜。
在一或多個實施例中,第一金屬前驅物及第二金屬前驅物之流動係經控制以基於在膜中之鑭系元素及第一金屬 的總莫耳而提供包含1莫耳百分比至30莫耳百分比之鑭系元素之混合金屬氧化物膜。
另一態樣係關於含有介電層之電子元件,該介電層包含根據本文描述之任何方法沉積之混合金屬氧化物膜。介電層可與導電層連通。在一些實施例中,電子元件可為電容器、電晶體、動態隨機存取記憶體單元、電阻式隨機存取記憶體單元、快閃記憶體單元或顯示面板。
前述內容已相當廣泛地概述了本發明之某些特徵及技術優勢。熟習此項技術者應瞭解,揭示之特定實施例可容易用作在本發明範疇內修改或設計其他結構或製程的基礎。熟習此項技術者亦應認識到,此等等效構造不脫離如在隨附申請專利範圍中所闡述之本發明之精神及範疇。
如本文所使用之「基板」係指任何基板或形成於基板上之材料表面,膜處理係在製造過程期間於該基板或材料表面上執行。舉例而言,取決於應用,可在其上執行處理之該基板表面包括各種材料,該等材料諸如矽、氧化矽、應變矽、絕緣層上矽(silicon on insulator;SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,及任何其他材料,該任何其他材料諸如金屬、金屬氮化物、金屬合金及其他導電材料。基板包括且不限於半導體晶圓。可將基板暴露於預處理製程以研磨、蝕刻、還原、氧化、羥基化、退火 及/或烘烤基板表面。除直接在基板本身之表面上的膜處理之外,本發明中揭示之任何膜處理步驟亦可在如下文更詳細揭示的形成於基板上之下層上執行,且術語「基板表面」意欲包括如上下文指示之此下層。
根據本發明之各種實施例,提供了與沉積包含混合金屬氧化物之膜有關的方法。混合金屬氧化物膜包含鑭系元素及諸如鉿、鋯及/或鈦之過渡金屬。在一或多個實施例中,鑭系元素為鈰。一些實施例中,過渡金屬為鉿。
該等混合金屬氧化物可用作電晶體中之閘極介電質,或用作電容器、動態隨機存取記憶體單元、電阻式隨機存取記憶體單元、快閃記憶體單元及顯示面板中之介電質。
當前發明之一態樣提供藉由使用鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物沉積包含混合金屬氧化物之膜的方法。在此態樣之實施例中,該方法包含以下步驟:將基板之表面順序地暴露至第一金屬前驅物及氧化劑之交替脈衝以在基板表面上提供第一金屬氧化物膜;及將第一金屬氧化物膜順序地暴露至氧化劑及鑭系元素前驅物之交替脈衝。鑭系元素前驅物可為具有以下結構之鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物: 其中M2為鑭系元素,且R、R'及R"每一者獨立為氫、C1-8烷基、芳基、醯基、醛基、酮基、C2-4烯基、炔基、氮氣、氨基或CF3。Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至3的數字,且每一L獨立地為與另一L相同或不同的配位體。在一些實施例中,每一L為相同的脒鹽配位體或胍鹽配位體以使得金屬錯合物為均配物。在其他實施例中,至少L與脒鹽配位體或胍鹽配位體不相同,且金屬錯合物為雜配物。根據一或多個實施例,鑭系元素包含鈰。
第一金屬可為過渡金屬,且在一些實施例中,第一金屬為鉿、鋯及鈦中之一或多者。類似鑭系元素前驅物,第一金屬前驅物亦可為脒鹽前驅物或胍鹽前驅物。在一些實施例中,第一金屬前驅物具有藉由M1Ln表示之化學式,其中M1係選自Hf、Zr及Ti,Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、 鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至4的數字,且每一L獨立地為與另一L相同或不同的配位體。在一些實施例中,每一L為相同的脒鹽配位體或胍鹽配位體以使得金屬錯合物為均配物。在其他實施例中,至少L與脒鹽配位體或胍鹽配位體不相同,且金屬複合物為雜配物。根據一或多個實施例,過渡金屬包含鉿。
金屬脒鹽前驅物及金屬胍鹽前驅物可藉由鹽複分解反應製備,在該等鹽複分解反應中,金屬鹵化物係與脒或胍之相應鋰鹽反應。
用於過渡金屬氧化物及鑭系元素氧化物之氧化劑可為相同或不同。合適的氧化劑包括但不限於H2O、H2O2、O2、O3、N2O、NO、NOx、硝酸鹽、乙醇、羧酸、CO、CO2及HCOH。為幫助滿足對於閘極介電質之遠低於1nm之等效氧化物厚度(equivalent oxide thickness;EOT)定標之嚴格要求,一些實施例中使用較不強的氧化劑。因此,在一些實施例中,氧化劑包含水。
包含混合金屬氧化物之膜可為含有過渡金屬、鑭系元素及氧之任何膜。在一些實施例中,包含混合金屬氧化物之膜可為混合金屬氧化物膜、混合金屬氮氧化物膜、混合金屬矽酸鹽膜或氮化之混合金屬矽酸鹽膜。
鑭系元素脒鹽及胍鹽前驅物可提供優於其他鑭系元素前驅物之許多優點。在本發明之前,缺乏可用之水反應化學,該等有效水反應化學在含鑭系元素之膜中留下低碳殘留物。同樣地,其他熟知的含鈰化合物,諸如四(2,2,6,6-四甲 基-3,5-己二酮)鈰(Ce(thd)4)及三(異丙基-環戊二烯基)鈰(Ce(iPrCp)3),具有低蒸氣壓力且需要大量加熱以提供待用於原子層沉積(ALD)或化學氣相沉積(CVD)處理中之化學品之充分蒸氣壓力。此加熱傾向於使前驅物過早分解,且因此致使該等源不適合於ALD或CVD處理。此外,與使用其他前驅物沉積之介電膜相比,使用鑭系元素脒鹽前驅物及胍鹽前驅物沉積之介電膜可具有降低之洩漏電流密度。舉例而言,與使用鈰之1-甲氧基-2-甲基-2-丙醇鹽(mmp)前驅物沉積之HfCeOx膜相比,使用鈰脒鹽前驅物沉積之HfCeOx膜顯示在電流密度上減少了10倍至60倍。
在一個實施例中,膜係使用原子層沉積(ALD)製程沉積。在ALD製程之示例性實施例中,將例如過渡金屬前驅物之第一化學前驅物(「A」)在第一半反應中脈衝輸送至基板表面。選擇第一化學前驅物「A」,以便該第一化學前驅物「A」與合適的下層物種(例如表面上之OH或NH官能基)反應以形成新的自飽和表面。然而,對於某些反應物而言,不一定需要表面官能基。過量的未使用反應物及反應副產物係通常藉由抽空泵及/或藉由流動惰性淨化氣體移除。隨後將例如氧化劑之共反應物「B」輸送至表面,其中第一半反應之先前反應的終止取代基或配位體係與來自「B」共反應物之新的配位體或取代基反應,如此生成交換副產物。「B」共反應物亦與下層反應物種形成自飽和鍵結以提供另一自限制及飽和的第二半反應。第二淨化時段係通常用於移除未使用反應物及反應副產物。
可隨後再次流動「A」前驅物、「B」共反應物及淨化氣體。持續交替暴露表面至反應物「A」及反應物「B」,直至達到期望厚度之膜為止。為併入第二金屬(亦即,鑭系元素),流動諸如鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物之第三化學前驅物「C」。隨後將例如氧化劑之共反應物「D」輸送至表面。「D」反應物可為與「B」反應物相同的氧化劑或「D」反應物可為不同的氧化劑。用於「A」氣體、「B」氣體、「C」氣體及「D」氣體之脈衝順序可取決於膜之期望組成而不同。將為A-B-C-D-A-B-C-D之一個示例性順序將會提供過渡金屬與鑭系元素之莫耳比為1:1的膜。其他示例性順序可為A-B-A-B-C-D-A-B、A-B-A-B-A-B-C-D-A-B等。
在一或多個實施例中,鑭系元素比係經選擇以增強K值或減少介電洩漏。鑭系元素比為鑭系元素之莫耳與鑭系元素及過渡金屬之莫耳總和的比率。一些實施例中,基於鑭系元素及過渡金屬之總莫耳,鑭系元素比為0.01至0.3,亦即,包含混合金屬氧化物之膜含有1%至30%的鑭系元素。
應理解,「A」氣體、「B」氣體、「C」氣體、「D」氣體及淨化氣體可同時流動,且基板及/或氣流噴嘴可振動以使得將基板如所期望地順序地暴露至A氣體、B氣體、C氣體、D氣體及淨化氣體。
前驅物及/或反應物可處於氣體、電漿、蒸汽的狀態或對氣相沉積製程有用之其他物質狀態。在淨化期間,通常將惰性氣體引入處理腔室內以淨化反應區,或以其他方式自反應區移除任何殘留反應化合物或副產物。或者,淨化氣體 可在整個沉積製程中連續地流動,以便僅淨化氣體在介於前驅物脈衝與共反應物脈衝之間的時間延遲期間流動。
因此,在一或多個實施例中,「A」前驅物及「B」共反應物之交替脈衝或流動可用以例如在脈衝化之前驅物及共反應物之多個循環之脈衝化輸送中沉積膜,例如,A脈衝、B共反應物脈衝、A前驅物脈衝、B共反應物脈衝、A前驅物脈衝、B共反應物脈衝、A前驅物脈衝、B共反應物脈衝。如上所說明,代替脈衝輸送反應物,氣體可自氣體輸送頭或噴嘴同時流動及/或可移動基板及/或氣體輸送頭以使得基板順序地暴露至氣體。
固然,上述ALD循環僅為多種ALD製程循環之示例性製程循環,在該等製程循環中,沉積層係藉由前驅物及共反應物的交替層而形成。儘管對作為過渡金屬前驅物之「A」反應物進行特定參考,但ALD循環中之第一反應物可為氧化劑或鑭系元素前驅物。
如本文所使用之沉積氣體或製程氣體係指單一氣體、多種氣體、含電漿之氣體、一或多種氣體及/或電漿之組合。沉積氣體可含有用於氣相沉積製程之至少一個反應化合物。反應化合物可在氣相沉積製程期間處於氣體、電漿、蒸汽的狀態。同樣地,製程可含有淨化氣體或載氣且不含有反應化合物。
根據本發明之各種實施例的膜可沉積在幾乎任何基板材料之上。當本文描述之ALD製程為低溫時,將該等製程用於熱不穩定之基板係尤其有利的。如本文所使用之「基板 表面」係指任何基板或形成於基板上之材料表面,膜處理係在製造過程期間於該基板或材料表面上執行。舉例而言,取決於應用,可在其上執行處理之該基板表面包括各種材料,該等材料諸如矽、氧化矽、應變矽、絕緣層上矽(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石及任何其他材料,該任何其他材料諸如金屬、金屬氮化物、金屬合金及其他導電材料。基板表面上之阻障層、金屬或金屬氮化物包括鈦、氮化鈦、氮化鎢、鉭及氮化鉭、鋁、銅或對元件製造有用之任何其他導體或導電或非導電阻障層。基板可具有各種尺寸,諸如200mm或300mm直徑的晶圓以及矩形窗格或方形窗格。本發明之實施例在其上可能有用之該基板包括但不限於半導體晶圓,諸如晶態矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜聚矽或未摻雜聚矽、摻雜矽晶圓或未摻雜矽晶圓、諸如GaAs、GaN、InP等之III-V族材料及圖案化晶圓或非圖案化晶圓。可將基板暴露於預處理製程以研磨、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。
因為本發明之實施例提供用於沉積或形成含有混合金屬氧化物之膜的方法,所以處理腔室經設置以在氣相沉積製程期間將基板暴露至一系列氣體及/或電漿。處理腔室將包括單獨供應A反應物及B反應物,或C反應物及D反應物,或所有的A反應物、B反應物、C反應物及D反應物。處理腔室亦將包括:載氣、淨化氣體及諸如氬氣及氮氣之惰性氣體的任何供應都與用於反應物及氣體中之每一者的氣體入口 流體連通。每一入口可藉由與中央處理單元(CPU)連通之諸如質量流量控制器或體積流量控制器之適當流量控制器控制,該流量控制器允許每一反應物流動至基板以執行如本文所述之ALD製程。中央處理單元可為一種任何形式的電腦處理器,該電腦處理器可用於工業設定中以控制各種腔室及子處理器。可將CPU耦接至記憶體,且CPU可為一或多個容易可用之記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、快閃記憶體、光碟、軟碟、硬碟或任何其他形式之本端或遠端數位儲存器。可將支援電路耦接至CPU來以習知方式支援CPU。該等電路包括快取記憶體、電源、時鐘電路、輸入/輸出電路系統、子系統及類似物。
共反應物通常係處於氣相形式或氣體形式。反應物可以載氣輸送。載氣、淨化氣體、沉積氣體或其他製程氣體可含有氮氣、氫氣、氬氣、氖氣、氦氣或前述氣體之組合。電漿可對本文描述之膜的沉積、形成、退火、處理或其他處理有用。本文描述之諸如氮氣電漿或惰性氣體電漿之各種電漿可由電漿共反應物氣體點燃及/或含有電漿共反應物氣體。
在一或多個實施例中,用於製程之各種氣體可自各種孔或出口經由氣體通道脈衝輸送至入口且至中央通道中。在一或多個實施例中,沉積氣體可順序地脈衝輸送至噴淋頭且通過噴淋頭。或者,如上所述,氣體可同時流動通過氣體供應噴嘴或氣體供應頭,且可移動基板及/或氣體供應頭以便將基板順序地暴露至氣體。
在另一實施例中,含有混合金屬氧化物之膜可在電 漿增強原子層沉積(plasma enhanced atomic layer deposition;PEALD)製程期間形成,該PEALD製程提供一或多個前驅物及電漿之順序脈衝。在特定實施例中,共反應物可涉及電漿。在涉及電漿使用之其他實施例中,在電漿步驟期間,試劑係通常在製程期間離子化,但此離子化可能僅在沉積腔室之上游發生以使得離子或其他高能物種或發光物種不與沉積膜直接接觸,此設置經常稱為遠端電漿。因此,在此類型之PEALD製程中,電漿係諸如藉由遠端電漿產生器系統自處理腔室外部產生。在PEALD製程期間,電漿可自微波(MW)頻率產生器或射頻(RF)產生器產生。儘管可在本文揭示之ALD製程期間使用電漿,但應注意,並非需要電漿。實際上,其他實施例係關於在無電漿的極溫和條件下的ALD。
因此,本發明之另一態樣係關於根據上述任何實施例用於在基板上沉積膜以執行製程的設備。在一個實施例中,設備包含用於在基板上原子層沉積膜之沉積腔室。腔室包含用於支撐基板之製程區域。設備包括與鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物之供應流體連通之前驅物入口。設備亦包括與氧化劑之供應流體連通之反應物氣體入口,如上所述。設備進一步包括與淨化氣體流體連通之淨化氣體入口。設備可進一步包括用於自沉積腔室移除氣體之真空埠。設備可進一步包括輔助氣體入口,用於供應諸如惰性氣體之一或多種輔助氣體至沉積腔室。沉積可進一步包括用於藉由輻射熱及/或電阻熱加熱基板之構件(means)。
在一些實施例中,可在本文所述之用於沉積或形成 光阻材料之方法期間使用的電漿系統及處理腔室或系統可在PRODUCER®系統、CENTURA®系統或ENDURA®系統中之任一者上執行,所有上述系統可購自位於Santa Clara,Calif之Applied Materials,Inc.。ALD處理腔室之詳細描述可見於共同讓渡之美國專利第6,878,206號、第6,916,398號及第7,780,785號中。
在ALD製程中,處理腔室或沉積腔室可在自約0.01托至約100托之範圍內的壓力下加壓,例如在自約0.1托至約10托的壓力下加壓。同樣地,根據一或多個實施例,可加熱腔室或基板,以使得沉積可發生在低於約300℃之溫度下。在其他實施例中,沉積可發生在低於約100℃之溫度下,且在其他實施例中,沉積可發生在甚至低至約室溫之溫度下。在一個實施例中,沉積係在約150℃至350℃之溫度範圍下進行。
基板可為上述任何類型的基板。可選製程步驟涉及藉由以電漿或其他合適的表面處理處理基板以在基板表面上提供活性部位而製備基板。合適活性部位之實例包括但不限於O-H終止表面、N-H終止表面或S-H終止表面。
「A」前驅物至基板表面之輸送
可將基板暴露於藉由使載氣(例如,氮氣或氬氣)通過前驅物之安瓿而形成之「A」前驅物氣體或蒸氣,該「A」前驅物氣體或蒸氣可處於液態形式。可加熱安瓿。或者,前驅物可溶於溶劑中且用於直接液體噴射及驟汽化。合適的溶劑包括烴類、烷基胺及醇類。當使用直接液體噴射及驟汽化時,在將液體傳輸至汽化器之前不必加熱前驅物溶液,此舉 改良前驅物溶液之存放期。
可在任何合適流動速率下輸送「A」前驅物氣體,該流動速率係在自約10sccm至約2000sccm之範圍內,例如,自約50sccm至約1000sccm,且在特定實施例中,該流動速率係在自約100sccm至約500sccm之範圍內,例如,約200sccm。可將基板暴露於含金屬之「A」前驅物氣體達一時間段,該時間段係在自約0.1秒至約10秒範圍內,例如,自約1秒至約5秒,且在特定實例中,該時間段達近似2秒。一旦前驅物已被吸附至基板表面上之所有反應表面部分上,就停止「A」前驅物氣體之流動。在理想進行之ALD製程中,容易以反應前驅物「A」使表面飽和,以使得額外暴露將不引起額外沉積(亦即,製程由於所有反應表面部分之消耗而自限制)。
第一淨化
在停止「A」前驅物氣體之流動之後,可將基板及腔室暴露至淨化步驟。可以一流動速率將淨化氣體供給至處理腔室內,該流動速率係在自約10sccm至約2000sccm之範圍內,例如,自約50sccm至約1000sccm,且在特定實施例中,該流動速率係在自約100sccm至約500sccm之範圍內,例如,約200sccm。淨化步驟移除處理腔室內之任何過量的前驅物、副產物及其他污染物。可進行淨化步驟達一時間段,該時間段係在自約0.1秒至約15秒範圍內,例如,自約1秒至約10秒,且在特定實例中,該時間段達約4秒。載氣、淨化氣體、沉積氣體或其他製程氣體可含有氮氣、氫氣、氬氣、 氖氣、氦氣或前述氣體之組合。在一個實例中,載氣包含氮氣。除淨化步驟外,可使用幫浦以增強抽空效率。
「B」共反應物至基板表面之輸送
在第一淨化之後,可將基板活性部位暴露於「B」共反應物氣體或蒸氣,該「B」共反應物氣體或蒸氣係藉由使載氣(例如,氮氣或氬氣)通過「B」共反應物之安瓿而形成。可加熱安瓿。可在任何合適流動速率下輸送「B」反應氣體,該流動速率係在自約10sccm至約2000sccm之範圍內,例如,自約50sccm至約1000sccm,且在特定實施例中,該流動速率為約200sccm。可將基板暴露於「B」反應氣體達一時間段,該時間段係在自約0.1秒至約8秒範圍內,例如,自約1秒至約5秒,且在特定實例中,該時間段達約2秒。一旦「B」已被吸附至先前步驟中沉積之「A」前驅物上且迅速地與「A」前驅物反應,則可停止「B」反應氣體之流動。
第二淨化
在停止「B」共反應物氣體之流動之後,可將基板及腔室暴露至淨化步驟。可以一流動速率將淨化氣體供給至處理腔室內,該流動速率係在自約10sccm至約2000sccm之範圍內,例如,自約50sccm至約1000sccm,且在特定實施例中,該流動速率係在自約100sccm至約500sccm之範圍內,例如,約200sccm。淨化步驟移除處理腔室內之任何過量的前驅物、副產物及其他污染物。可進行淨化步驟達一時間段,該時間段係在自約0.1秒至約8秒範圍內,例如,自約1秒至約5秒,且在特定實例中,該時間段達約4秒。載氣、淨化 氣體、沉積氣體或其他製程氣體可含有氮氣、氫氣、氬氣、氖氣、氦氣或前述氣體之組合。在一個實例中,載氣包含氮氣。「B」共反應物氣體亦可處於自製程腔室遠端產生之電漿的形式。除淨化步驟外,可使用幫浦以增強抽空效率。
可以與如上所述用於「A」反應物及「B」反應物相同的方式輸送「C」反應物及「D」反應物。
在已沉積含有混合金屬氧化物之膜之後,可將膜退火以使膜均勻化。退火條件可為在約200℃至1000℃之溫度範圍內,諸如300℃至800℃,且更特定言之為400℃至700℃,其中持續時間為0.1秒至60秒,諸如1秒至30秒,更特定言之2秒至10秒。包括尖波退火(亦即,短突退火(short abrupt anneal))之快速熱退火製程可為較佳的以最小化在金屬氧化物與基板之間的非期望界面氧化層成長。用於退火之周圍氣體可含有但不限於氮氣、氫氣、氬氣、氖氣、氦氣、成形氣體(H2/N2)H2O、H2O2、O2、O3、N2O、NO、NOx、硝酸鹽、醇類、羧酸、CO、CO2及HCOH或前述各者之組合。在一或多個實施例中,載氣包含氮氣。
本發明之另一態樣係關於藉由使用前驅物混合物沉積含有混合金屬氧化物之膜的方法。在此態樣之一或多個實施例中,該方法包含將基板表面順序地暴露至氧化劑及前驅物混合物之交替脈衝。前驅物混合物包含諸如過渡金屬前驅物之第一金屬前驅物及諸如鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物之第二金屬前驅物。該等前驅物可為上文關於第一態樣描述之彼等前驅物中之任何者。
使前驅物混合物共同流動可具有優於在奈米層壓製程中分別沉積過渡金屬層及鑭系元素層之若干優勢。第一金屬與第二金屬在混合金屬氧化物膜中之比率可藉由控制兩個前驅物在混合物中之比率而控制。膜之最終組成將取決於兩個前驅物之比率以及兩個前驅物之相對反應性。根據一或多個實施例,過渡金屬前驅物與鑭系元素前驅物在前驅物混合物中之莫耳比係在自100:1至2:1或自20:1至5:1的範圍內。在一些實施例中,過渡金屬為鉿且鑭系元素為鈰,且鉿前驅物與鈰前驅物之莫耳比係自100:1至2:1或自20:1至5:1。
另一潛在優勢在於可直接沉積均勻混合金屬氧化物膜,此舉可減少對後沉積退火的需要或此舉可降低後沉積退火溫度。此外,可使用簡單的4步驟循環(前驅物混合物脈衝、淨化、氧化劑脈衝、淨化)代替具有8個或8個以上步驟(第一金屬前驅物脈衝、淨化、氧化劑脈衝、淨化、第二金屬前驅物脈衝、淨化、氧化劑脈衝、淨化)的更複雜沉積程序。
在一些實施例中,第一金屬前驅物之配位體及第二金屬前驅物之配位體係針對相容性而選擇以防止氣相反應。舉例而言,第一金屬前驅物及第二金屬前驅物兩者可為脒鹽前驅物或胍鹽前驅物。
前驅物可在混合之前引入沉積腔室內,或前驅物可在引入沉積腔室內之前混合。在一些實施例中,前驅物係在引入沉積腔室內之前混合以提供均勻前驅物混合物。
本發明之另一態樣係關於沉積包含混合金屬氧化物 之膜的方法,該方法包含:控制第一金屬前驅物及第二金屬前驅物之流動以提供前驅物混合物,且隨後將基板之表面暴露至前驅物混合物。在此態樣之實施例中,第一金屬為過渡金屬(諸如Hf、Zr及/或Ti),且第二金屬前驅物為鑭系元素脒鹽前驅物或鑭系元素胍鹽前驅物。亦將基板暴露至氧化劑以提供含有第一金屬及第二金屬之混合金屬氧化物的膜。
用於此態樣中之前驅物可為任何的上述前驅物。在一些實施例中,第一金屬前驅物亦為脒鹽前驅物或胍鹽前驅物。
本發明之又一態樣係關於電子元件,該等電子元件包含根據本文描述之任何方法沉積之混合金屬氧化物。混合金屬氧化物可用作該等電子元件中之介電質,且混合金屬氧化物可與元件中之導電層連通。其中可使用該等混合金屬氧化物膜之電子元件之實例為電容器、電晶體、動態隨機存取記憶體單元、電阻式隨機存取記憶體單元、快閃記憶體單元及顯示面板。
貫穿本說明書對「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」之參考意謂:結合實施例描述之特定特徵、結構、材料或特性係包括於本發明之至少一個實施例中。因此,貫穿本說明書在各個位置中出現的諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」之用語未必指代本發明之相同實施例。此外,在一或多個實施例中,可以任何合適 方式組合特定特徵、結構、材料或特性。上述方法的描述次序不應視為限制,且該等方法可使用次序顛倒或具有遺漏或添加之所述操作。
應理解,上文描述意欲為說明性而非限制性。許多其他實施例將在一般技術者審閱上文描述後顯而易見。因此,本發明之範疇應參閱隨附申請專利範圍,連同該申請專利範圍所賦予之等效物之整體範疇一起來決定。

Claims (24)

  1. 一種沉積一膜之方法,該方法包含以下步驟:將一基板之一表面順序地暴露至一第一金屬前驅物及一氧化劑之交替脈衝以在該基板表面上提供一第一金屬氧化物膜,其中該第一金屬為Hf、Zr及Ti中之一或多者;以及將該第一金屬氧化物膜順序地暴露至一氧化劑及一前驅物之交替脈衝以提供包含該第一金屬及鈰之一混合金屬氧化物的一膜,該前驅物係選自鈰脒鹽前驅物及一鈰胍鹽前驅物。
  2. 如請求項1所述之方法,其中該第一金屬前驅物具有藉由M1Ln表示之一化學式,其中M1係選自Hf、Zr及Ti,Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至4的一數字,且其中每一L獨立地為與另一L相同或不同的配位體;以及其中該鈰脒鹽前驅物或該鈰胍鹽前驅物具有藉由以下結構式表示之一結構: 其中R、R'及R"每一者獨立地為氫、C1-8烷基、芳基、醯基、醛基、酮基、C2-4烯基、炔基、氮氣、氨基或CF3,Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至3的一數字,且每一L獨立地為與另一L相同或不同的配位體。
  3. 如請求項2所述之方法,其中一或多個L為一脒鹽配位體或一胍鹽配位體。
  4. 如請求項1所述之方法,其中包含一混合金屬氧化物之該膜為一混合金屬氧化物膜、一混合金屬氮氧化物膜、一混合金屬矽酸鹽膜或一氮化之混合金屬矽酸鹽膜。
  5. 如請求項1所述之方法,其中該第一金屬包括Hf。
  6. 如請求項5所述之方法,其中該混合金屬氧化物膜基於鈰及鉿在該膜中之該總莫耳包含1莫耳百分比至30莫耳百分比的鈰。
  7. 如請求項1所述之方法,其中該氧化劑包含以下物質中之一或多者:H2O、H2O2、O2、O3、N2O、NO、NOx、硝酸鹽、醇類、羧酸、CO、CO2及HCOH。
  8. 如請求項1所述之方法,進一步包含以下步驟:退火該混合金屬氧化物膜。
  9. 一種沉積一膜之方法,該方法包含以下步驟:將一基板之一表面順序地暴露至一氧化劑及一前驅物混合物之交替脈衝以提供包含該第一金屬及該第二金屬之一混合金屬氧化物之一膜,該前驅物混合物包含一第一金屬前驅物及一第二金屬前驅物,其中該第一金屬為Hf、Zr及Ti中之一或多者,且該第二金屬前驅物係選自一鑭系元素脒鹽前驅物及一鑭系元素胍鹽前驅物。
  10. 如請求項9所述之方法,其中該第一金屬前驅物包含該第一金屬之一脒鹽前驅物或一胍鹽前驅物。
  11. 如請求項9所述之方法,其中該第一金屬前驅物具有藉由M1Ln表示之一化學式,其中M1係選自Hf、Zr及Ti,Ln 為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至4的一數字,且其中每一L獨立地為與另一L相同或不同的配位體;以及其中該第二金屬前驅物具有藉由以下結構式表示之一結構: 其中M2為一鑭系元素,R、R'及R"每一者獨立地為氫、C1-8烷基、芳基、醯基、醛基、酮基、C2-4烯基、炔基、氮氣、氨基或CF3,Ln為選自以下之一或多者的n個配位體:氫化物、烷基醯胺、二烷基醯胺、醇鹽、β-二酮基、酮亞胺鹽、環戊二烯基、C1-8烷基、C2-8烯基、C2-8炔基、CF3、鹵化物、咪唑、吡啶、脒鹽及胍鹽,n為自1至3的一數字,且每一L獨立地為與另一L相同或不同的配位體。
  12. 如請求項11所述之方法,其中一或多個L為一脒鹽配位體或一胍鹽配位體。
  13. 如請求項9所述之方法,其中包含一混合金屬氧化物之該膜為一混合金屬氧化物膜、一混合金屬氮氧化物膜、一混合金屬矽酸鹽膜或一氮化之混合金屬矽酸鹽膜。
  14. 如請求項9所述之方法,其中該第一金屬包括Hf且該第二金屬包括Ce。
  15. 如請求項14所述之方法,其中該鉿前驅物與該鈰前驅物在該前驅物混合物中之該莫耳比係在自100:1至2:1之該範圍內。
  16. 如請求項8所述之方法,其中該氧化劑包含以下物質中之一或多者:H2O、H2O2、O2、O3、N2O、NO、NOx、硝酸鹽、醇類、羧酸、CO、CO2及HCOH。
  17. 如請求項8所述之方法,其中該第一金屬前驅物及該第二金屬前驅物係在將該第一金屬前驅物及該第二金屬前驅物引入一沉積腔室內之前混合,該沉積腔室用來沉積包含一混合金屬氧化物之該膜。
  18. 一種沉積包含一混合金屬氧化物之一膜的方法,該方法包含以下步驟:控制一第一金屬前驅物及一第二金屬前驅物之流動以提供一前驅物混合物,其中該第一金屬為Hf、Zr及Ti中之一 或多者,且該第二金屬前驅物為一鑭系元素脒鹽前驅物或一鑭系元素胍鹽前驅物;將一基板之一表面暴露至該前驅物混合物;以及將該基板之該表面暴露至一氧化劑以提供包含該第一金屬及該第二金屬之一混合金屬氧化物的一膜。
  19. 如請求項18所述之方法,其中該第一金屬前驅物包含該第一金屬之一脒鹽前驅物或一胍鹽前驅物。
  20. 如請求項18所述之方法,其中該第一金屬前驅物及該第二金屬前驅物之該等流動係經控制以基於在該膜中之鑭系元素及第一金屬的該總莫耳而提供包含1莫耳百分比至30莫耳百分比之鑭系元素之一混合金屬氧化物膜。
  21. 一種包含與一介電層連通之一導電層的電子元件,該介電層包含根據如請求項1所述之方法沉積之一混合金屬氧化物膜。
  22. 如請求項21所述之電子元件,其中該元件係選自:一電容器、一電晶體、一動態隨機存取記憶體單元、一電阻式隨機存取記憶體單元、一快閃記憶體單元及一顯示面板。
  23. 一種包含與一介電層連通之一導電層的電子元件,該介電層包含根據如請求項9所述之方法沉積之一混合金屬氧化物膜。
  24. 如請求項23所述之電子元件,其中該元件係選自:一電容器、一電晶體、一動態隨機存取記憶體單元、一電阻式隨機存取記憶體單元、一快閃記憶體單元及一顯示面板。
TW102114224A 2012-04-25 2013-04-22 由金屬脒鹽前驅物製造介電膜的方法 TWI554636B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261638194P 2012-04-25 2012-04-25

Publications (2)

Publication Number Publication Date
TW201346056A true TW201346056A (zh) 2013-11-16
TWI554636B TWI554636B (zh) 2016-10-21

Family

ID=49477666

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102114224A TWI554636B (zh) 2012-04-25 2013-04-22 由金屬脒鹽前驅物製造介電膜的方法

Country Status (3)

Country Link
US (1) US9269574B2 (zh)
TW (1) TWI554636B (zh)
WO (1) WO2013163343A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI593820B (zh) * 2008-06-05 2017-08-01 液態空氣喬治斯克勞帝方法研究開發股份有限公司 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積
TWI742092B (zh) * 2016-06-13 2021-10-11 美商應用材料股份有限公司 用於ald、cvd與薄膜摻雜之鑭系、釔與鈧前驅物及使用方法
TWI802533B (zh) * 2016-01-26 2023-05-21 美商瑟夫實驗室股份有限公司 相關電子材料裝置的製造

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10797238B2 (en) 2016-01-26 2020-10-06 Arm Ltd. Fabricating correlated electron material (CEM) devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102592325B1 (ko) 2016-07-14 2023-10-20 삼성전자주식회사 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125160A1 (en) * 2016-12-29 2018-07-05 Intel Corporation New ald lanthanide precursors
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10643838B2 (en) 2017-06-20 2020-05-05 Applied Materials, Inc. In-situ formation of non-volatile lanthanide thin film precursors and use in ALD and CVD
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10211398B2 (en) 2017-07-03 2019-02-19 Arm Ltd. Method for the manufacture of a correlated electron material device
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR20200091491A (ko) 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR20210012808A (ko) * 2019-07-26 2021-02-03 삼성전자주식회사 2종 물질 산화막의 형성 방법, 반도체 소자의 제조 방법, 유전막 형성 방법, 및 반도체 소자
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) * 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023163499A1 (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 유전막과 그를 포함한 커패시터 및 그들의 제조 방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6273951B1 (en) 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
KR100581993B1 (ko) 2004-06-09 2006-05-22 삼성전자주식회사 원자층 증착법을 이용한 물질 형성방법
US7323424B2 (en) * 2004-06-29 2008-01-29 Micron Technology, Inc. Semiconductor constructions comprising cerium oxide and titanium oxide
US7300873B2 (en) * 2004-08-13 2007-11-27 Micron Technology, Inc. Systems and methods for forming metal-containing layers using vapor deposition processes
EP2029790A1 (en) * 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
US7662693B2 (en) 2007-09-26 2010-02-16 Micron Technology, Inc. Lanthanide dielectric with controlled interfaces
TWI467045B (zh) * 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI593820B (zh) * 2008-06-05 2017-08-01 液態空氣喬治斯克勞帝方法研究開發股份有限公司 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積
TWI802533B (zh) * 2016-01-26 2023-05-21 美商瑟夫實驗室股份有限公司 相關電子材料裝置的製造
TWI742092B (zh) * 2016-06-13 2021-10-11 美商應用材料股份有限公司 用於ald、cvd與薄膜摻雜之鑭系、釔與鈧前驅物及使用方法

Also Published As

Publication number Publication date
TWI554636B (zh) 2016-10-21
US20130288427A1 (en) 2013-10-31
WO2013163343A1 (en) 2013-10-31
US9269574B2 (en) 2016-02-23

Similar Documents

Publication Publication Date Title
TWI554636B (zh) 由金屬脒鹽前驅物製造介電膜的方法
US9178031B2 (en) Methods of atomic-layer deposition of hafnium oxide/erbium oxide bi-layer as advanced gate dielectrics
US8097300B2 (en) Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US8012442B2 (en) Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
TWI276700B (en) Atomic layer deposition of nanolaminate film
KR101366541B1 (ko) 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법
US8802201B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20060019033A1 (en) Plasma treatment of hafnium-containing materials
US20060062917A1 (en) Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7741202B2 (en) Method of controlling interface layer thickness in high dielectric constant film structures including growing and annealing a chemical oxide layer
TW201323647A (zh) 利用包含鉿或鋯之前驅物之膜的原子層沉積
KR20110123809A (ko) 유효 산화물 두께가 감소된 하이-k게이트 스택의 형성 방법
JP2005314713A (ja) ルテニウム膜またはルテニウム酸化物膜の製造方法
WO2020023837A1 (en) Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices
US7759746B2 (en) Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US20100237395A1 (en) Semiconductor device with gate dielectric containing mixed rare earth elements
US20130316546A1 (en) Methods of atomic layer deposition of hafnium oxide as gate dielectrics
TWI515803B (zh) 矽化鉭內的摻雜鋁
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
KR20050020759A (ko) 다중-금속성 전구체의 원자층 증착
KR100780605B1 (ko) 탄탈륨지르코늄산화막을 구비한 반도체소자 및 그의 제조방법