TW201837979A - 半導體處理裝置 - Google Patents

半導體處理裝置 Download PDF

Info

Publication number
TW201837979A
TW201837979A TW106143570A TW106143570A TW201837979A TW 201837979 A TW201837979 A TW 201837979A TW 106143570 A TW106143570 A TW 106143570A TW 106143570 A TW106143570 A TW 106143570A TW 201837979 A TW201837979 A TW 201837979A
Authority
TW
Taiwan
Prior art keywords
substrate
layer
reaction chamber
precursor
infiltration
Prior art date
Application number
TW106143570A
Other languages
English (en)
Other versions
TWI746728B (zh
Inventor
羅 大衛 庫爾特 狄
維爾納 科納本
克日什托夫 卡赫爾
Original Assignee
荷蘭商Asm智慧財產控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm智慧財產控股公司 filed Critical 荷蘭商Asm智慧財產控股公司
Publication of TW201837979A publication Critical patent/TW201837979A/zh
Application granted granted Critical
Publication of TWI746728B publication Critical patent/TWI746728B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

揭示一種用於在一半導體處理裝置內形成一結構之裝置及方法。該裝置包括一第一反應腔室,該第一反應腔室經組構以固持具有一第一層之至少一個基板。該裝置亦包括一前驅體遞送系統,該前驅體遞送系統經組構以藉由將一第一前驅體及一第二前驅體依序脈衝至該基板上而執行浸潤。該裝置亦可包括一第一移除系統,該第一移除系統經組構以用於移除設置於該基板上之該第一層之至少一部分,同時留下一浸潤材料,其中浸潤及移除該第一層之至少一部分發生於同一個半導體處理裝置內。亦揭示一種在一半導體處理裝置內形成一結構之方法,該方法包括在一反應腔室中提供用於處理之一基板,該基板具有設置於該基板上之一第一層。該方法亦可包括藉由將一第一前驅體及一第二前驅體依序脈衝至該基板上而執行一第一層浸潤,其中一浸潤材料自該第一前驅體及該第二前驅體的反應而形成於該第一層中。該方法亦可包括在執行浸潤之後移除設置於該基板上之該第一層之至少一部分,其中浸潤及移除該第一層之至少一部分發生於同一個半導體處理裝置內。

Description

半導體處理裝置 相關申請案之交叉參考
本申請案主張於2016年12月15日申請之美國臨時申請案第61/434,955號之權益,該申請案之揭示內容在此以引用之方式併入本文中。
本發明大體上係關於用於製造電子器件之裝置。更特定而言,本發明係關於經組構以形成結構之半導體處理裝置。
隨著趨勢已將半導體器件推向愈來愈小的尺寸,已出現不同的圖案化技術。此等技術包括自對準多重圖案化、間隔物定義四倍圖案化、深紫外線微影(DUV)、極紫外線微影(EUV),以及DUV、EUV與間隔物定義雙倍圖案化相結合。另外,定向自組裝(DSA)已被視為將來微影應用之選擇方案。DSA涉及使用嵌段共聚物以界定用於自組裝之圖案。所使用之嵌段共聚物可包括聚(甲基丙烯酸甲酯)(PMMA)、聚苯乙烯或聚(苯乙烯-嵌段-甲基丙烯酸甲酯)(PS-b-PMMA)。其他嵌段共聚物可包括新興「高Chi」聚合物,該聚合物可潛在地使小維度成為可能。此等方法已允許產生7nm範圍內之節點。
上文所描述之圖案化技術可利用至少一種裝設於基板上之聚合物抗蝕劑以實現基板之高解析度圖案化。為了滿足較高解析度及線邊緣粗糙度兩者之需求,聚合物抗蝕劑通常可為薄層。然而,此類薄聚合物抗蝕劑可能具 有若干缺點。特定而言,高解析度聚合物抗蝕劑(諸如PMMA或聚苯乙烯)可具有低抗蝕刻性。此較低的抗蝕刻性使得圖案化抗蝕劑轉移至底層更加困難。當需要進一步縮減半導體器件之尺寸規模之先進的高解析度聚合物抗蝕劑具有甚至更低的抗蝕刻性及蝕刻選擇性時,較低抗蝕刻性的問題變大。另外,較高解析度聚合物抗蝕劑可在所獲得之圖案中產生較高邊緣粗糙度。
在一些應用中,將聚合物抗蝕劑之圖案轉移至硬式光罩可能為有利的。硬式光罩為在半導體處理中用作蝕刻遮罩之材料,而非具有較高抗蝕刻性及蝕刻選擇率之聚合物或其他有機「軟質」抗蝕劑材料。然而,甚至硬式光罩可具有需要調整之蝕刻速率、線邊緣粗糙度或線寬。
因此,可期望具有高級特性之聚合物抗蝕劑及硬式光罩系統。
根據本發明之至少一個具體例,揭示經組構以形成結構之半導體處理裝置。半導體處理裝置可包含:第一反應腔室,該第一反應腔室經組構以固持具有第一層之至少一個基板。該裝置亦可包含前驅體遞送系統,該前驅體遞送系統經組構以藉由將第一前驅體及第二前驅體依序脈衝至至少一個基板上而執行浸潤,以使得至少該第一前驅體及該第二前驅體能夠由第一前驅體與第二前驅體的反應而浸潤至第一層中,藉此形成浸潤材料。半導體處理裝置亦可包含經組構以用於將設置於基板之第一層之至少一部分移除同時留下浸潤材料之第一移除系統,其中浸潤及移除第一層之至少一部分發生於同一個半導體處理裝置內。
根據本發明之至少一個具體例,揭示在半導體處理裝置內形成結構之方法。該方法可包含:在反應腔室中提供用於處理之基板,該基板具有設置於該基板上之第一層。該方法亦可包含:藉由將第一前驅體及第二前驅體依 序脈衝至基板上而執行第一層浸潤,該第一層浸潤經組構以使得能夠將至少該第一前驅體及該第二前驅體浸潤至第一層中,其中過量的第一前驅體及第二前驅體自反應腔室沖洗掉,且其中浸潤材料自第一前驅體與第二前驅體的反應而形成於第一層中。該方法亦可包含:在執行浸潤之後將設置於基板上之第一層之至少一部分移除同時留下浸潤材料,其中浸潤及移除第一層之至少一部分發生於同一個半導體處理裝置內。
出於概述本發明及所達成的優於先前技術之優點的目的,已在上文中對本發明之某些目標及優點加以描述。當然,應理解,根據本發明之任何特定具體例可不一定要達成所有此等目標或優點。因此,舉例而言,熟悉本技藝者當認知本發明可按照本文所教示或提出達成或最佳化一優點或一組優點而無須達成本文中可能教示或提出之其他目的或優點的方式來具體化或實施。
所有此等具體例意欲在本文所揭示之本發明之範疇內。此等及其他具體例將自以下參考附圖的某些具體例之詳細描述而對熟悉本技藝者變得顯而易見,但本發明並不受限於所揭示之任何特定具體例。
本文所揭示的本發明之此等及其他特徵、態樣及優勢在下文參考某些具體例之圖式來描述,該等具體例意欲說明且不限制本發明。
圖1為根據本發明之至少一個具體例之流程圖。
圖2說明根據本揭示之各種例示性具體例之例示性半導體處理裝置。
圖3說明根據本揭示之各種例示性具體例之額外例示性半導體處理裝置。
應瞭解圖中之元件係為簡單及清楚起見之說明而未必按比例繪製。舉例而言,圖式中某些元件的維度可相對於其他元件放大以幫助進一步理解本揭示所說明之具體例。
儘管在下文中揭示某些具體例及實施例,但彼等熟悉本技藝者將理解,本發明延伸超出本發明所具體揭示之具體例及/或用途及其明顯修改及等效物。因此,所揭示之本發明的範圍不應被意欲受限於下文所描述之特定揭示具體例。
另外,雖然多個實施例材料在整個本發明之具體例中給出,但應注意針對實施例材料中之各者給出之化學式不應被認作限制性且所給出之非限制性實施例材料不應被給定實施例化學計量所限制。
如本文所使用,術語「結構」可包含一或多種材料之經圖案化及非經圖案化(亦即平面)層兩者。
根據本發明之具體例涉及高解析度聚合物抗蝕劑及硬式光罩材料與浸潤製程將相結合。聚合物抗蝕劑及硬式光罩材料與浸潤製程之此結合可明顯增大聚合物抗蝕劑及硬式光罩材料之抗蝕刻性。浸潤技術允許高解析度聚合物抗蝕劑及硬式光罩與前驅體氣體反應以改良抗蝕刻性,且後續製程可利用蝕刻劑氣體移除高解析度聚合物抗蝕劑及硬式光罩材料之非想要部分。
浸潤製程與高解析度聚合物及硬式光罩圖案化之結合可提供先前使用先前方法所未見之益處,諸如描述於美國專利公開案第US20140273514A1號中之一個益處。舉例而言,氧化鋁(Al2O3)在90℃下之浸潤可允許與高解析度聚合物抗蝕劑反應。氧化鋁將不僅形成於高解析度聚合物抗蝕劑頂部上,且還可灌注至聚合物中以提高聚合物之硬度。
圖1說明根據本發明之至少一個具體例之方法100。方法100包括將基板提供至半導體處理裝置中之第一步驟110,該基板具有設置於基板上之第一層。
在本發明之一些具體例中,第一層可包含高解析度聚合物抗蝕劑或硬式光罩材料中之至少一者。更詳細地,在一些具體例中,第一層可包含高解析度聚合物抗蝕劑,該高解析度聚合物抗蝕劑包含聚(甲基丙烯酸甲酯)(PMMA)、聚苯乙烯、聚(苯乙烯-嵌段-甲基丙烯酸甲酯)(PS-b-PMMA)、深UV光阻、193nm光阻(浸潤(193i)及非浸潤(193)兩者)及極UV光阻中之至少一者。在本發明之一些具體例中,第一層可包含第一分量及第二分量,其中該第一分量可具有至少第一DSA聚合物且該第二分量可具有第二DSA聚合物,其中該第一DSA聚合物及該第二DSA聚合物可由PMMA、聚苯乙烯(PS)以及其他聚合物組成。在本發明之一些具體例中,第一層可包含硬式光罩材料,該硬式光罩材料進一步包含旋塗式玻璃、旋塗式碳層、氮化矽層、抗反射塗層或非晶碳層中之至少一者。旋塗式玻璃或旋塗式碳層可通過在該基板上旋塗玻璃或碳層以提供硬式光罩材料來提供。
在一些具體例中,半導體處理裝置可為批式反應器(例如單個反應腔室)或具有兩個批式反應器(例如兩個或多於兩個反應腔室)之群集工具。可能的半導體處理裝置之一個實施例可包括處理腔室,該處理腔室可在兩個反應腔室中運行相同製程或獨立地或依序地運行兩個不同製程。在一些具體例中,半導體處理裝置可為單晶圓反應器(例如單反應腔室)或具有兩個單晶圓反應器(例如兩個或多於兩個反應腔室)之群集工具。可能的處理腔室之一個實例可包括處理腔室,該處理腔室可在兩個或多於兩個單晶圓反應腔室中運行相同製程或獨立地或依序地運行兩種不同製程。
在其中設置於基板上之第一層包含嵌段共聚物之一些具體例中,方法100亦可包括對DSA聚合物執行自組裝退火。退火程序之目的是引起DSA聚合物或嵌段共聚物中之自組裝或自組織。換言之,聚合物中之孔洞/導柱/支柱之平行線或網格可經形成為由基板上之引導結構引導。根據本發明之至少 一個具體例,此可意謂PMMA域及PS域可以交替方式形成。由自組裝退火實現之益處可包括改良自組裝製程,減少缺陷,改良之線寬粗糙度及改良之臨界尺寸(CD)均勻度。
在替代性具體例中,第一層可包含高解析度聚合物抗蝕劑,該高解析度聚合物抗蝕劑可不包含嵌段共聚物,且退火步驟可具有將濕度或其他污染物自聚合物去除,硬化聚合物或選擇性地將聚合物部分自基板表面燃燒掉之目的。
在執行DSA聚合物之自組裝退火以便在所獲得圖案中達到較低缺陷密度之具體例中,程序參數(諸如退火程序之時間、溫度及環境條件及壓力)可為關鍵的。可能需要較長退火時間以獲得較低缺陷密度。該退火可在介於100℃與400℃之間,或200℃與300℃之間的範圍內,在約250°之溫度下發生持續約60分鐘。視所需退火量而定,其他溫度及持續時間為可能的。然而,自組裝退火之溫度不應提高過高,否則聚合物可能開始分解。
退火進行之周圍環境可包含氮氣、氬氣、氦氣、氫氣、氧氣、臭氧、水蒸氣、溶劑蒸氣或此等氣體之混合物。退火周圍環境之壓力可為超高真空至大氣壓或甚至高於大氣壓範圍內之任何壓力。
根據本發明之一個具體例,退火程序可在單晶圓熱板上進行。根據本發明之另一具體例,可證明批式反應器有益於需要較長退火時間之程序。批式反應器可固持2個與250個之間的基板,較佳5個與150個之間的基板,或最佳約100個基板。舉例而言,可操作包含兩個或多於兩個反應腔室之群集工具使得一個反應腔室可用於退火程序。此可使得能夠以具成本效益的方式進行約1至2小時之長時間退火之效能。
在一些具體例中,第一步驟亦可包括可選擇修整程序,其中可執行該修整程序以在本發明之後續程序之前移除第一層之部分。在本發明之一些 具體例中,修整程序可包含將第一層暴露於激發之電漿下,諸如包含氧氣(O2)、氮氣(N2)、臭氧(O3)及氫氣(H2)中之至少一者之激發物質之電漿。在本發明之一些具體例中,修整程序可包含將第一層暴露於沒有電漿之臭氧下。作為非限制性實施例具體例,修整程序可包含將第一層暴露於包含氧氣及氮氣之激發物質之電漿下。作為非限制性實施例具體例,修整程序可包含將第一層暴露於包含氧氣之激發物質之電漿下。在一些具體例中,電漿亦可包含額外物質,例如諸如Ar之惰性氣體。在額外非限制性實施例具體例中,修整程序可包含將第一層暴露於包含氫氣及氮氣之激發物質的電漿下。在修整程序利用激發之電漿移除第一層之一部分的具體例中,第一層可加熱至大於約20℃或在一些具體例中大於約50℃之溫度,或在本發明之一些具體例中,修整程序可包含將第一層加熱至大於約100℃之溫度,或至大於約200℃之溫度,或至大於約300℃之溫度,或甚至大於約400℃之溫度。
除此之外及/或可替代地,修整程序可包含熱程序,使得可由將第一層加熱至所要製程溫度而促進第一層之一部分之分解從而移除第一層之一部分。在本發明之一些具體例中,修整程序可包含將第一層加熱至大於約100℃之溫度,或大於約200℃之溫度,或大於約300℃之溫度,或甚至大於約400℃之溫度。
方法100亦可包括執行浸潤程序之第二步驟120,諸如將金屬或介電膜中之至少一者浸潤至第一層中。在一些具體例中,第一層可包含可進一步包含第一DSA聚合物或第二DSA聚合物之至少一個聚合物層。由此,可以採浸潤程序可選擇性地與兩種聚合物其中僅一者反應之方式進行浸潤程序。舉例而言,浸潤程序可發生使得所沉積膜可與PMMA聚合物反應而不與PS聚合物反應。
根據本發明之至少一個具體例,第二步驟120可包含金屬或介電膜之原子層沉積。
此外,浸潤程序可進行使得沉積金屬或介電膜可浸潤第一層,藉此形成浸潤材料,同時亦將第二薄膜沉積於第一層之整個體積上。根據本發明之至少一個具體例,第二步驟120可發生於群集工具之一個反應腔室中,使得退火步驟發生於群集工具之另一反應腔室中。根據本發明之至少一個具體例,第二步驟120可發生於群集工具之一個反應腔室中,使得修整程序發生於群集工具之另一反應腔室中。退火步驟及修整程序及第二步驟120發生於批式反應器或群集工具之一個單反應腔室中亦為可能的。另外,基板可與多個基板固持器中之至少第二基板一起自第一反應腔室傳送至第二反應腔室。多個基板固持器可能夠固持達25個基板或更多,50個基板或更多,75個基板或更多,或100個基板或更多。
在第二步驟120中浸潤至第一層中之金屬或介電質可包含氧化鋁(Al2O3)、二氧化矽(SiO2)、氮化矽(SiN)、碳氧化矽(SiOC)、碳氮化矽(SiCN)、矽(Si)、氮化鋁(AlN)、氮化鈦(TiN)、氮化鉭(TaN)、鎢(W)、鈷(Co)、二氧化鈦(TiO2)、碳化鈦(TiC)、氧化鉭(Ta2O5)、二氧化鋯(ZrO2)或二氧化鉿(HfO2)。為了執行浸潤程序,可使用用以獲得金屬之前驅體,諸如用於形成氧化鋁(Al2O3)之三甲基鋁(TMA)及水(H2O)。
第二步驟120中之浸潤程序可發生於用於形成Al2O3之介於25℃與400℃之間範圍的溫度下,或介於60℃與90℃之間範圍的溫度下。第二步驟120期間之溫度可小於可選擇退火階段期間之溫度,因此也許需要冷卻步驟以自實施例退火溫度250℃變成第二步驟120之溫度70℃。根據本發明之至少一個具體例,可選擇退火程序之溫度等於或超過第二步驟120之溫度,或在高於第二步驟120之溫度25℃至300℃之間,或甚至在高於第二步驟120之溫度100℃至250℃之間。
第二步驟120可包含第一前驅體(諸如TMA)之介於自0.5秒至10 分鐘之範圍之持續時間的第一脈衝。第二步驟120亦可接著包含自10秒至60秒範圍之持續時間的沖洗。第二步驟120可隨後包含第二前驅體(諸如水)之介於10秒至60秒範圍之持續時間的脈衝。第二步驟120可隨後包含具有介於10秒至2分鐘範圍之持續時間的第二沖洗。另外,第二步驟120可按需要重複以便獲得將金屬或介電質充分浸潤至設置在基板上之第一層中。
根據本發明之至少一個具體例,浸潤之第二步驟120可先於可選擇退火步驟。在此情況下,金屬或介電膜可首先浸潤第一層,且隨後可進行退火程序。由於退火程序,在第二步驟120期間確實不與金屬或介電膜反應之第一層之部分可在退火步驟中燃燒掉。在本發明之至少一個具體例中,可選擇退火步驟及浸潤之第二步驟120在不暴露於任何環境空氣下發生。不暴露於環境空氣避免暴露於大量氧氣或水。暴露於環境空氣會不利地影響經退火之圖案或聚合物之浸潤之對準,聚合物之浸潤可被聚合物可能吸收水而影響。若聚合物吸收水,則可能導致非所需材料之沉積。
方法100亦可包括沖洗該前驅體之額外步驟。該額外沖洗步驟可涉及引入沖洗氣體,諸如氮氣、氦氣、氬氣及其他惰性氣體。該沖洗氣體將自反應腔室移除過量前驅體。沖洗步驟可發生於類似於第二步驟120之彼等溫度之溫度下。
根據本發明之至少一個具體例,第二步驟120可視需要或所要而重複以便使前驅體浸潤至第一層中。可重複約1次或多於1次、2次或多於2次、3次或多於3次、4次或多於4次、5次或多於5次以確保第一層中有足夠量之金屬或介電膜。在各循環中,第二步驟120之持續時間可約為幾分鐘。在此等持續時間下,批式反應器可用於藉由每次處理達100個晶圓或更多個而實現高生產力及低製程成本。
根據本發明之至少一個具體例,可操作方法100以使得第二步驟 120可以脈衝-沖洗-脈衝-沖洗之方式重複。此等步驟之條件可經設定在較高壓力及較長時間下,以便允許前驅體浸潤第一層。以此方式之單個循環之持續時間可介於0.5秒與120分鐘範圍之間,在一些具體例中,單個循環之持續時間可介於1秒與60分鐘範圍之間,或甚至在一些具體例中,單個循環之持續時間可介於2秒與20分鐘範圍之間。循環可重複若干次,例如在一些具體例中,循環可重複1次或多於1次、2次或多於2次、3次或多於3次、4次或多於4次或甚至5次或多於5次,以便獲得第一層內部之材料之充分浸潤。因為第一層內部之材料之浸潤會花費較長時間量,所以經組合之退火及浸潤程序提供以分批方式執行步驟之機會。
方法100亦可包括在執行浸潤程序之後移除設置於基板上之第一層之一部分之第三步驟130。舉例而言,在一些具體例中,在浸潤第一層之後,可存在保持不受浸潤程序影響之第一層之剩餘部分。保持不受浸潤程序影響之第一層之部分可為非所要的,因為此等不受影響之第一層之部分可並不適用於對基板進行之後續程序,例如後續沉積或蝕刻程序。因此本發明之具體例可在浸潤之後但在基板之後續處理之前移除非想要之第一層之剩餘部分。
在本發明之一些具體例中,移除設置於基板上之第一層之一部分之第三步驟130可包含將第一層暴露於蝕刻劑氣體,且在其他具體例中,將第一層暴露於蝕刻劑氣體可包含將第一層暴露於含氧反應物。舉例而言,移除設置於基板上之第一層之一部分之第三步驟130可包含將第一層暴露於含氧電漿或含臭氧反應物中之至少一者。
在利用含氧電漿移除第一層之一部分之具體例中,方法可包含利用電漿產生器激勵用於有效移除第一層之部分之氧氣物質,程序有時被稱作「灰化」。電漿產生器可供應有氧氣(O2)或替代地氧氣(O2)與氮氣(N2)之混合氣體。用於移除第一層之一部分之蝕刻劑可因此包含氧氣激勵物質及氮氣激勵物質中之 至少一者。在利用含氧電漿移除第一層之一部分之具體例中,可加熱第一層至大於約20℃之溫度,或大於約50℃之溫度,或大於約100℃之溫度,或大於約200℃之溫度,或大於約300℃之溫度,或甚至大於約400℃之溫度。
在一些利用含臭氧反應物移除第一層之一部分之具體例中,方法可包含將第一層暴露於包含臭氧(O3)之混合氣體。在一些具體例中,包含臭氧之混合氣體可由純臭氧組成,而在替代性具體例中,包含臭氧之混合氣體可包含臭氧及水蒸氣、氧氣或惰性運載氣體中之至少一者。
在一些具體例中,移除第一層之至少一部分可包含將第一層加熱至大於約100℃之溫度,或大於約150℃之溫度,或大於約200℃之溫度,或大於約250℃之溫度,或大於約300℃之溫度,或大於約350℃之溫度,或甚至大於約400℃之溫度。舉例而言,作為非限制性實施例,在第一層包含含碳材料(諸如聚合物抗蝕劑或旋塗式碳層)之具體例中,不受前一浸潤程序影響之第一層之部分可在大於約300℃溫度下分解且因此可在不需要額外蝕刻劑之情況下移除。在額外具體例中,可加熱第一層至大於約300℃之溫度,同時暴露於溶劑或臭氧蝕刻劑。
在一些具體例中,在執行浸潤程序之後移除設置於基板上之第一層之至少一部分進一步包含選擇性地移除第一層之至少一部分。更詳細地,第一層之一部分可在浸潤程序期間浸潤有至少第一前驅體及第二前驅體,藉此形成浸潤材料。不受浸潤程序影響之第一層之部分為本文前述之非所要的;本發明之具體例之方法可因此選擇性地移除不受浸潤程序影響之第一層之彼等部分。
根據本發明之具體例,浸潤程序及移除第一層之至少一部分可發生於同一個反應腔室內。在本發明之替代性具體例中,浸潤程序及移除第一層之至少一部分可發生於位在同一個群集工具(亦即同一個半導體處理裝置)上之 不同的反應腔室內,使得浸潤程序及移除第一層之至少一部分在不暴露於環境空氣下發生。在本發明之額外具體例中,修整程序、浸潤程序及移除第一層之至少一部分可發生於同一個反應腔室內。在本發明之替代性具體例中,修整程序、浸潤程序及移除第一層之至少一部分可發生於位在同一個群集工具(亦即同一個半導體處理裝置)上之不同的反應腔室內,使得修整程序、浸潤程序及移除第一層之至少一部分在不暴露於環境空氣下發生。
方法100亦可包括在移除第一層之至少一部分之第三步驟130之後的額外程序。舉例而言,在一些具體例中,方法100可進一步包含在移除設置於基板上之第一層之至少一部分之後在基板上之沉積程序或蝕刻程序中之至少一者。更詳細地,已經經歷浸潤製程之第一層之剩餘部分可用作用於例如藉由將基板暴露於電漿蝕刻程序而蝕刻基板之一部分之遮蔽層。可替代地,已經經歷浸潤程序之第一層之剩餘部分(亦即浸潤材料)可用於後續沉積程序,例如,沉積程序可用以將間隔材料沉積至浸潤材料上方。
根據本發明之具體例,可選擇修整程序、浸潤程序、移除第一層之至少一部分及沉積程序或蝕刻程序中之至少一者可發生於同一個反應腔室內。在本發明之替代性具體例中,可選擇修整程序、浸潤程序、移除第一層之至少一部分及沉積程序或蝕刻程序中之至少一者可發生於位在同一個群集工具上之不同的反應腔室內,使得可選擇修整程序、浸潤、移除第一層之至少一部分,及沉積程序或蝕刻程序中之至少一者發生於同一個半導體處理裝置內,亦即不暴露於環境空氣。
在本發明之一些具體例中,修整程序及浸潤程序可發生於同一個反應腔室內,其中用於移除第一層之至少一部分之程序為可選擇的。在本發明之替代性具體例中,修整程序及浸潤程序可發生於位在同一個群集工具上之不同的反應腔室內,其中用於移除第一層之至少一部分之程序為可選擇的。因此 應理解,修整程序及浸潤程序兩者可在同一個半導體處理裝置內執行,亦即不暴露於環境空氣。
現在轉而參看圖2,說明用於浸潤及移除第一層之至少一部分之半導體處理裝置200。裝置200可包含反應器202,該反應器可進一步包含第一反應腔室203、基板固持器204及氣體分佈系統206。裝置200亦可包含前驅體遞送系統,該前驅體遞送系統可進一步包含第一前驅體源207;第二前驅體源208;載體或沖洗氣體源210。裝置200可包含第一移除系統,該第一移除系統經組構以用於可選擇修整程序及移除設置於基板上之第一層之至少一部分,且該第一移除系統可進一步包含蝕刻劑氣體源216。裝置200可進一步包含插入於源207、208、210、216與反應器202之間的閥門211、212、214及218。
反應腔室203可為獨立式反應腔室或群集工具之一部分。此外,反應腔室203可專用於如本文中所描述之浸潤程序,或反應腔室203可用於其他程序,例如薄膜沉積、修整程序、移除第一層之一部分及一或多個額外層沉積及/或蝕刻處理。舉例而言,反應腔室203可包含典型地用於化學氣相沉積(CVD)及/或原子層沉積(ALD)處理之反應腔室,且可亦包含直流電漿及/或遠端電漿裝置。其他反應腔室203可在真空或近大氣壓下操作。藉助於一個實施例,反應腔室203可包含適於藉由將第一前驅體及第二前驅體依序脈衝於至少一個基板上之膜之ALD沉積之反應腔室,該膜經組構以使得至少第一前驅體能夠浸潤於第一層中。適用於半導體處理裝置200之例示性ALD反應腔室描述於美國專利第8,152,922號中,其內容在此以此類內容與本發明不相衝突的程度,以引用之方式併入本文中。
基板固持器204可經組構以在處理期間固持在適當位置上安置有第一層之至少一個基板,諸如基板216。根據各種例示性具體例,基板固持器204可形成直流電漿電路之一部分。或者或另外,基板固持器204可在處理期間經加 熱(例如藉由加熱元件205)、經冷卻或處於環境處理溫度下。在一些具體例中,加熱元件205可經組構以對至少一個基板216進行退火步驟。在其他具體例中,加熱元件205可經組構以移除第一層之一部分。
雖然氣體分佈系統206以方塊形式說明,但氣體分佈系統206可相對複雜且被設計成在將混合氣體分佈至反應腔室203之剩餘物之前將來自第一前驅體源207、第二前驅體源208之蒸汽(氣體),來自氣體源210及蝕刻劑氣體源216之載體/沖洗氣體混合。此外,氣體分佈系統206可經組構以將垂直(如所說明)或水平氣體流提供至半導體表面。例示性氣體分佈系統描述於美國專利第8,152,922號中。
第一前驅體源207可為含有適合用於薄膜沉積程序中之材料的液體、固體或氣體金屬源。若第一前驅體源207為液體或固體,則源材料可在進入反應腔室203之前汽化。在本發明之一些具體例中,第一氣體前驅體可包含三甲基鋁(TMA)、三乙基鋁(TEA)、二甲基氫化鋁(DMAH)、四氯化鈦(TiCl4)、五氯化鉭(TaCl5)或五氯化鈮(NbCl5)。
第二前驅體源208可為適合用於薄膜沉積程序中之液體、固體或氣體源。若第二前驅體源208為液體或固體,則源材料可在進入反應腔室203之前汽化。在本發明之一些具體例中,第二前驅體源可包含水蒸氣、臭氧、過氧化氫、氨氣及肼中之至少一者。
第一前驅體源及第二前驅體源可一起利用以將經組構以使得能夠浸潤至少第一前驅體源及第二前驅體源之薄膜沉積至設置於基板上之第一層中。舉例而言,在一些具體例中,裝置200可經組構以浸潤包含以下中之至少一者的結構:氧化鋁(Al2O3)、二氧化矽(SiO2)、氮化矽(SiN)、矽(Si)、氮氧化矽(SiON)、碳氮化矽(SiCN)、氮化鋁(AlN)、氮化鈦(TiN)、碳化鈦(TiC)、氮化鉭(TaN)、鎢(W)、鈷(Co)、二氧化鈦(TiO2)、氧化鉭(Ta2O5)、二氧化鋯(ZrO2)或二 氧化鉿(HfO2)。
載體或沖洗氣體源210可包括適用於與第一前驅體源207及/或第二前驅體源208混合之任何合適的氣體。載體或沖洗氣體源210亦可包括適用於在浸潤程序及移除第一層之至少一部分之前、之後或期間沖洗反應腔室203之任何合適的氣體。根據本發明之例示性具體例,沖洗氣體可為氮氣、氬氣、氦氣或其組合。運載氣體亦可包含氮氣、氬氣、氦氣或其組合。
半導體處理裝置200亦可包括第一移除系統,該第一移除系統可進一步包含蝕刻劑氣體源216,該蝕刻劑氣體源包括固體、液體或氣相化學物質以啟用修整程序並且移除安置於基板上之第一層之至少一部分。舉例而言,蝕刻劑氣體源216可包括在進入反應腔室203時為氣相之化學物質以移除設置於基板上之第一層之至少一部分。作為非限制性實施例具體例,蝕刻劑源216可包括氧氣(O2)、臭氧(O3)、氮氣(N2)及氫氣(H2)。在一些具體例中,反應腔室203及第一移除系統包括經組構以自從第一移除系統供應之蝕刻劑氣體產生用於形成激勵物質(例如氧氣及氮氣)之電漿活性物質的電漿產生器。
如圖2中所示出,源207、208、210及216經由閥門211、212、214及218與反應腔室203進行流體連通,該等閥門可用於使用供應線219、220、222及224控制相應源材料至反應腔室203之流動、混合及分佈。
在額外具體例中,裝置200可包括一或多個額外前驅體源,該一或多個額外前驅體源可用於在移除第一層之一部分之後材料薄膜在基板上之後續沉積。在其他額外具體例中,裝置200可包括一或多個額外蝕刻劑氣體源,該一或多個額外蝕刻劑氣體源可用於在移除第一層之一部分之後對基板之後續蝕刻。因此,在一些具體例中,裝置200可經組構以沉積薄膜,該薄膜經組構以使得能夠將至少第一前驅體及第二前驅體浸潤至設置於基板上之第一層,及移除第一層之至少一部分,其中浸潤及移除第一層之至少一部分發生於同一個半導 體處理裝置內,亦即不將基板暴露於環境空氣。
在本發明之額外具體例中,參看圖3說明用於執行可選擇修整程序、浸潤程序及移除第一層之至少一部分之半導體處理裝置300。裝置300可類似於裝置200,但可包含可進一步包含第一反應腔室203A及第二反應腔室203B之反應器302。在一些具體例中,反應器302包含群集工具,且雖然圖3說明包含兩個反應腔室之反應器302,但應瞭解,在一些具體例中,反應器302可包含複數個反應腔室,其中各反應腔室包含本文前述之基板固持器204及氣體分佈系統206。裝置300亦可包含第一前驅體源207、第二前驅體源208、載體或沖洗氣體源210。裝置300亦可包含第一移除系統,該第一移除系統進一步包含蝕刻劑氣體源216。裝置300亦可包含插入於源207、208、210、216與反應器302之間的閥門211、212、214及218。
裝置300亦可包含用於在第一反應腔室203A與第二反應腔室203B之間傳送基板(例如半導體)之傳送系統304。傳送系統304可包含受控環境使得基板自第一反應腔室203A至第二反應腔室203B之傳送(且反之亦然)可在不將基板暴露於環境空氣下發生。
在一些具體例中,反應腔室203A可專用於整個半導體程序中之單個程序。舉例而言,反應腔室203A可專用於藉由將第一前驅體及第二前驅體依序脈衝至基板上而執行浸潤程序,而第二反應腔室203B可專用於移除設置於基板上之第一層之至少一部分及/或修整程序。應瞭解,在一些具體例中,反應腔室203A及203B中之專用單個程序可顛倒。單個反應腔室至整個半導體程序中之一或多個程序之專用可允許用於包含整個半導體程序之各程序之獨立程序參數,亦即用於第一反應腔室203A及第二反應腔室203B之獨立程序參數。舉例而言,第一反應腔室203A可控制於第一溫度及第一壓力下,而第二反應腔室203B可控制於第二溫度及第二壓力下,其中該第一溫度及該第二溫度可彼此相等或 不同,且第一壓力及第二壓力可彼此相等或不同。
在一些具體例中,反應腔室203A及203B可專用於如本文所描述之浸潤程序,或反應腔室203A及203B亦可用於其他程序,例如用於層沉積及/或蝕刻程序。舉例而言,反應腔室203A及203B可包含典型地用於如本文所描述之化學氣相沉積(CVD)及/或原子層沉積程序之反應腔室。在額外具體例中,裝置300可包含用於進行額外專用程序(諸如修整、沉積及蝕刻程序)之額外反應腔室。
如圖3中所示出,源207、208、210及216經由閥門211、212、214及218與反應器302進行流體連通,該等閥門可用於使用供應線219、220、222及224控制相應源材料至反應器腔室203A及203B之流動、混合及分佈。
供用於經組合之退火、浸潤程序及移除第一層之至少一部分之可能應用可用於極紫外線(EUV)光阻。用於EUV應用之退火可不用於聚合物之自組裝,但可用於固化或穩定目的。舉例而言,根據本發明之至少一個具體例的經組合之退火及浸潤程序可輔助依序浸潤合成(SIS)步驟作為可能防止羧基之轉換,或藉由除去來自聚合物薄膜之水分或穩定或硬化光阻。
所展示及所描述之特定實施係對本發明及其最佳模式之說明且並不意欲以任何方式另外限制態樣及實施之範圍。實際上,出於簡潔起見,系統之習知製造、連接、製備及其他功能性態樣可不進行詳細描述。此外,各種圖式中所示之連線意欲表示不同要素之間的例示性功能關係及/或實體耦合。許多替代或額外功能關係或實體連接可存在於實際系統中,及/或在一些具體例中可不存在。
應理解,本文中所述之組構及/或方法本質上為例示性的,且此等特定具體例或實施例不視為具有限制意義,原因在於可能存在諸多變化。本文所述之特定例程或方法可表示任何數目個處理策略中之一或多者。由此,所 說明之各種動作可以所說明之順序、以其他順序進行,或在一些狀況下被省去。
本發明之標的物包括本文中所揭示之各種製程、系統及組構以及其他特徵、功能、動作及/或特性,以及其任何及所有等效物的所有新穎但非顯而易見之組合及子組合。

Claims (31)

  1. 一種半導體處理裝置,其經組構以形成一結構,該裝置包含:一第一反應腔室,該第一反應腔室經組構以固持具有一第一層之至少一個基板;一前驅體遞送系統,該前驅體遞送系統經組構以藉由將一第一前驅體及一第二前驅體依序脈衝至該第一層上而執行浸潤,使該第一層中之至少該第一前驅體與該第二前驅體浸潤並反應,藉此形成一浸潤材料;及一第一移除系統,其經組構以用於移除設置於該基板上之該第一層之至少一部分,同時留下該浸潤材料;且其中,浸潤及移除該第一層之至少一部分發生於同一個半導體處理裝置內。
  2. 如請求項1之裝置,其進一步包含一電漿產生器,該電漿產生器經組構以自一蝕刻劑氣體產生電漿活性物種,該蝕刻劑氣體自該第一移除系統供應。
  3. 如請求項1之裝置,其中,該第一移除系統進一步包含一加熱元件,該加熱元件經組構以將該至少一個基板加熱至大於450℃之溫度。
  4. 如請求項1之裝置,其中,該第一反應腔室經組構以用於移除該第一層之至少一部分。
  5. 如請求項4之裝置,其中,該第一反應腔室經組構以執行退火步驟。
  6. 如請求項1之裝置,其中,該第一反應腔室經組構以處理多個基板。
  7. 如請求項1之裝置,其中,該前驅體遞送系統進一步經組構以藉由將一第一前驅體及一第二前驅體依序脈衝至該浸潤材料上而執行薄膜沉積。
  8. 如請求項1之裝置,其中,該裝置進一步經組構以執行蝕刻程序 而移除該基板之至少一部分。
  9. 如請求項8之裝置,其進一步包含一電漿產生器,該電漿產生器經組構以自一蝕刻劑氣體產生電漿活性蝕刻劑物種,該蝕刻劑氣體自一蝕刻劑氣體源供應。
  10. 如請求項1之裝置,其中,該結構包含以下中之至少一者:氧化鋁(Al 2O 3)、二氧化矽(SiO 2)、氮化矽(SiN)、氮氧化矽(SiON)、碳氮化矽(SiCN)、矽(Si)、氮化鋁(AlN)、氮化鈦(TiN)、碳化鈦(TiC)、氮化鉭(TaN)、鎢(W)、鈷(Co)、二氧化鈦(TiO 2)、氧化鉭(Ta 2O5)、二氧化鋯(ZrO 2)或二氧化鉿(HfO 2)。
  11. 如請求項1之裝置,其中,該第一反應腔室執行浸潤且該第二反應腔室執行移除該第一層之至少一部分。
  12. 如請求項11之裝置,其中,該至少一個基板與一多基板固持器中之至少一第二基板一起自該第一反應腔室經傳送至該第二反應腔室。
  13. 如請求項1之裝置,其中,該第一反應腔室包含一批式反應器。
  14. 如請求項1之裝置,其中,該第一反應腔室包含一單晶圓反應器。
  15. 如請求項1之裝置,其中,該第一移除系統進一步經組構以用於執行一修整程序。
  16. 一種半導體處理裝置,其經組構以形成一結構,該裝置包含:一第一反應腔室,其設有一第一基板固持器且經組構及配置以執行定位於該第一基板固持器上之一基板上之一第一層的浸潤,從而將一浸潤材料浸潤至該第一層中;一第二反應腔室,其設有一第二基板固持器且經組構及配置以移除定位於該第二基板固持器上的該基板上之該第一層之至少一部分,同時將該浸潤材料留在該基板上;一基板處置器,其經建構及配置以將該基板提供至該第一基板固持器,將 該基板自該第一基板固持器傳送至該第二基板固持器,及將該基板自該第二基板固持器移除;及一外殼,其遮蓋該基板處置器及該第一反應腔室與該第二反應腔室,以在該基板自該第一基板固持器傳送至該第二基板固持器期間保護該基板免受該裝置外部之環境影響。
  17. 一種在根據請求項1之半導體處理裝置內形成一結構之方法,該方法包含:在該反應腔室中提供用於處理之一基板,該基板具有設置於該基板上之一第一層;藉由將該第一前驅體及該第二前驅體依序脈衝至該基板上而執行第一層浸潤,該第一層浸潤經組構以使得能夠將至少該第一前驅體及該第二前驅體浸潤至該第一層中,其中過量之該第一前驅體及該第二前驅體自該反應腔室被沖洗掉;且其中,一浸潤材料自該第一前驅體及該第二前驅體的反應而形成於該第一層中;及在執行浸潤之後移除設置於該基板上之該第一層之至少一部分,同時留下該浸潤材料;其中,浸潤及移除該第一層之至少一部分發生於同一個半導體處理裝置內。
  18. 如請求項17之方法,其進一步包含對該基板執行一退火步驟。
  19. 如請求項17之方法,其進一步包含在移除設置於該基板上之該第一層之至少一部分之後對該基板執行沉積程序或蝕刻程序中之至少一者。
  20. 如請求項17之方法,其中,移除該第一層之至少一部分進一步包含將該第一層暴露於一含氧反應物。
  21. 如請求項17之方法,其中,該結構包含以下中之至少一者:氧 化鋁(Al 2O 3)、二氧化矽(SiO 2)、氮化矽(SiN)、矽(Si)、氮氧化矽(SiON)、碳氮化矽(SiCN)、氮化鋁(AlN)、氮化鈦(TiN)、碳化鈦(TiC)、氮化鉭(TaN)、鎢(W)、鈷(Co)、二氧化鈦(TiO 2)、氧化鉭(Ta 2O 5)、二氧化鋯(ZrO 2)或二氧化鉿(HfO 2)。
  22. 如請求項18之方法,其中,在退火步驟期間,該反應腔室之溫度的範圍在100℃與450℃之間。
  23. 如請求項17之方法,其中,在浸潤期間,該反應腔室之溫度的範圍在25℃與450℃之間。
  24. 如請求項17之方法,其中,該第一層包含以下中之至少一者:一旋塗式玻璃、一旋塗式碳層、一氮化矽層、一抗反射塗層或一非晶碳層。
  25. 如請求項17之方法,其中,該第一層包含以下中之至少一者:聚(甲基丙烯酸甲酯)(PMMA)、聚苯乙烯、聚(苯乙烯-嵌段-甲基丙烯酸甲酯)(PS-b-PMMA)、一深UV光阻、193光阻、193i光阻或一極UV光阻。
  26. 如請求項17之方法,其中,重複執行浸潤以便形成一所要厚度之該結構。
  27. 如請求項17之方法,其中,該浸潤包含:將該第一前驅體脈衝至該基板上;將該第一前驅體自該反應腔室沖洗掉;將該第二前驅體脈衝至該基板上;及將該第二前驅體自該反應腔室沖洗掉。
  28. 如請求項18之方法,其中,退火步驟及浸潤發生於一單一反應腔室內。
  29. 如請求項18之方法,其中,退火步驟及浸潤發生於位在該半導體處理裝置上之不同反應腔室內。
  30. 如請求項18之方法,其進一步包含在執行該第一層浸潤之前執 行一修整程序。
  31. 一種在根據請求項16之半導體處理裝置內形成一結構之方法,其中,該方法包含:在該第一反應腔室中提供用於處理之一基板,該基板具有設置於該基板上之一第一層;使用藉由氣相浸潤形成之一無機材料浸潤該第一層;將該基板自該第一反應腔室傳送至該第二反應腔室,而不使包含一無機材料之該第一層暴露於該裝置外部之環境;及移除該半導體處理裝置之該第二反應腔室中之該第一層之至少一部分,同時將該無機材料留在該基板上。
TW106143570A 2016-12-15 2017-12-12 半導體處理裝置 TWI746728B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662434955P 2016-12-15 2016-12-15
US62/434,955 2016-12-15

Publications (2)

Publication Number Publication Date
TW201837979A true TW201837979A (zh) 2018-10-16
TWI746728B TWI746728B (zh) 2021-11-21

Family

ID=61526831

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106143570A TWI746728B (zh) 2016-12-15 2017-12-12 半導體處理裝置

Country Status (6)

Country Link
US (1) US20200013629A1 (zh)
JP (2) JP2020502790A (zh)
KR (1) KR102403102B1 (zh)
CN (1) CN110050328A (zh)
TW (1) TWI746728B (zh)
WO (1) WO2018109552A1 (zh)

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
JP7339134B2 (ja) * 2019-11-19 2023-09-05 株式会社Screenホールディングス パターン形成方法およびその方法を含んだ半導体の製造方法
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022196259A1 (ja) * 2021-03-15 2022-09-22 東京エレクトロン株式会社 基板処理方法及び基板処理装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11915931B2 (en) * 2021-08-19 2024-02-27 Tokyo Electron Limited Extreme ultraviolet lithography patterning method
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023043599A1 (en) * 2021-09-15 2023-03-23 Tokyo Electron Limited Hybrid development of euv resists

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
KR101097025B1 (ko) * 2008-03-31 2011-12-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 컴퓨터 판독 가능한 기억 매체
JP5275093B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
CN102687243B (zh) * 2009-10-26 2016-05-11 Asm国际公司 用于含va族元素的薄膜ald的前体的合成和使用
US8980418B2 (en) * 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
US9684234B2 (en) * 2011-03-24 2017-06-20 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
US9411237B2 (en) * 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9548188B2 (en) * 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10373850B2 (en) * 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9646883B2 (en) * 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US20170117144A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Chemical Infiltration into Porous Dielectric Films
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법

Also Published As

Publication number Publication date
US20200013629A1 (en) 2020-01-09
KR20190095274A (ko) 2019-08-14
WO2018109552A1 (en) 2018-06-21
CN110050328A (zh) 2019-07-23
TWI746728B (zh) 2021-11-21
KR102403102B1 (ko) 2022-05-26
JP2020502790A (ja) 2020-01-23
JP2023015253A (ja) 2023-01-31

Similar Documents

Publication Publication Date Title
TWI746728B (zh) 半導體處理裝置
US10741394B2 (en) Combined anneal and selective deposition process
TWI752136B (zh) 在基板上形成結構之方法
TWI751151B (zh) 複合退火以及選擇性沈積製程
TWI712107B (zh) 具有成核抑制的特徵部填充
TWI708281B (zh) 半導體裝置的製造方法、基板處理裝置及程式
JP2018006742A5 (zh)
KR20160063271A (ko) 주기적인 알루미늄 산질화물 퇴적
TW201323647A (zh) 利用包含鉿或鋯之前驅物之膜的原子層沉積
KR20140009169A (ko) 포토레지스트 재료들 및 하드마스크 전구체들의 원자층 증착
JP6882536B2 (ja) ポリマー構造失活プロセスを用いた選択的堆積プロセス
TW201220004A (en) Mask pattern forming method, fine pattern forming method, and film deposition apparatus
JPWO2020016915A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2015012243A (ja) 被処理体の処理方法
TW200947560A (en) Methods for adjusting critical dimension uniformity in an etch process
KR101812900B1 (ko) 패턴 형성 방법