JP7300970B2 - 基板処理方法及び基板処理装置 - Google Patents

基板処理方法及び基板処理装置 Download PDF

Info

Publication number
JP7300970B2
JP7300970B2 JP2019209033A JP2019209033A JP7300970B2 JP 7300970 B2 JP7300970 B2 JP 7300970B2 JP 2019209033 A JP2019209033 A JP 2019209033A JP 2019209033 A JP2019209033 A JP 2019209033A JP 7300970 B2 JP7300970 B2 JP 7300970B2
Authority
JP
Japan
Prior art keywords
gas
substrate processing
plasma
silicon
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019209033A
Other languages
English (en)
Other versions
JP2021080522A (ja
Inventor
宗仁 加賀谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019209033A priority Critical patent/JP7300970B2/ja
Priority to KR1020227019535A priority patent/KR20220100009A/ko
Priority to US17/756,094 priority patent/US20220403515A1/en
Priority to PCT/JP2020/042215 priority patent/WO2021100594A1/ja
Publication of JP2021080522A publication Critical patent/JP2021080522A/ja
Application granted granted Critical
Publication of JP7300970B2 publication Critical patent/JP7300970B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本開示は、基板処理方法及び基板処理装置に関する。
SiN膜は、例えばプラズマを用いたALD法により成膜される。SiN膜には、低いウェットエッチングレートが求められている。
特許文献1には、半導体基板上にSiを備える膜前駆体を吸着すること、吸着した膜前駆体をN含有イオン及び/又はラジカルを備えるプラズマに曝露することによりSiN塗膜層を形成すること、Heを含むプラズマをSiN膜層に曝露することによりSiN膜層の密度を高めること、を反復する、SiN膜の成膜方法が開示されている。
特許2016-66794号公報
しかしながら、特許文献1に開示されたHeガスのプラズマを用いた改質処理では、成膜レートが低減するという課題がある。
一の側面では、本開示は、成膜レートの向上する基板処理方法及び基板処理装置を提供する。
上記課題を解決するために、一の態様によれば、シリコン含有ガスを供給して基板上に吸着層を形成する工程と、Heを含むプラズマを生成して改質する工程と、反応ガスのプラズマを生成して前記吸着層と反応させる工程と、をこの順で繰り返して、シリコン含有膜を形成する、基板処理方法が提供される。
一の側面によれば、成膜レートの向上する基板処理方法及び基板処理装置を提供することができる。
基板処理装置の構成例を示す概略図。 本実施例の基板処理装置における動作の一例を示すタイムチャート。 参考例の基板処理装置における動作の一例を示すタイムチャート。 本実施例、参考例、改質なしのSiN膜における膜質を比較するグラフの一例。 WERRとRIとの関係を示すグラフの一例。 本実施例のSiN膜において、SiN膜中に含まれるSi-N結合量におけるHeプラズマの照射時間に対する依存性を示したグラフの一例。 本実施例のSiN膜において、SiN膜中に含まれるN-H結合量におけるHeプラズマの照射時間に対する依存性を示したグラフの一例。
以下、図面を参照して本開示を実施するための形態について説明する。各図面において、同一構成部分には同一符号を付し、重複した説明を省略する場合がある。
〔基板処理装置〕
本実施例に係る基板処理装置101について、図1を用いて説明する。図1は、基板処理装置101の構成例を示す概略図である。基板処理装置101は、減圧状態の処理容器内でPE-ALD(Plasma Enhanced Atomic Layer Deposition)法によりSiN膜を形成する。
図1に示されるように、基板処理装置101は、処理容器1と、載置台2と、シャワーヘッド3と、排気部4と、ガス供給機構5と、RF電力供給部8と、制御部9とを有している。
処理容器1は、アルミニウム等の金属により構成され、略円筒状を有している。処理容器1は、ウエハWを収容する。処理容器1の側壁にはウエハWを搬入又は搬出するための搬入出口11が形成され、搬入出口11はゲートバルブ12により開閉される。処理容器1の本体の上には、断面が矩形状をなす円環状の排気ダクト13が設けられている。排気ダクト13には、内周面に沿ってスリット13aが形成されている。排気ダクト13の外壁には、排気口13bが形成されている。排気ダクト13の上面には、絶縁体部材16を介して処理容器1の上部開口を塞ぐように天壁14が設けられている。排気ダクト13と絶縁体部材16との間はシールリング15で気密に封止されている。区画部材17は、載置台2(およびカバー部材22)が後述する処理位置へと上昇した際、処理容器1の内部を上下に区画する。
載置台2は、処理容器1内でウエハWを水平に支持する。載置台2は、ウエハWに対応した大きさの円板状に形成されており、支持部材23に支持されている。載置台2は、AlN等のセラミックス材料や、アルミニウムやニッケル合金等の金属材料で形成されており、内部にウエハWを加熱するためのヒータ21が埋め込まれている。ヒータ21は、ヒータ電源(図示せず)から給電されて発熱する。そして、載置台2の上面の近傍に設けられた熱電対(図示せず)の温度信号によりヒータ21の出力を制御することで、ウエハWが所定の温度に制御される。載置台2には、上面の外周領域及び側面を覆うようにアルミナ等のセラミックスにより形成されたカバー部材22が設けられている。
載置台2の底面には、載置台2を支持する支持部材23が設けられている。支持部材23は、載置台2の底面の中央から処理容器1の底壁に形成された孔部を貫通して処理容器1の下方に延び、その下端が昇降機構24に接続されている。昇降機構24により載置台2が支持部材23を介して、図1で示す処理位置と、その下方の二点鎖線で示すウエハWの搬送が可能な搬送位置との間で昇降する。支持部材23の処理容器1の下方には、鍔部25が取り付けられており、処理容器1の底面と鍔部25の間には、処理容器1内の雰囲気を外気と区画し、載置台2の昇降動作にともなって伸縮するベローズ26が設けられている。
処理容器1の底面の近傍には、昇降板27aから上方に突出するように3本(2本のみ図示)のウエハ支持ピン27が設けられている。ウエハ支持ピン27は、処理容器1の下方に設けられた昇降機構28により昇降板27aを介して昇降する。ウエハ支持ピン27は、搬送位置にある載置台2に設けられた貫通孔2aに挿通されて載置台2の上面に対して突没可能となっている。ウエハ支持ピン27を昇降させることにより、搬送機構(図示せず)と載置台2との間でウエハWの受け渡しが行われる。
シャワーヘッド3は、処理容器1内に処理ガスをシャワー状に供給する。シャワーヘッド3は、金属製であり、載置台2に対向するように設けられており、載置台2とほぼ同じ直径を有している。シャワーヘッド3は、処理容器1の天壁14に固定された本体部31と、本体部31の下に接続されたシャワープレート32とを有している。本体部31とシャワープレート32との間にはガス拡散空間33が形成されており、ガス拡散空間33には処理容器1の天壁14及び本体部31の中央を貫通するようにガス導入孔36が設けられている。シャワープレート32の周縁部には下方に突出する環状突起部34が形成されている。環状突起部34の内側の平坦面には、ガス吐出孔35が形成されている。載置台2が処理位置に存在した状態では、載置台2とシャワープレート32との間に処理空間38が形成され、カバー部材22の上面と環状突起部34とが近接して環状隙間39が形成される。
排気部4は、処理容器1の内部を排気する。排気部4は、排気口13bに接続された排気配管41と、排気配管41に接続された真空ポンプや圧力制御バルブ等を有する排気機構42とを有する。処理に際しては、処理容器1内のガスがスリット13aを介して排気ダクト13に至り、排気ダクト13から排気配管41を通って排気機構42により排気される。
ガス供給機構5は、処理容器1内に処理ガスを供給する。ガス供給機構5は、プリカーサガス供給源51a、反応ガス供給源52a、Arガス供給源53a、Arガス供給源54a、Heガス供給源55aを有する。
プリカーサガス供給源51aは、ガス供給ライン51bを介してプリカーサガスを処理容器1内に供給する。なお、図1に示す例において、プリカーサガスとして、DCS(ジクロロシラン)ガスを用いる。ガス供給ライン51bには、上流側から流量制御器51c、貯留タンク51d及びバルブ51eが介設されている。ガス供給ライン51bのバルブ51eの下流側は、ガス供給ライン56を介してガス導入孔36に接続されている。プリカーサガス供給源51aから供給されるプリカーサガスは処理容器1内に供給される前に貯留タンク51dで一旦貯留され、貯留タンク51d内で所定の圧力に昇圧された後、処理容器1内に供給される。貯留タンク51dから処理容器1へのプリカーサガスの供給及び停止は、バルブ51eの開閉により行われる。このように貯留タンク51dへプリカーサガスを一旦貯留することで、比較的大きい流量のプリカーサガスを処理容器1内に安定して供給できる。
反応ガス供給源52aは、ガス供給ライン52bを介して反応ガスを処理容器1内に供給する。なお、図1に示す例において、反応ガスとして、NHガスを用いる。ガス供給ライン52bには、上流側から流量制御器52c及びバルブ52eが介設されている。ガス供給ライン52bのバルブ52eの下流側は、ガス供給ライン56を介してガス導入孔36に接続されている。反応ガス供給源52aから供給される反応ガスは処理容器1内に供給される。処理容器1への反応ガスの供給及び停止は、バルブ52eの開閉により行われる。
Arガス供給源53aは、ガス供給ライン53bを介してパージガスとしてのArガスを処理容器1内に供給する。ガス供給ライン53bには、上流側から流量制御器53c及びバルブ53eが介設されている。ガス供給ライン53bのバルブ53eの下流側は、ガス供給ライン51bに接続されている。Arガス供給源53aから供給されるArガスは処理容器1内に供給される。処理容器1へのArガスの供給及び停止は、バルブ53eの開閉により行われる。
Arガス供給源54aは、ガス供給ライン54bを介してパージガスとしてのArガスを処理容器1内に供給する。ガス供給ライン54bには、上流側から流量制御器54c及びバルブ54eが介設されている。ガス供給ライン54bのバルブ54eの下流側は、ガス供給ライン52bに接続されている。Arガス供給源54aから供給されるArガスは処理容器1内に供給される。処理容器1へのArガスの供給及び停止は、バルブ54eの開閉により行われる。
Heガス供給源55aは、ガス供給ライン55bを介して膜を改質する改質ガスとしてのHeガスを処理容器1内に供給する。ガス供給ライン55bには、上流側から流量制御器55c及びバルブ55eが介設されている。ガス供給ライン55bのバルブ55eの下流側は、ガス供給ライン52bに接続されている。Heガス供給源55aから供給されるHeガスは処理容器1内に供給される。処理容器1へのHeガスの供給及び停止は、バルブ55eの開閉により行われる。
また、基板処理装置101は、容量結合プラズマ装置であって、載置台2が下部電極となり、シャワーヘッド3が上部電極となる。下部電極となる載置台2は、コンデンサ(図示せず)を介して接地されている。
上部電極となるシャワーヘッド3は、RF電力供給部8によって高周波電力(以下、「RFパワー」ともいう。)が印加される。RF電力供給部8は、給電ライン81、整合器82及び高周波電源83を有する。高周波電源83は、高周波電力を発生する電源である。高周波電力は、プラズマの生成に適した周波数を有する。高周波電力の周波数は、例えば450KHz~100MHzの範囲内の周波数である。高周波電源83は、整合器82及び給電ライン81を介してシャワーヘッド3の本体部31に接続されている。整合器82は、高周波電源83の出力リアクタンスと負荷(上部電極)のリアクタンスを整合させるための回路を有する。なお、RF電力供給部8は、上部電極となるシャワーヘッド3に高周波電力を印加するものとして説明したが、これに限られるものではない。下部電極となる載置台2に高周波電力を印加する構成であってもよい。
制御部9は、例えばコンピュータであり、CPU(Central Processing Unit)、RAM(Random Access Memory)、ROM(Read Only Memory)、補助記憶装置等を備える。CPUは、ROM又は補助記憶装置に格納されたプログラムに基づいて動作し、基板処理装置101の動作を制御する。制御部9は、基板処理装置101の内部に設けられていてもよく、外部に設けられていてもよい。制御部9が基板処理装置101の外部に設けられている場合、制御部9は、有線又は無線等の通信手段によって、基板処理装置101を制御できる。
〔基板処理装置を用いた成膜処理〕
基板処理装置101の動作の一例について、図2を用いて説明する。図2は、本実施例に係る基板処理装置101における動作の一例を示すタイムチャートである。基板処理装置101は、下地膜が形成されたウエハWに、PE-ALDプロセスによりSiN膜を成膜する。
図2に示されるPE-ALDプロセスは、プリカーサガスを供給する工程S201、パージする工程S202、Heガスを供給する工程S203、RFパワーを印加する工程S204、パージする工程S205、反応ガスを供給する工程S206、RFパワーを印加する工程S207及びパージする工程S208を所定サイクル繰り返し、プリカーサガスと反応ガスを交互に供給してウエハWの上に所望の膜厚のSiN膜を形成するプロセスである。なお、図2では、1サイクルのみを示す。
プリカーサガスを供給する工程S201は、プリカーサガスを処理空間38に供給する工程である。プリカーサガスを供給する工程S201では、まず、バルブ53e,54eを開いた状態で、Arガス供給源53a,54aから、ガス供給ライン53b,54bを経てArガスを供給する。また、バルブ51eを開くことにより、プリカーサガス供給源51aからガス供給ライン51bを経てプリカーサガスを処理容器1内の処理空間38に供給する。このとき、プリカーサガスは、貯留タンク51dに一旦貯留された後に処理容器1内に供給される。これにより、プリカーサがウエハWの表面に吸着され、ウエハWの表面にプリカーサの吸着層が形成される。
パージする工程S202は、処理空間38の余剰のプリカーサガス等をパージする工程である。パージする工程S202では、ガス供給ライン53b,54bを介してのArガスの供給を継続した状態で、バルブ51eを閉じてプリカーサガスの供給を停止する。これにより、Arガス供給源53a,54aからガス供給ライン53b,54bを経てArガスを処理容器1内の処理空間38に供給する。これにより、処理空間38の余剰のプリカーサガス等をパージする。また、バルブ51eを閉じることにより、貯留タンク51dにプリカーサガスが充填される。
Heガスを供給する工程S203は、Heガスを処理空間38に供給する工程である。Heガスを供給する工程S203では、ガス供給ライン53b,54bを介してのArガスの供給を継続した状態で、バルブ55eを開く。これにより、Heガス供給源55aからガス供給ライン55bを経てHeガスを処理空間38に供給する。
RFパワーを印加する工程S204は、Heガスをプラズマ励起する工程である。RFパワーを印加する工程S204では、ガス供給ライン53b,54bを介してのArガスの供給及びガス供給ライン55bを介してのHeガスの供給を継続した状態で、高周波電源83により、上部電極にRFを印加して、処理空間38にプラズマを生成する。これにより、ウエハWの表面の吸着層が改質される。
パージする工程S205は、処理空間38のHeガス等をパージする工程である。パージする工程S205では、ガス供給ライン53b,54bを介してのArガスの供給を継続した状態で、バルブ55eを閉じてHeガスの供給を停止する。また、高周波電源83により、上部電極にRFを印加することを停止する。これにより、Arガス供給源53a,54aからガス供給ライン53b,54bを経てArガスを処理容器1内の処理空間38に供給する。これにより、処理空間38のHeガス等をパージする。
反応ガスを供給する工程S206は、反応ガスとしてのNHガスを供給する工程である。反応ガスを供給する工程S206では、ガス供給ライン53b,54bを介してのArガスの供給を継続した状態で、バルブ55eを閉じてHeガスの供給を停止し、バルブ52eを開く。これにより、反応ガス供給源52aからガス供給ライン52bを経て反応ガスを処理空間38に供給する。
RFパワーを印加する工程S207は、反応ガスとして供給されているNHガスをプラズマ励起する工程である。RFパワーを印加する工程S207では、ガス供給ライン53b,54bを介してのArガスの供給及びガス供給ライン52bを介しての反応ガスの供給を継続した状態で、高周波電源83により、上部電極にRFを印加して、処理空間38にプラズマを生成する。これにより、ウエハWの表面の吸着層が窒化され、SiN膜を生成する。
パージする工程S208は、処理空間38の余剰の反応ガス等をパージする工程である。パージする工程S208では、ガス供給ライン53b,54bを介してのArガスの供給を継続した状態で、バルブ52eを閉じて反応ガスの供給を停止する。また、高周波電源83により、上部電極にRFを印加することを停止する。これにより、Arガス供給源53a,54aからガス供給ライン53b,54bを経てArガスを処理容器1内の処理空間38に供給する。これにより、処理空間38の余剰の反応ガス等をパージする。
以上のサイクルを繰り返すことで、ウエハWに形成された凹凸のパターンに倣ってコンフォーマルなSiN膜を成膜する。
ここで、ステップS101におけるDCSガスとNHガスを用いたSiN膜の成膜条件の好ましい範囲を以下に示す。
温度:250~600℃
圧力:0.5~10Torr
DCSガス流量:10~100cc/サイクル
NHガス流量:500~10000sccm
Heガス流量:100~10000sccm
Arガス流量:500~10000sccm
工程S201時間:0.05~2.0秒
工程S202時間:0.1~2.0秒
工程S203時間:0.0~2.0秒
工程S204時間:1.0~6.0秒
工程S205時間:0.0~2.0秒
工程S206時間:0.5~2.0秒
工程S207時間:1.0~6.0秒
工程S208時間:0.1~2.0秒
改質時(S204)のRFパワー:10~1000W
窒化時(S207)のRFパワー:50~1000W
なお、プリカーサガスをパージする工程S202は省略してもよく、プリカーサガスを供給する工程S201の後にHeプラズマによる改質工程(S203、S204)を行ってもよい。また、Heガスは、工程S207以外の工程では同時供給してもよい。
次に、参考例に係る基板処理装置の動作の一例について、図3を用いて説明する。なお、参考例に係る基板処理装置は、図1に示す本実施例に係る基板処理装置101と同様な構成のため、説明を省略する。図3は、参考例に係る基板処理装置における動作の一例を示すタイムチャートである。参考例に係る基板処理装置は、下地膜が形成されたウエハWに、PE-ALDプロセスによりSiN膜を成膜する。
図3に示されるPE-ALDプロセスは、プリカーサガスを供給する工程S301、パージする工程S302、反応ガスを供給する工程S303、RFパワーを印加する工程S304、パージする工程S305、Heガスを供給する工程S306、RFパワーを印加する工程S307及びHeガスをパージする工程S308を所定サイクル繰り返し、プリカーサガスと反応ガスを交互に供給してウエハWの上に所望の膜厚のSiN膜を形成するプロセスである。なお、図3では、1サイクルのみを示す。
即ち、本実施例に係る基板処理装置101におけるプロセス(図2参照)では、プリカーサガスの吸着(S201)の後、窒化処理(S206,S207)の前に、Heガスのプラズマによる改質処理(S203,S204)を行う。
これに対し、参考例に係る基板処理装置におけるプロセス(図3参照)では、プリカーサガスの吸着(S301)及び窒化処理(S303,S304)の後に、Heガスのプラズマによる改質処理(S306,S307)を行う。なお、各工程の処理は図2に示されるプロセスの場合と同様であり、説明は省略する。
図4は、本実施例のSiN膜、参考例のSiN膜、改質なしのSiN膜における膜質を比較するグラフの一例である。ここでは、中央の「本実施例」では、図2に示すプロセスによってSiN膜の成膜を行った。右の「参考例」では、図3に示すプロセスによってSiN膜の成膜を行った。左の「改質なし」では、改質ガスのプラズマによる改質処理を行わない(即ち、図3のプロセスにおいて、S306からS307を省略して、ステップS301からステップS305を繰り返す。)で、SiN膜の成膜を行った。
また、図4に示すグラフにおいて、左側の第1縦軸は成膜速度(GPC;Growth Per Cycle)であり、実線で示す。右側の第2縦軸はRI(Refractive Index:屈折率)であり、破線で示す。
破線のグラフに示すように、改質なしと比較して、本実施例及び参考例では、RIが向上している。ここで、図5は、熱酸化膜に対するウェットエッチングレート比(WERR)とRIとの関係を示すグラフの一例である。図5に示すように、WERRとRIとは、負の相関を示す。このため、改質なしと比較して、本実施例及び参考例では、エッチングレートが低下する、即ち、エッチング耐性が向上する。また、本実施例では、参考例と比較して、ウェットエッチング耐性がさらに向上している。
また、実線のグラフに示すように、改質なしと比較して、参考例では、成膜レートが減少している。これに対し、本実施例では、成膜レートの減少を抑制することができる。即ち、本実施では、参考例と比較して、成膜レートを向上させることができる。
ここで、Heガスのプラズマを用いた改質効果について、図6及び図7を用いて説明する。
図6は、本実施例により成膜したSiN膜において、SiN膜中に含まれるSi-N結合量におけるHeプラズマの照射時間に対する依存性を示したグラフの一例である。図6において、縦軸はSi-N結合量を示し、横軸はHeプラズマの照射時間を示す。ここで、Si-N結合量は、フーリエ変換赤外分光法により取得された吸収スペクトルにおけるピーク強度から求めた。また、WERRは、膜中のSi-N結合量に対して負の相関があることが今まででの知見から得られている。
図7は、本実施例により成膜したSiN膜において、SiN膜中に含まれるN-H結合量におけるHeプラズマの照射時間に対する依存性を示したグラフの一例である。図6において、縦軸はN-H結合量を示し、横軸はHeプラズマの照射時間を示す。ここで、N-H結合量は、Si-N結合量と同様に、フーリエ変換赤外分光法により取得された吸収スペクトルにおけるピーク強度から求めた。
図6および図7に示すように、Heガスのプラズマにより、SiN膜中のN-H結合量が減少(図7参照)し、かつ、SiN膜中のSi-N結合量が増加(図6参照)することにより、より強固な膜に改質されている。これは、Heガスのプラズマによる改質におけるプラズマ中のHeイオン、Heラジカル、Heを含むプラズマの発光(VUV:Vacuum Ultra Violet)の少なくとも何れか一つに起因するものである。
ここで、本実施例及び参考例におけるSiN膜の成膜についてさらに説明する。参考例では、窒化処理(S303,S304)の後に、Heガスのプラズマによる改質処理(S306,S307)を行う。窒化処理によりウエハWの下地膜の表面にはNH基もしくはNH基が存在する。その後、ウエハWの下地膜の表面に対してHeガスのプラズマを照射すると、表面のN-H結合量が減少する。
ところで、参考例におけるプリカーサ(DCS)は、表面のNH基もしくはNH基に吸着することが知られている。したがって、窒化処理(S303,S304)の後に、Heガスのプラズマによる改質処理(S306,S307)を行うと、プリカーサ(DCS)の吸着サイトであるNH基もしくはNH基が減少する。その結果、参考例では、成膜レートが減少する。
一方、本実施例では、プリカーサガスの吸着(S201)の後、窒化処理(S206,S207)の前に、Heガスのプラズマによる改質処理(S203,S204)を行う。この場合、Heガスのプラズマによる改質処理を行う前のウエハWの下地膜の表面にはプリカーサ(DCS)の吸着物および吸着により消費されなかったNH基もしくはNH基が存在する。
この状態でHeガスのプラズマによる改質処理を行うと、吸着により消費されなかった余剰なNH基もしくはNH基が除去され、Si-N結合に変換される。その後、窒化処理(S206,S207)を行うと、プリカーサ(DCS)の吸着物の側鎖がNH基もしくはNH基で置き換えられる。さらにその後、プリカーサガスの吸着(S201)が行われる。即ち、プリカーサ(DCS)の吸着サイトであるNH基もしくはNH基が減少せずに膜が形成される。その結果、本実施例では、成膜レートは維持される。
これにより、本実施例のSiN膜の成膜方法では、エッチング耐性の向上と、成膜レートの維持を両立することができる。
また、本実施例では、Heガスのプラズマを用いて膜を改質するので、適用範囲を拡大することができる。ここで、HガスやHガスのプラズマを用いる改質処理では、SiN膜の下地膜に水素が拡散して、デバイス特性を劣化させる場合がある。これに対し、本実施例では、Heガスのプラズマを用いて膜を改質するので、SiN膜の下地膜への水素の拡散を防止することができ、適用範囲を拡大することができる。
以上、基板処理装置101による本実施形態の成膜方法について説明したが、本開示は上記実施形態等に限定されるものではなく、特許請求の範囲に記載された本開示の要旨の範囲内において、種々の変形、改良が可能である。
処理装置101において、プリカーサガスはDCSとし、反応ガスをNHガスとして説明したが、これに限られるものではない。プリカーサガスとして、SiHガス、TSA(trisilylamine)ガス、ハロゲンを含むシリコン系ガス、アミノシランガス、等のシリコン含有ガスを用いてもよい。反応ガスとして、NHガス、Nガス、等のガスを用いてもよい。また、プリカーサガスとしてSiHガス用いる場合、反応ガスとしてNガスを用いてもよい。また、プラズマを使用せず、熱によるALDによりSiN膜を形成してもよい。この場合、反応ガスとしてNH、ヒドラジン、ヒドラジン誘導体等のガスを用いてもよい。
101 基板処理装置
W ウエハ
1 処理容器
2 載置台
3 シャワーヘッド
4 排気部
5 ガス供給機構(ガス供給源)
51a プリカーサガス供給源
52a 反応ガス供給源
53a Arガス供給源
54a Arガス供給源
55a Heガス供給源
8 RF電力供給部(高周波電力供給部)
83 高周波電源
9 制御部

Claims (8)

  1. シリコン含有ガスを供給して基板上に吸着層を形成する工程と、
    Heを含むプラズマを生成して改質する工程と、
    反応ガスのプラズマを生成して前記吸着層と反応させる工程と、をこの順で繰り返して、シリコン含有膜を形成する、基板処理方法。
  2. 前記吸着層を形成する工程と、前記改質する工程と、の間に、
    前記シリコン含有ガスをパージする工程を含む、
    請求項1に記載の基板処理方法。
  3. 前記改質する工程は、
    Heイオン、Heラジカル、Heを含むプラズマの発光のうち、少なくとも何れか一つを前記吸着層に照射して改質する、
    請求項1または請求項2に記載の基板処理方法。
  4. 前記改質は、
    前記シリコン含有膜のエッチング耐性を向上させる、
    請求項1乃至請求項3のいずれか1項に記載の基板処理方法。
  5. 前記シリコン含有膜は、SiN膜である、
    請求項1乃至請求項4のいずれか1項に記載の基板処理方法。
  6. 前記シリコン含有ガスは、DCSガス、SiHガス、TSA(trisilylamine)ガス、ハロゲンを含むシリコン系ガス、アミノシランガスのうち、少なくとも何れか一つを含む、
    請求項1乃至請求項5のいずれか1項に記載の基板処理方法。
  7. 前記反応ガスは、NHガス、Nガス、ヒドラジン、ヒドラジン誘導体ガスのうち、少なくとも何れか一つを含む、
    請求項1乃至請求項6のいずれか1項に記載の基板処理方法。
  8. 基板を載置する載置台を有する処理容器と、
    前記処理容器にガスを供給するガス供給源と、
    高周波電力を印加して前記処理容器内にプラズマを生成する高周波電力供給部と、
    制御部と、を備え、
    前記制御部は、
    シリコン含有ガスを供給して基板上に吸着層を形成する工程と、
    Heを含むプラズマを生成して改質する工程と、
    反応ガスのプラズマを生成して前記吸着層と反応させる工程と、をこの順で繰り返して、シリコン含有膜を形成する、
    基板処理装置。
JP2019209033A 2019-11-19 2019-11-19 基板処理方法及び基板処理装置 Active JP7300970B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2019209033A JP7300970B2 (ja) 2019-11-19 2019-11-19 基板処理方法及び基板処理装置
KR1020227019535A KR20220100009A (ko) 2019-11-19 2020-11-12 기판 처리 방법 및 기판 처리 장치
US17/756,094 US20220403515A1 (en) 2019-11-19 2020-11-12 Substrate treatment method and substrate treatment device
PCT/JP2020/042215 WO2021100594A1 (ja) 2019-11-19 2020-11-12 基板処理方法及び基板処理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019209033A JP7300970B2 (ja) 2019-11-19 2019-11-19 基板処理方法及び基板処理装置

Publications (2)

Publication Number Publication Date
JP2021080522A JP2021080522A (ja) 2021-05-27
JP7300970B2 true JP7300970B2 (ja) 2023-06-30

Family

ID=75964281

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019209033A Active JP7300970B2 (ja) 2019-11-19 2019-11-19 基板処理方法及び基板処理装置

Country Status (4)

Country Link
US (1) US20220403515A1 (ja)
JP (1) JP7300970B2 (ja)
KR (1) KR20220100009A (ja)
WO (1) WO2021100594A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2024013097A (ja) * 2022-07-19 2024-01-31 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016066794A (ja) 2014-09-24 2016-04-28 ラム リサーチ コーポレーションLam Research Corporation Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016066794A (ja) 2014-09-24 2016-04-28 ラム リサーチ コーポレーションLam Research Corporation Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置

Also Published As

Publication number Publication date
JP2021080522A (ja) 2021-05-27
US20220403515A1 (en) 2022-12-22
KR20220100009A (ko) 2022-07-14
WO2021100594A1 (ja) 2021-05-27

Similar Documents

Publication Publication Date Title
US8685832B2 (en) Trench filling method and method of manufacturing semiconductor integrated circuit device
US8410003B2 (en) Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
US10438791B2 (en) Film forming method, film forming apparatus, and storage medium
US10774421B2 (en) Semiconductor device manufacturing method, substrate processing apparatus and recording medium
JP6999596B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP7300970B2 (ja) 基板処理方法及び基板処理装置
WO2021100560A1 (ja) 基板処理方法及び基板処理装置
JP7257930B2 (ja) 基板処理方法及び基板処理装置
WO2022054225A1 (ja) 基板処理装置、半導体装置の製造方法およびプラズマ生成装置
JP7437596B2 (ja) 炭素ケイ素含有膜を形成する方法及び装置
JP7243521B2 (ja) 成膜方法及び成膜装置
WO2020184342A1 (ja) 基板処理方法及び基板処理装置
JP6453727B2 (ja) 基板処理装置およびそれを用いた半導体装置の製造方法
WO2024018968A1 (ja) 基板処理方法及び基板処理装置
JP6937894B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2022080192A1 (ja) 基板処理方法及び基板処理装置
WO2023047497A1 (ja) 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム
WO2022054855A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US20230077599A1 (en) Film forming method and film forming apparatus
WO2022059505A1 (ja) SiN膜埋め込み方法及び成膜装置
WO2021181450A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2022065315A1 (ja) 凹部埋め込み方法及び基板処理装置
WO2023157678A1 (ja) シリコン窒化膜の形成方法及び成膜装置
KR20220154777A (ko) 탄화규소 함유막을 형성하는 방법 및 장치
JP2014033229A (ja) 半導体装置の製造方法及び基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220705

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230301

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230523

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230620

R150 Certificate of patent or registration of utility model

Ref document number: 7300970

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150