KR20230039625A - 저온 ald 막들을 위한 챔버 언더코팅 준비 방법 - Google Patents

저온 ald 막들을 위한 챔버 언더코팅 준비 방법 Download PDF

Info

Publication number
KR20230039625A
KR20230039625A KR1020230032580A KR20230032580A KR20230039625A KR 20230039625 A KR20230039625 A KR 20230039625A KR 1020230032580 A KR1020230032580 A KR 1020230032580A KR 20230032580 A KR20230032580 A KR 20230032580A KR 20230039625 A KR20230039625 A KR 20230039625A
Authority
KR
South Korea
Prior art keywords
reaction chamber
reactant
chamber
undercoat
substrates
Prior art date
Application number
KR1020230032580A
Other languages
English (en)
Inventor
후 강
준 퀴안
애드리언 라보이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230039625A publication Critical patent/KR20230039625A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)

Abstract

본 명세서에서 개시된 방법들 및 장치는 기판들 상에 막들을 증착하는데 사용되는 반응 챔버의 내측 표면 상에 언더코팅 (undercoat) 을 형성하는 것과 사용하는 것에 관한 것이다. 언더코팅은 원자 층 증착 방법들을 사용하여서 증착된다. 개시된 언더코팅은 금속 오염을 억제하며 박리에 대한 개선된 저항성을 제공하며 상대적으로 얇다. 우수한 박리에 대한 저항성으로 인해서, 개시된 언더코팅은 보다 많은 기판들이 후속하는 세정 동작들 간에서 프로세싱되게 하여서, 처리량을 증가시킨다.

Description

저온 ALD 막들을 위한 챔버 언더코팅 준비 방법{CHAMBER UNDERCOAT PREPARATION METHOD FOR LOW TEMPERATURE ALD FILMS}
반도체 산업이 발전함에 따라서, 디바이스 치수들이 점점 보다 작아지고 있다. 이렇게 점진적으로 보다 작아지는 피처들로 인해서 극히 균일한 증착 절차들이 요구되는데 이는 막 불순물 또는 다른 불균일성의 존재는 때로 반도체 디바이스 고장으로 이어질 수 있기 때문이다. 언더코팅 (undercoat) 은 웨이퍼 간 두께 균일성 및 웨이퍼 내 두께 균일성을 개선하는 것을 지원할 수 있다.
본 명세서에서의 특정 실시예들은 기판들 상에 막들을 증착하는데 사용되는 반응 챔버 내에 언더코팅 (undercoat) 을 형성하기 위한 방법들 및 장치에 관한 것이다. 본 명세서의 실시예들의 일 양태에서, 기판들을 프로세싱하기 위한 반응 챔버의 내측 표면들 상에 언더코팅 (undercoat) 을 형성하는 방법이 제공되며, 이 방법은 (a) 기체상의 제 1 반응물의 플로우를 반응 챔버 내로 도입하고 제 1 반응물이 반응 챔버의 내측 표면들 상에 흡착되게 하는 단계; (b) 제 1 반응물이 반응 챔버의 내측 표면들 상에 흡착되는 동안에 기체상의 제 2 반응물의 플로우를 반응 챔버 내로 도입하는 단계; 및 (c) 반응 챔버의 내측 표면들 상에서 제 1 반응물과 제 2 반응물 간의 반응을 발생시켜서 언더코팅을 형성하도록, 제 1 반응물과 제 2 반응물 중 적어도 하나의 플로우가 중지된 때에 반응 챔버를 플라즈마에 노출시키는 단계로서, 언더코팅은 반응 챔버의 내측 표면들을 컨포멀하게 (conformally) 코팅하는, 플라즈마에 노출시키는 단계를 포함하며, 단계들 (a) 내지 (c) 은 반응 챔버 내에 기판이 존재하지 않은 때에 발생하며, 단계들 (a) 내지 (c) 은 언더코팅이 적어도 약 0.1 ㎛ 두께일 때까지 반복된다.
일부 실시예들에서, 반응 챔버 내의 온도는 단계들 (a) 내지 (c) 동안에 약 2 ℃ 보다 많이는 변화되지 않는다. 일부 경우들에서, 언더코팅은 산화물, 질화물, 탄화물 또는 카보나이트라이드일 수 있다. 언더코팅은 또한 귀금속, 란타나이드 산화물, 4족 금속 산화물 또는 5족 금속 산화물일 수 있다. 다양한 상이한 반응물들이 사용될 수 있다. 일부 경우들에서, 제 2 반응물은 O2 및 N2O를 포함한다. O2 및 N2O는 SLM으로 측정될 시에 실질적으로 동일한 플로우 레이트들로 제공될 수 있다. 언더코팅은 다양한 챔버 표면들, 예를 들어서 기판 캐리어를 컨포멀하게 코팅한다. 일부 실시예들에서, 언더코팅은 약 0.5 ㎛ 이내의 두께이거나 언더코팅은 약 0.2 ㎛ 이내의 두께이다.
이 방법은 (d) 기판을 반응 챔버 내에 수용하는 단계; (e) 기체상의 제 3 반응물의 플로우를 반응 챔버 내로 도입하고 제 3 반응물이 기판의 표면 상으로 흡착되게 하는 단계; (f) 제 3 반응물이 기판의 표면 상에 흡착되는 동안에 기체상의 제 4 반응물의 플로우를 반응 챔버 내로 도입하는 단계; 및 (g) 제 3 반응물과 제 4 반응물 간의 반응을 발생시켜서 기판의 표면 상에 제 2 막을 형성하도록, 제 3 반응물과 제 4 반응물 중 적어도 하나의 플로우가 중지된 때에 반응 챔버를 플라즈마에 노출시키는 단계를 수행함으로써 계속될 수 있다.
일부 구현예들에서, 제 1 반응물 및 제 2 반응물은 각기 제 3 반응물 및 제 4 반응물과 동일하다. 예를 들어서, 제 2 반응물 및 제 4 반응물 각각은 O2 및 N2O를 포함한다. 다른 프로세스 특성들은 언더코팅 증착과 기판 상의 증착 간에서 일정하게 유지될 수 있다. 일부 실시예들에서, 반응 챔버 압력, 반응 챔버 온도, 도징 기간 (dosing duration), 플라즈마 노출 기간 및 RF 전력 값들은 단계들 (a) - (c) 와 단계들 (e) - (g) 간에서 실질적으로 일정하게 유지된다. 특정 경우들에서, 이러한 프로세스 특성들 모두는 동작들의 2 개의 세트들 간에서 일정하게 유지된다.
특정 실시예들에서, 반응 챔버 내의 온도는 단계들 (a) - (g) 동안에 약 2 ℃ 보다 크게는 변하지 않는다. 또한, 일부 경우들에서, 단계 (e) 는 단계 (c) 의 최종 반복 이후에 약 5 분 내에 시작된다. 이러한 또는 다른 경우들에서, 반응 챔버는 단계 (c) 의 최종 반복과 단계 (e) 의 처음 반복 간에서 퍼지되지 않는다. 단계들 (d) - (g) 은 복수의 기판들에 대해서 반복된다. 일부 실시예들에서, 단계들 (a) - (g) 에서 증착된 막은 약 4 ㎛ 의 챔버 퇴적 한계치가 도달되고/되거나 적어도 약 300 개의 기판들이 단계들 (d) - (g) 을 사용하여서 반응 챔버를 통해서 박리되거나 벗겨지지 않는다. 일부 경우들에서, 이러한 한계치들은 보다 더 높을 수 있으며, 예를 들어서, 적어도 약 400 개의, 적어도 약 500 개의 또는 적어도 약 600 개의 기판들이 프로세싱되기까지 박리/벗겨짐이 시작되지 않을 수 있다. 다양한 실시예들에서, 이는 박리/벗겨짐 이전에 적어도 약 7.5 ㎛, 또는 적어도 약 10 ㎛, 또는 적어도 약 15 ㎛ 의 기판들 상의 총 증착막에 대응할 수 있다. 이러한 측정치들은 기판들 상에 증착된 막의 평균 두께만큼 승산된 세정 동작들 간에 프로세싱된 기판들의 개수와 관련된다.
개시된 실시예들의 다른 양태에서, 기판들 상에 막들을 증착하기 위한 반응 챔버를 처리하는 방법이 제공되며, 이 방법은 (a) 반응 챔버를 세정하도록 반응 챔버의 내측 표면들로부터 이전에 증착된 막을 제거하는 단계; 및 (b) 원자 층 증착 프로세스에 의해서 반응 챔버의 세정된 내측 표면들 상에 언더코팅을 증착하는 단계를 포함하며, 단계 (b) 는 반응 챔버 내에 기판이 존재하지 않을 때에 발생한다. 일부 실시예들에서, 언더코팅은 약 0.1 내지 0.5 ㎛ 의 두께로 증착된다. 단계 (b) 는 등온적으로 (isothermally) 수행된다.
개시된 실시예들의 다른 양태에서, 기판들 상에 막들을 증착하고자 준비된 반응 챔버가 제공되며, 이 챔버는 기체상 반응물들을 반응 챔버로 도입하기 위한 하나 이상의 유입구들; 반응 챔버로부터 기체상 재료를 제거하기 위한 하나 이상의 유출구들; 반응 챔버에 노출된 플라즈마를 생성하기 위한 플라즈마 생성기; 및 반응 챔버의 내측 표면들 상의 언더코팅을 포함하며, 언더코팅은 약 0.5 ㎛ 이하의 두께이며, 언더코팅은 반응 챔버의 내측 표면들을 컨포멀하게 (conformally) 피복한다.
일부 구현예들에서, 반응 챔버의 내측 표면들 상의 언더코팅 (undercoat) 은 (a) 기체상의 제 1 반응물의 플로우를 반응 챔버 내로 도입하고 제 1 반응물이 반응 챔버의 내측 표면들 상에 흡착되게 하는 단계; (b) 제 1 반응물이 반응 챔버의 내측 표면들 상에 흡착되는 동안에 기체상의 제 2 반응물의 플로우를 반응 챔버 내로 도입하는 단계; 및 (c) 반응 챔버의 내측 표면들 상에서 제 1 반응물과 제 2 반응물 간의 반응을 발생시켜서 언더코팅을 형성하도록, 제 1 반응물과 제 2 반응물 중 적어도 하나의 플로우가 중지된 때에 반응 챔버를 플라즈마에 노출시키는 단계를 수행함으로써 형성될 수 있으며, 단계들 (a) 내지 (c) 은 반응 챔버 내에 기판이 존재하지 않은 때에 발생한다. 반응 챔버의 내측 표면들 상의 언더코팅은 약 0.2 ㎛ 두께 이하일 수 있다. 일부 실시예들에서, 반응 챔버는 언더코팅에 의해서 컨포멀하게 코팅된 기판 캐리어를 더 포함한다.
이러한 그리고 다른 특징들이 연관된 도면들을 참조하여서 이하에서 기술된다.
도 1은 CVD-기반 방법들을 통해서 언더코팅을 증착 (deposition) 하는 것을 포함하는, 반응 챔버 내에서 기판들을 프로세싱하기 위한 흐름도를 도시한다.
도 2는 ALD-기반 방법들을 통해서 언더코팅을 증착하는 것을 포함하는, 반응 챔버 내에서 기판들을 프로세싱하기 위한 흐름도를 도시한다.
도 3은 ALD-기반 방법들을 통해서 언더코팅을 증착하는 방법의 흐름도를 도시한다.
도 4는 ALD-기반 방법들을 통해서 실리콘 산화물 언더코팅을 증착하는 방법의 흐름도를 도시한다.
도 5a 및 도 5b는 특정 개시된 실시예들에 따른 반응 챔버의 실시예들을 도시한다.
도 6은 특정 개시된 실시예들에 따른 다중-툴 반도체 도금 장치를 도시한다.
도 7은 상이한 타입들의 언더코팅들을 갖는 반응 챔버들 내에서 기판들 상에 증착된 막들 내의 다양한 금속들의 표면 농도를 제공하는 표이다.
도 8 및 도 9는 상이한 타입들의 언더코팅들을 갖는 반응 챔버들 내에 증착된 막들 상에서 검출된 입자들의 개수를 나타내는 표들이다.
도 10a 및 도 10b는 입자들이 도 9에서 기술된 막들 상에서 검출된 경우를 예시하는 입자 맵들이다.
도 11은 ALD-기반 언더코팅을 갖는 반응 챔버 내에서 증착된 막들의 균일도를 도시한 표이다.
도 12는 CVD-기반 언더코팅 및 ALD-기반 언더코팅을 갖는 반응 챔버들에 대한 챔버 성능 및 처리량을 비교하는 표이다.
도 13은 상이한 레벨들의 RF 플럭스에 있어서 증착 온도에 대한 습식 에칭 레이트를 도시한 그래프이다.
도 14는 다양한 막들에 있어서 막 응력에 대한 습식 에칭 레이트의 그래프를 도시한다.
본원에서, 용어 "반도체 웨이퍼", "웨이퍼", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 상호교환가능하게 사용된다. 본 기술 분야의 당업자는 용어 "부분적으로 제조된 집적 회로"가 실리콘 웨이퍼 상에서의 집적 회로 제조의 다양한 스테이지들 중 임의의 스테이지 동안의 실리콘 웨이퍼를 지칭함을 이해할 것이다. 반도체 디바이스 산업에서 사용되는 웨이퍼 또는 기판은 통상적으로 직경 200 mm 또는 300 mm 을 갖지만, 반도체 산업은 450 mm 직경 기판들을 채택하는 것으로 이동하고 있다. 반도체 웨이퍼들 상에 막들을 증착하는데 사용되는 반응 챔버들 이외에, 다른 타입들의 증착 반응기들이 본 발명을 이용할 수 있다. 개시된 실시예들로부터 이점을 취할 수 있는 다른 타입의 반응기들은 인쇄 회로 기판들, 디스플레이 등과 같은 다양한 물품들을 제조하는데 사용되는 것들을 포함한다. 반도체 웨이퍼들 이외에, 본 명세서에서 기술된 방법들 및 장치들은 유리 및 플라스틱 패널을 포함하는 다른 타입들의 기판들을 위해서 구성된 증착 챔버들과 함께 사용될 수 있다.
다음의 설명 부분에서, 다수의 특정 세부 사항들이 제공된 실시예들의 철저한 이해를 제공하기 위해서 제안된다. 개시된 실시예들은 이러한 특정 세부 사항 전부 또는 일부 없이도 실시될 수 있다. 다른 경우들로서, 잘 알려진 공정 동작들을 개시된 실시예들을 불필요하게 모호하게 하지 않도록 세부적으로 기술되지 않았다. 개시된 실시예들은 특정 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 한정하려고 하는 것이 아님이 이해될 것이다.
컨포멀, 균일한 실리콘 이산화물 (SiO2) 막들 및 다른 유전체 막들은 반도체 제조 시에 수많은 애플리케이션들을 갖는다. 얇은 SiO2 막들에 대한 통상적인 애플리케이션은 인접하는 트랜지스터들의 전기적 격리이다. 전기적 격리는 절연성 실리콘 이산화물로 인접하는 트랜지스터 컴포넌트들을 물리적으로 분리함으로써 달성될 수 있다. CVD (Chemical vapor deposition) 및 PECVD (plasma enhanced chemical vapor deposition) 는 때로 특히 피처들이 상대적으로 클 때에 실리콘 산화물 막들 또는 STI (shallow trench isolation) 를 위한 다른 막들을 증착하기 위해 선정된 방법이다. 그러나, 디바이스들이 점점 축소됨에 따라서, 피처들의 종횡비들 (폭에 대한 깊이 비) 이 증가하고 통상적인 CVD 기법들은 이러한 고 종횡비 피처들 내에 컨포멀 막들을 적절하게 제공하지 못할 수 있다.
CVD에 대한 일 대안은 ALD (atomic layer deposition) 프로세싱 및 PEALD (plasma enhanced atomic layer deposition) 프로세싱이다. 달리 언급되지 않으면, 다음의 설명에서 용어 ALD는 PEALD를 포함하는 것으로 의도되고 용어 CVD는 PECVD를 포함하는 것으로 의도된다. ALD 방법들은 반응물 가스들의 자기 정지성 흡착 (self-limiting adsorption) 을 수반하며, 고 종횡비 피처들 내에 얇은, 컨포멀 유전체 막들을 제공할 수 있다. ALD 방법들은 실리콘 산화물 및 다른 타입들의 막을 증착하기 위해서 개발되었다. ALD에 의해서 생성된 막들은 매우 얇고 (예를 들어, 약 1 개의 모노레이어 (monolayer)); 따라서 다수의 ALD 사이클들이 갭 피처를 적절하게 충진하도록 반복될 수 있다.
활성화된 가스상 반응들이 막들을 디포디션하기 위해서 사용되는 CVD 프로세스와 대조하여서, ALD 프로세스들은 층별로 막들을 증착하기 위해서 표면-매개된 증착 반응들들을 사용한다. 일 예시적인 ALD 프로세스에서, 표면 활성화 자리들의 분포를 포함하는 기판 표면이 제 1 막 프리커서 (P1) 의 가스 상 분포에 노출된다. P1의 일부 분자들은 P1의 화학 흡착된 (chemisorbed) 종들 및 물리 흡착된 분자들을 포함하는 기판 표면 상에 응결된 상 (condensed phase) 을 형성할 수도 있다. 이어서 반응기는 단지 화학 흡착된 종들만 남도록 가스 상 및 물리 흡착된 P1을 제거하도록 배기된다. 이어서 제 2 막 프리커서 (P2) 가 P2의 일부 분자들이 기판 표면에 흡착하도록 반응기에 도입된다. 반응기는 다시 배기될 수도 있고, 이 때 결합되지 않은 (unbound) P2가 제거된다. 후속하여, 기판에 제공된 에너지 (열 에너지 또는 플라즈마 에너지) 가 P1과 P2의 흡착된 분자들 사이의 표면 반응들을 활성화하여서 막 층을 형성한다. 마지막으로, 반응기는 반응 부산물 및 가능하게는 반응되지 않은 P1 및 P2를 제거하도록 배기되고, ALD 사이클을 종료한다. 막 두께를 구축하기 위해 부가적인 ALD 사이클들이 포함될 수도 있다.
프리커서 도징 단계들의 노출 시간 및 프리커서들의 점착 계수들에 따라서, 각 ALD 사이클은 일 실례에서 약 0.5 Å 내지 3 Å 두께의 막 층을 증착할 수 있다.
컨포멀 막들은 또한 평면형 기판들 상에 증착될 수도 있다. 예를 들어서, 리소그래픽 패터닝 애플리케이션들을 위한 반사방지 층들이 교번하는 막 타입들을 포함하는 평면형 스택들로부터 형성될 수도 있다. 이러한 반사방지 층들은 대략 100 Å 내지 1000 Å 두께일 수 있으며 이로써 보다 저속의 ALD 프로세스들이 보다 고속의 CVD 프로세스들보다 덜 선호되게 한다. 그러나, 이러한 반사방지 층들은 또한 수많은 CVD 프로세스들이 제공할 수 있는 것보다, 웨이퍼 내 두께 편차에 있어서 보다 낮은 허용오차를 가질 수도 있다. 예를 들어서, 600 Å 두께의 반사방지 층은 3 Å보다 낮은 두께 범위를 허용할 수 있다.
작은 피처 크기들에 대한 CVD에 대한 실현가능한 대안을 제공하는 관련된 증착 기법은 컨포멀 막 증착 (CFD) 이다. 일반적으로, CFD는 막을 형성하기 위한 반응 이전에 하나 이상의 반응물들의 완벽한 퍼지들에 의존하지 않는다. 예를 들어서, 플라즈마 (또는 다른 활성화 에너지) 가 점화되는 때에 기체상으로 존재하는 하나 이상의 반응물들이 존재할 수 있다. 따라서, ALD 프로세스에서 기술된 프로세스 단계들 중 하나 이상 (예를 들어, 퍼지 단계) 은 예시적인 CFD 프로세스에서 단축되거나 생략될 수 있다. 통상적으로, ALD 프로세스를 수행할 수 있는 챔버는 또한 CFD 프로세스를 수행할 수도 있다. 일부 구현예들에서, 신규한 언더코팅 형성 프로세스는 CVD, ALD 또는 CFD 반응 챔버 내에서 언더코팅을 증착하는데 사용될 수 있다. 신규한 언더코팅의 이점들이 ALD/CFD 반응기와 함께 사용될 때에 최고가 될 수 있지만, 실시예들은 이러한 상황으로 한정되지 않는다.
언더코팅은 웨이퍼 간 두께 균일성 및 웨이퍼 내 두께 균일성을 개선하는 것을 지원할 수 있다. 챔버 언더코팅 사용은 플라즈마 프로세싱 장치의 맥락에서, 예를 들어서 플라즈마 강화된 원자 층 증착 (PEALD) 막들 또는 플라즈마 강화된 화학적 기상 증착 (PECVD) 막들을 증착하는데 사용되는 장치의 맥락에서 특히 유리하다. 언더코팅은 때로 챔버 표면들 상에서의 디펙트-유발 입자들의 형성 및 금속 오염을 줄이는 것을 돕는데 사용된다. 이러한 입자들은 막이 증착되는 중에 챔버로부터 박리되어서 기판 위로 떨어져서 바람직하지 않은 막 불순물들을 발생시키며 디바이스 고장 확률을 증가시킨다. 언더코팅을 사용하는 것의 다른 이점은 반응기 내의 임피던스를 안정화시키는 것을 돕는다는 것이다.
챔버 언더코팅을 형성하는 일 방법은 PECVD 프로세스를 통해서 챔버 표면들 상에 USG (undoped silicate glass) 를 증착하는 것이다. 이러한 프로세스는 통상적으로 기판들이 챔버 내에 존재하지 않은 채로 수행된다. USG를 증착하는데 사용되는 반응물은 실란 (SiH4) 및 아산화질소 (N2O) 를 포함한다. 공교롭게도, 이러한 반응은 아산화 질소의 사용과 관련된 플라즈마 가열 효과로 인해서 반응 챔버 온도를 상승시킨다. 반응 챔버가 약 100 ℃ 이하에서 유지되는 경우에, 플라즈마 가열 효과는 실질적일 수 있다. 예를 들어서, 언더코팅 증착은 반응 챔버 온도를 약 4 내지 8 ℃ 만큼 상승시킬 수 있다. 이러한 반응 챔버 온도에 대한 영향은 웨이퍼 간 균일성에 실질적으로 영향을 준다. 반응 챔버 온도를 안정화시키고 균일성을 개선하기 위해서, 언더코팅이 증착된 후에 그리고 임의의 기판들이 프로세싱되기 이전에 긴 휴지 시간 (long idle time) (예를 들어, 적어도 약 10 분) 이 사용될 수 있다. 챔버 온도를 안정화시키는 다른 방법은 반응 챔버 내에서 몇 개의 증착 사이클들에 통해서 하나 이상의 "더미 (dummy) 웨이퍼들"을 운영하는 것이다. 더미 웨이퍼들은 최종 디바이스에서 사용되는 것으로 설계되지 않은 상대적으로 저가의 기판들일 수 있다. 이러한 방식들 모두는 생산 프로세스의 속도를 저하시키고 처리량을 감소시킨다.
이러한 긴 휴지 시간들 및/또는 더미 웨이퍼들 사용 이외에, CVD-기반 언더코팅 형성 방법은 통상적으로 USG-형성 반응물들을 제거하기 위해서 챔버를 다수회 플러싱 (flushing) 할 필요가 있다. 이러한 플러싱이 수행되지 않으면, 실란 및 아산화질소가 반응 챔버 내에서 잔류하여서 기판 상에 막을 증착하는데 챔버가 사용되는 때에 바람직하지 않은 반응들을 초래할 수 있다. 이러한 다수의 플러싱 동작들은 요구되는 프로세싱 시간을 증가시키고 처리량을 감소시킨다.
CVD-기반 언더코팅 증착 프로세스와 관련된 다른 문제점은 예를 들어서 PECVD-기반 USG 언더코팅에 의해서 커버되는 구역과 PEALD-증착된 막에 의해서 커버되는 구역 간의 부정합 가능성이 존재한다는 것이다. 예를 들어서, PEALD 반응 챔버는 PECVD-기반 USG 언더코팅으로 코팅될 수 있다. CVD-기반 언더코팅은 반응 챔버의 특정 부분을 커버하지만 챔버의 다른 부분을 부적절하게 커버할 수 있다. 반응 챔버가 이어서 기판 상에 PEALD-기반 막을 증착하는데 사용되는 때에, 일부 PEALD-기반 막이 반응 챔버의 언더코팅 상에 증착될 것이다. PECVD 막들 및 PEALD 막들이 상이한 메카니즘들을 통해서 형성되기 때문에, 2 개의 막들의 커버리지는 상이할 수도 있다. 예를 들어서, PEALD-기반 막은 PECVD-기반 막이 도달하지 않는 반응 챔버의 구역들까지 확장될 수 있다. 이러한 커버리지 부정합은 챔버 내의 박리 (flaking) 에 기여하고 이로써 웨이퍼 간 균일성 및 웨이퍼 내 균일성 모두에 영향을 준다.
또한, PEALD-기반 막 아래에 PECVD-기반 막이 존재하는 것은 이러한 2 개의 막들 간의 조성 및/또는 응력 부정합을 야기할 수 있다. 이러한 부정합은 입자 박리 문제에 기여할 수도 있다. 따라서, 반응 챔버 언더코팅을 증착하기 위한 개선된 방법이 필요하다.
언더코팅은 반응 챔버 내에서 기판들을 프로세싱하기 이전에 반응 챔버의 내부 표면들 상에 형성된 막의 층으로서 규정된다. 언더코팅은 재료가 기판들 상에 증착되는 정상적인 증착 프로세스 동안에 발생하는 막 구축과는 별개이다. 기판들 상의 증착 동안에 발생하는 막 구축과는 대조하여서, 언더코팅은 대체적으로 어떠한 기판들도 반응 챔버 내에서 존재하지 않은 상태에서 증착된다. 또한, 언더코팅은 순수한 챔버 표면들 상에 (예를 들어서, 알루미늄 상에) 또는 챔버 표면들 상의 영구 층 상에 (예를 들어, 알루미늄 플루오라이드 상에) 직접적으로 증착될 수 있는 반면에, 기판들 상의 증착 동안에 증착되는 막은, 이전에 형성된 언더코팅 상에 증착되면, 통상적으로 이러한 표면들과 접촉하지 않는다. 기판은 반응 챔버 내로 삽입되고 이로부터 분리될 수 있으면서 반응 챔버의 일부는 아닌 고체 재료 피스이며, 이 피스 상에 막이 증착되고 이 피스 상에서의 막 증착이 대체적으로 목표된다. 반도체 디바이스 제조의 맥락에서, 반도체 웨이퍼 (그 상에 증착된 막(들)을 가질 수도 있거나 그렇지 않을 수 있음) 는 통상적인 기판이다. 수많은 경우들에서, 기판들은 디스크 형상 (disc-shape) 을 가지며 예를 들어서, 200, 300 또는 450 mm 직경을 갖는다. 기판들은 통상적으로 수많은 회수의 프로세싱을 받아서 반도체 디바이스들이 된다. 그러나, 특정 다른 기판들은 전적으로 기능적인 디바이스들이 되는 것으로 의도되지 않는다. 이러한 기판들은 더미 웨이퍼들로서 지칭될 수 있으며, 이들은 예를 들어서 증착 프로세스를 평가하기 위한 테스트 수단으로서 사용되거나 반응 챔버를 평형화하기 (equilibrating) 위한 희생적 기판들로서 사용될 수 있다. 언더코팅 증착 시에, 기판이 없는 챔버는 언더코팅이 챔버 표면들을 균일하게 코팅할 수 있게 한다.
언더코팅은 예를 들어서 증착 프로세스가 어떠한 기판들도 존재하지 않은 상태에서 상대적으로 작은 회수의 사이클들 동안에 수행되는 특정 테스트 사이클들 동안에 챔버 표면들 상에 증착될 수 있는 층과는 별개이다. 일 차이점은 언더코팅 형성 프로세스는 목표된 최소 두께의 막을 형성하기 위해서 수회 반복된다는 것이다. 이러한 최소 두께는 언더코팅이 목표된 바와 같이 기능할 수 있도록 (예를 들어, 박리 및 입자 형성을 최소화하고 균일성을 최대화하도록) 보장하는데 있어서 중요하다.
언더코팅은 금속 오염 및 입자 박리 (particle flaking) 를 저감시키는 것을 보조하고 반응 챔버의 임피던스를 균형있게 하는 것을 보조함으로써 균일성을 개선할 수 있다. 반응 챔버들 내에 증착된 막들이 언더코팅이 없으면 때로 실질적인 금속 오염 문제들을 갖는다. 수많은 경우들에서, 반응 챔버 자체는 금속 (예를 들어, 알루미늄) 으로 이루어진다. 코팅되지 않은 금속 챔버가 플라즈마에 노출되면, 소량의 금속이 챔버 표면들로부터 분리되어서 기판 막 상에 놓일 수 있다. 이러한 금속 불순물들은 기판 상에 형성된 디바이스들의 성능에 부정적인 영향을 주며 일부 경우들에서는 디바이스 고장으로 이어질 수 있다. 임피던스를 균형있게 하는 것과 관련하여서, 챔버 언더코팅은 가스상 반응들을 통해서 증착되는 CVD-기반 막들을 증착할 시에 특히 유용하다. 반응 챔버가 금속으로 이루어지고 기판들 상에 증착된 막은 때로 유전체 재료이기 때문에, 반응 챔버 임피던스는 유전체가 증착되는 때에 변한다. 이로써, 언더코팅을 제공함으로써, 챔버 임피던스는 임의의 기판들이 프로세싱되기 이전에 상대적으로 안정화 상태로 되어서 프로세싱 균일성을 최대화시킬 수 있다.
챔버 언더코팅을 증착하기 위한 특정 방법들은 실란 및 아산화질소를 사용하는 PECVD 방법을 사용하는 것을 수반한다. 이러한 방법은 아산화질소 사용과 관련된 플라즈마 가열 효과로 인해서 반응 챔버 온도를 약 4 내지 8 ℃ 만큼 상승시킬 수 있다. 이러한 가열 효과는 약 100 ℃ 이하에서 수행되는 막 증착 방법들에 있어서 특히 문제가 될 수 있다. 이러한 낮은 증착 온도들에서, 플라즈마 가열 효과는 보다 현저하다. 기판들을 균일한 방식으로 그리고 균일한 결과들을 갖게 프로세싱하기 위해서, CVD-기반 언더코팅 방법들은 통상적으로 언더코팅을 증착하는 것과 새롭게 코팅된 반응 챔버 내에서 기판 상에 막을 증착하는 것 간의 상당히 긴 다운타임 (예를 들어, 적어도 약 10 분) 및/또는 챔버 온도를 안정화시키기 위한 더미 증착 웨이퍼들의 사용을 요구한다. 또한, 다회의 비용이 드는 플러싱이 수행되어서 기판이 프로세싱되기 이전에 실란 및 아산화질소 화학물질을 모두 반응 챔버로부터 제거할 필요가 있다. 그렇지 않으면, 이러한 반응물들이 챔버 내에서 원치않은 반응들을 초래하며 이는 막 불균일성을 초래할 수 있다. 이러한 다운타임/더미 웨이퍼들/플러싱들은 모두 상대적으로 긴 프로세싱 시간들 및 감소된 처리량에 기여한다.
CVD-기반 언더코팅이 ALD 반응 챔버에서 사용되는 경우에, 추가 문제점들이 발생할 수도 있다. 예를 들어서, CVD-기반 언더코팅과 챔버가 기판들 상에 막을 증착하는데 사용되는 때에 이 언더코팅 상에 증착되는 ALD-기반 막 간의 커버리지 부정합이 존재할 수 있다. CVD-기반 언더코팅과 이 언더코팅 상의 ALD-기반 막 간에 조성 및/또는 응력 레벨에서의 부정합이 존재할 수도 있다. 이러한 부정합들은 막 구축의 상대적으로 낮은 레벨에서 입자 형성/박리를 촉진할 수 있다. 공교롭게도, 이는 반응 챔버가 매우 자주 세정되어야 함을 의미한다. 세정 시간 동안에, 반응 챔버는 기판들 상에 막을 증착하기 위해서 가용되지 않게 된다. 본 실시예들의 일 양태는 우수한 입자 형성/박리 성능을 보이는 언더코팅을 제공하는 것이다. 박리 문제를 저감시킴으로써, 반응 챔버는 자주 세정될 필요가 없으며 보다 많은 기판들이 후속하는 반응 챔버 세정 동작들 간에 처리될 수 있으며 처리량이 최대화될 수 있다.
도 1은 반응 챔버 내에서 기판들을 프로세싱하는 방법에 대한 흐름도를 제공한다. 도 1에 도시된 방법은 PECVD-기반 언더코팅이 증착되는 프로세스에 대응한다. 통상적인 프로세싱 방식에서, 반응 챔버는 동작 101의 시작 시에 "클린 (clean)" 상태에 있다. 이는 언더코팅 또는 다른 챔버 표면들 상에 존재하는 비영구적 증착된 막이 존재하지 않음을 의미한다. 그러나, 이 시점에서 반응 챔버 상에 영구 재료 (예를 들어, 알루미늄 플루오라이드) 층이 존재할 수도 있다. 알루미늄 플루오라이드 층은 예를 들어서 원격에서 생성된 불소 플라즈마를 반응 챔버로 도입함으로써 이전 동작에서 형성될 수도 있다. 반응 챔버의 알루미늄 표면들은 불소 플라즈마와 반응하여서 알루미늄 플루오라이드 층을 형성한다. 이러한 알루미늄 플루오라이드 층은 유효적으로 영구적이며 대체적으로 나중의 세정 동작들에서 제거되지 않는다. 알루미늄 플루오라이드와 같은 영구 재료 층을 갖는 반응 챔버는 "클린" 챔버로서 간주된다.
동작 101의 언더코팅 증착 프로세스 동안에, 실란 및 아산화질소는 동시에 반응 챔버 내로 유동된다. 플라즈마가 반응 챔버 내에서 점화되어서, 실란과 아산화질소 간의 가스상 반응을 구동하여서 USG (undoped silicate glass) 을 형성한다. 일부 경우들에서, 플라즈마는 RF 플라즈마 생성기에 의해서 생성되며 이 생성기를 구동하는데 사용되는 RF 전력은 약 1800 W 차수에 있다 (이 전력은 4 개의 스테이션들로 분할되며, 300 mm 직경 기판들 상에서 증착함). 반응 챔버 표면들은 USG 언더코팅 막으로 플레이팅될 수 있다. 코팅될 표면들의 실례는 챔버 벽들/천장부/바닥부, 페데스탈, 기판 캐리어 링, 샤워헤드, 배기 시스템, 유체 라인, 펌프, 스핀들, 웨이퍼 전달 암, 필러 플레이트들, 보조 퍼지 칼라들 (collars), 등을 포함한다. CVD-기반 언더코팅 증착 동안에 균일한 언더코팅 층이 놓이지 않는 특정 표면들이 존재할 수도 있다. 예를 들어서, 금속성이 아닌 표면들은 특히 부적절한 또는 이와 달리 불균일한 커버리지를 포함할 가능성이 있다. 일부 경우들에서, 이러한 비-금속성 부분들은 기판 캐리어 링 및 이와 연관된 하드웨어, 예를 들어 리프트 암/리프트 핀을 포함한다. 이러한 비-금속성 부분들은 때로 금속성 부분들이 박리되기 이전에 박리되는 경험을 한다. 이러한 상대적으로 조기의 박리는 CVD-증착된 언더코팅과 기판들 상의 증착 동안에 이 언더코팅 위에 증착된 막 간의 커버리지, 조성 및/또는 응력 부정합들로 인한 것이다. 캐리어 링 및 다른 컴포넌트들을 구성하는 비금속성 재료의 실례는 세라믹 재료를 포함한다.
동작 101 동안에, 반응 챔버 온도는 특히 챔버가 상대적으로 낮은 온도들 (예를 들어, 100 ℃ 아래의 온도들) 로 유지되는 경우에 상승할 가능성이 있다. 이러한 변동하는 온도는 웨이퍼 간 불균일성에 대한 해로운 영향을 주는데, 그 이유는 후속 기판들이 챔버 온도가 평형화되면서 상이한 온도들에서 프로세싱될 것이기 때문이다.
불균일성 문제를 저감하기 위해서, 방법 (100) 은 동작 103에서 계속되며, 이 동작에서 반응 챔버 온도는, 임의의 고가의 기판들을 프로세싱하기 이전에, 목표된 안정된 레벨로 변화되게 된다. 이러한 대기 시간 동안에, 반응 챔버는 휴지 상태로 존재할 수 있다. 이와 달리 또는 추가적으로, 반응 챔버는 일련의 더미 웨이퍼들 상에 막을 증착하는데 사용될 수 있으며, 이 더미 웨이퍼들은 최종 제품에서는 사용되는 것이 요망되지 않은 상대적으로 저가의 기판들이다.
동작 105에서, 반응 챔버는 수회 퍼지/플러싱되어서 임의의 원치않는 반응물들을 제거한다. 수많은 경우들에서, PECVD-기반 언더코팅을 증착하는데 사용된 반응물 중 하나 이상은 기판들 상에 막들을 증착하는데 사용되는 반응물들과 양립하지 않는다. 이러한 화학물질 부정합은 언더코팅은 CVD 방법을 통해서 증착되고 반응 챔버는 기판들 상에 ALD 또는 CFD 막들을 증착하는데 사용되는 경우에 특히 가능성이 있다. 이러한 부정합은 기판들 상에서의 막 증착 동안에 원치않는 반응들을 초래할 수 있다. 이러한 원치않은 반응들을 피하기 위해서, 반응 챔버는 수회 퍼지되어서 임의의 원치않는 반응물들을 제거한다. 이 퍼지는 챔버로부터 제거될 종들을 포함하지 않는 가스를 유동시키는 것을 포함할 수 있다. 이와 달리 또는 추가적으로, 퍼지는 반응 챔버를 배기시키는 것을 포함할 수 있다. 동작 105은 동작 103 이전에, 동안에 또는 이후에 발생할 수 있다.
동작 106에서, 기판들 상에 막들을 증착하는데 사용되는 반응물들이 챔버 표면들을 사전코팅하도록 반응 챔버 내로 사전유동된다. 이는 통상적으로 임의의 기판들이 반응 챔버 내에 존재하기 이전에 수행된다. 이러한 사전코팅은 가스 전달 하드웨어 (예를 들어, 액체 화학적 주입기들, 가스 전달 라인들 등) 및 이 장치의 다른 부분들을 증착 동안에 사용된 반응물에 노출시킴으로써 이들을 사용 준비시키는 것을 돕는다.
이어서, 동작 107에서, 일련의 기판들이 반응 챔버 내에서 프로세싱된다. 특정 경우들에서, 재료가 기판들 상에 ALD 또는 CFD 방법을 통해서 증착된다. 막이기판들 상에 증착되는 때에, 막이 또한 반응 챔버의 내측 표면 상에 증착된다. 이 막은 다수의 기판들을 프로세싱하는 과정 동안에 구축되어서 결국에는 박리되거나 벗겨진다. 방법 (100) 에 의해서 약 1 ㎛ 까지 증착된 USG 언더코팅의 일 실례에서, 약 250 개의 기판들이 챔버 총 퇴적 한계치에 도달하기 이전에 프로세싱될 수 있다. 이러한 한계치는 막 박리의 임의의 조짐 바로 이전의 시점에서 챔버 표면들 상에 증착된 (언더코팅을 포함하는) 막의 양에 대응한다 (그러나 이 양을 정확하게 표현하지는 않는다). 챔버 총 퇴적은 챔버 표면들 상의 막의 양의 직접적인 측정치는 아닐 수도 있다. 이보다는, 일부 실시예들에서, 퇴적 카운터가 사이클마다의 증착의 예상된 두께에 기초하여서 퇴적양을 추적한다. 챔버 총 퇴적 한계치는 프로세스의 성능에 기초하여서 특정 시스템에 대해서 선정될 수 있다. 예를 들어서, 챔버 총 퇴적 한계치는 챔버가 박리를 경험하기 시작하는 시점에서의 퇴적 카운터 값 바로 아래의 값으로 설정될 수 있다.
이어서, 기판들이 프로세싱된 후에, 반응 챔버는 동작 109에서 인시츄 세정 프로세스를 경험하여서 증착된 막 및 언더코팅을 제거한다. 이러한 세정 프로세스는 통상적으로 기판들이 반응 챔버 내에 존재하지 않는 때에 착수된다. 수많은 경우들에서, 챔버 세정 프로세스는 가스 상 종들, 예를 들어, 챔버로부터 퍼지될 수 있는 휘발성 산물을 형성하도록 증착된 막과 반응하는 불소 함유 화합물을 도입하는 것을 수반할 수 있다. 이어서, 이 프로세싱 방식은 새롭게 세정된 챔버 상에서 반복될 수 있다.
개시된 실시예들은 ALD-기반 언더코팅을 사용할 수 있다. 특정 실시예들에서, 언더코팅은 반응 챔버 내에서 기판들 상에 증착된 재료와 동일한 재료로 이루어질 수 있다. 예시적인 막 타입들은 도핑된 또는 도핑되지 않은 산화물들, 질화물들, 탄화물들, 옥시질화물들, 카보나이트라이드들 (carbonitrides), 보라이드들 (borides), 귀금속들, 및 하이-k 재료들을 포함하며, 이러한 하이-k 재료들은 예를 들어서, 란타나이드 (lanthanide)-산화물들, 4족 금속 산화물들, 및 5족 금속 산화물들을 포함한다. 산화물들은 도핑되지 않은 USG, 및 도핑된 실리케이트 유리를 포함하는 광범위한 재료들을 포함한다. 도핑된 유리들의 실례들은 붕소 도핑된 실리케이트 유리 (BSG), 인 도핑된 실리케이트 유리 (PSG), 및 붕소 인 도핑된 실리케이트 유리 (BPSG) 를 포함한다. 특정 실시예들에서, 언더코팅은 실리콘 산화물, 실리콘 질화물 또는 실리콘 탄화물이다. 실리콘 산화물 언더코팅은 반응 챔버가 기판들 상에 실리콘 산화물 막들을 증착할 시에 사용되는 경우에 특히 유용하다. 그러나, 실리콘 산화물 언더코팅은 또한 역시 기판들 상에 다른 타입들의 막들을 증착하는데 챔버가 사용될 시에도 사용될 수 있다. 특정 실시예들에서, 언더코팅은 관련 반응 챔버 내에서 기판들 상에 막들을 증착하는데 사용되는 것들과 동일한 동일한 프로세스 및 프로세스 조건들에 의해서 형성된다. 이러한 중첩하는 프로세스 조건들은 특정 반응물, 타이밍, RF 전력/주파수, 온도 및/또는 압력을 포함할 수 있다.
도 2는 특정 개시된 실시예들에 따른 반응 챔버 내에서 기판들을 프로세싱하는 방법의 흐름도이다. 도 2에 도시된 방법은 언더코팅이 CVD 방법 대신에 ALD 방법을 통해서 형성된다는 점에서 도 1에 도시된 방법과 상이하다. 이는 다수의 프로세싱 동작들의 회피를 낳는다. 프로세스 (200) 는 동작 (201) 에서 시작되며, 여기서 ALD-기반 언더코팅이 증착된다. 도 1에서와 같이, 반응 챔버는 통상적으로 동작 201의 시작 시에 클린 상태에 있다. 증착 프로세스 (201) 는 제 1 반응물을 챔버에 도입하고 이 반응물이 챔버 표면들 상으로 흡착되게 하며 제 1 반응물이 챔버 표면들 상으로 흡착되는 동안에 제 2 반응물을 챔버로 도입하며 챔버를 플라즈마에 노출시켜 제 1 반응물과 제 2 반응물 간의 표면 반응을 구동시키는 것을 주기적으로 (cyclically) 하는 것을 수반한다. 언더코팅이 CFD 방법들을 통해서 증착되는 특정 실시예들에서, 반응물들 중 하나는 연속적으로 전달될 수 있다. 언더코팅 증착 (201) 은 또한 하나 이상의 퍼지 동작들을, 일 또는 양 반응물들의 도입 이후에 및/또는 플라즈마 노출 이후에, 수반할 수도 있다. 이러한 언더코팅 증착 프로세스 (201) 는 도 3 및 도 4를 참조하여서 더 기술된다. 언더코팅 증착 프로세스 (201) 를 구현하기 위한 예시적인 프로세스 조건들은 이하에서 더 기술될 것이지만, 실시예들은 이러한 특정 조건들로 한정되지 않는다.
ALD-기반 언더코팅이 사용되는 경우에, 도 1과 관련하여서 기술된 프로세싱 단계들 중 몇몇을 단축 또는 제거할 수 있다. 예를 들어서, 동작들 103 (챔버 온도가 떨어지도록 대기하는 동작), 105 (반응 챔버를 퍼지하여서 원치 않은 반응물을 제거하는 동작) 및 106 (반응물을 사전유동시켜서 챔버를 사전코팅하는 동작) 이 일부 실시예들에서 제거될 수 있다. 다른 실시예들에서, 이러한 동작들 중 하나 이상은 발생할 수 있다. 이러한 경우들에서, 열거된 동작들 중 하나 이상은 통상적인 세팅에서보다 신속하게 수행될 수 있다. 예를 들어서, CVD-기반 언더코팅 증착 프로세스는 약 4 내지 8 ℃ 만큼 반응 챔버 온도를 상승시킬 수 있는 한편, ALD-기반 언더코팅 증착 프로세스는 반응 챔버 온도를 보다 낮은 정도로, 예를 들어서 수많은 경우들에서 1 ℃보다 작게 상승시킬 수 있다. 따라서, 반응 챔버 온도가 평형화되도록 하게 하기 위해서 임의의 대기가 요구되면, 이러한 대기 기간은 CVD-기반 언더코팅 증착 프로세스에서 요구된 것보다 실질적으로 짧을 것이다. 일부 실시예들에서, 반응 챔버는 1 회 이상 퍼지될 것이며 반응물들은 동작들 (201 및 207) 간에서 반응 챔버로 사전유동될 수 있다. 이러한 동작들은 특히 상이한 반응물들이 언더코팅을 증착하고 기판들 상에 막을 증착하는데 사용되는 경우에 계속하여서 도움이 될 수 있다. 그러나, 언더코팅을 증착하고 기판들 상에 막을 증착하는데 동일한 반응물들이 사용되는 실시예들에서, 이러한 퍼징 단계 및 사전유동 단계는 제거될 수 있다.
프로세스 (200) 는 동작 207에서 계속되며, 재료가 반응 챔버 내에서 목표된 바와 같이 기판들 상에 증착된다. 특정 실시예들에서, 재료는 ALD 또는 CFD 방법을 통해서 기판들 상에 증착된다. 상술한 바와 같이, 기판들 상에 막들을 증착하는데 사용되는 프로세스 및 프로세스 조건들은 언더코팅을 증착하는데 사용되는 것들과 동일할 수도 있다.
이어서, 챔버 총 퇴적 한계치가 만족되고 박리가 발생하기 시작하거나 발생하기 시작할 가능성이 있으면, 반응 챔버 내에 존재하는 임의의 기판들이 제거되고, 챔버가 세정된다. 세정 프로세스는 기판들 및 언더코팅 상에서의 증착 동안에 증착된 막 퇴적물을 모두 제거한다. 동작 209의 종료 시에, 반응 챔버는 클린 상태에 있고 프로세스 (200) 가 반복될 수 있다.
위의 설명은 수많은 웨이퍼들을 프로세싱하는 상황에서 ALD-기반 언더코팅의 증착에 초점을 두고 있지만, 다른 실시예들이 이러한 프로세스의 보다 한정된 부분들에 관한 것일 수 있다. 예를 들어서, 일부 실시예들은 단일 세정 프로세스 상황에서 ALD-기반 언더코팅 증착에 관한 것이다. 세정 프로세스는 예를 들어서 도 2의 동작 201 에 의해서 따라지는 동작 209 을 포함할 수도 있다. 이러한 실시예들에서, 반응 챔버는 이 프로세스의 아웃셋 (outset) 에서 막 (및 잠재적으로 그러나 반드시 그러한 것은 아니지만 언더코팅) 으로 피복된다. 반응 챔버는 본 명세서의 다른 개소들에서 기술된 세정 방법들에 따라서 세정된다. 세정 프로세스는 챔버 표면들 상에 존재하는 임의의 막 및 언더코팅을 제거한다. 챔버가 세정된 후에, 새로운 언더코팅이 동작 201과 관련하여서 기술된 바와 같은 ALD-기반 방법들을 통해서 증착된다.
다른 실시예는 도 2의 동작 207 에 의해서 따라지는 동작 201을 포함하는, 기판들 상에 막들을 증착하는 방법에 관한 것이다. 이러한 실시예에서, 방법은 클린 상태의 반응 챔버에서 시작된다. 언더코팅은 동작 201을 참조하여서 기술된 바와 같은 ALD-기반 방법들을 통해서 증착된다. 이어서, 기판들이 반응 챔버 내로 로딩되고 동작 207에서 그 상에 막이 증착된다. 이러한 동작은 목표된 바와 같이 기판을 제거하고 추가 기판들을 프로세싱함으로써 계속될 수 있다. 이러한 실시예에서, 반응 챔버의 세정은 수행되지 않을 수 있다.
다른 실시예는 도 2의 동작 201을 참조하여서 기술된 바와 같이 반응 챔버 내에 ALD-기반 언더코팅을 증착하는 방법에 관한 것이다. 이러한 실시예는 반응 챔버 내에서 기판을 배치하지 않고서 실시될 수 있다. 이는 또한 무-웨이퍼 ALD 언더코팅 증착 방법으로서 지칭될 수도 있다. 전술한 바와 같이, 이러한 방법은 도 3 및 도 4을 참조하여서 더 기술된다.
ALD-기반 언더코팅 사용은 몇몇 이유들로 인해서 유리하다. 먼저, ALD-기반 언더코팅은 반응 챔버 온도를 올리지 않고서 증착될 수 있다. 챔버 온도가 상대적으로 일정하게 유지되기 때문에, 웨이퍼 간 균일성이 개선된다. 일부 실시예들에서, 반응 챔버 온도는 약 2 ℃ 이내로, 예를 들어서 약 1 ℃ 이내로, 언더코팅 증착 동안에 상승한다. 언더코팅 증착 프로세스 동안의 온도 상승이 약 1 ℃보다 낮은 경우에, 프로세스는 "등온 (isothermal)" 으로 간주될 수 있다. 온도-안정된 ALD-기반 반응은 챔버 온도를 안정화시키기 위한 긴 휴지 시간들 또는 더미 웨이퍼들에 대한 필요를 제거하거나 단축시킬 수 있다. 또한, ALD-기반 언더코팅 및 기판 상에 증착된 ALD-기반 막은 모두가 동일한 화학물질 세트를 사용하여서 형성될 수도 있다. 이는 기판들 상에 막들을 증착하기 이전에 반응 챔버를 다수회 플러싱할 필요는 없게 할 수 있다.
ALD-기반 언더코팅들을 사용하는 것에 대한 다른 이점은 CVD-기반 언더코팅들보다 양호한 박리/입자 성능을 달성할 수 있다는 것이다. 아래의 실험 부분이 CVD-기반 언더코팅 또는 ALD-기반 언더코팅을 갖는 반응 챔버들에서의 입자 성능에 관한 데이터를 제공한다. 실험상의 발견사항들을 간략하게 요약하면, 2000 Å 두께의 ALD-기반 실리콘 산화물 언더코팅은 챔버가, 2 ㎛ 두께의 CVD-기반 USG 언더코팅을 갖는 챔버보다 약 3 배 정도로 많은 기판들을 처리하게 한다. 처리된 기판들의 수에 대한 상한치는 반응 챔버 내에서의 박리/입자 형성 (즉, 챔버 총 퇴적 한계치) 에 의해서 결정되었다. ALD-기반 언더코팅은 박리가 발생하기 이전에 보다 높은 챔버 총 퇴적 한계치에 도달하였다. 보다 높은 총 퇴적 한계치는, 챔버 세정 동작들 간에서 처리될 수 있는 기판들의 개수에서의 대응하는 증가와 함께, 처리량 증가에 기여한다. 챔버 세정 프로세스는 완료되는데 때로 약 20 내지 90 분을 필요로 하며 이 기간 동안에 반응 챔버는 기판들을 프로세싱하는데 사용될 수 없다. 보다 높은 온도에서 수행되는 세정 동작들은 보다 낮은 온도에서 수행되는 세정 동작보다 신속하게 수행될 수 있다. 또한, 보다 두꺼운 막 퇴적물은 보다 긴 세정 시간들을 요구한다. 50 ℃ 세정 동작은 2 ㎛ 재료를 제거하는데 약 40 분이 걸린 반면에, 동일한 세정 동작은 4 ㎛ 재료를 제거하는데 약 90 분이 걸렸다. 400 ℃와 같은 보다 높은 온도에서, 4 ㎛ 재료 층은 약 20 분에 걸쳐서 세정/제거될 수 있다. 세정 프로세스들과 관련된 필요한 다운타임을 최소화하기 위해서 챔버 세정 동작들 간에서 프로세싱될 수 있는 기판들의 개수를 최대화하는 것이 유리하다.
ALD-기반 언더코팅의 관련 이점은 CVD-기반 언더코팅에서 요구되는 두께에 비해서 매우 보다 작은 언더코팅 두께에서 적절한 박리/입자 성능을 달성하는 것이다. 보다 얇은 언더코팅은 보다 적은 재료를 요구하고, 추가 기판들이 챔버 총 퇴적 한계치에 도달하기 이전에 처리될 수 있어서 이는 처리량에 긍정적인 영향을 주기 때문에 바람직할 수 있다.
이론에 매이지 않고서, 개선된 입자 성능은 언더코팅과 기판들 상의 증착 동안에 이 언더코팅 상에 증착된 막 간의 유사성의 증가로 인한 것이라고 사료된다. 증가된 유사성은 막들의 커버리지, 막들의 조성, 막들의 응력 등과 관련될 수 있다. 상이한 타입들의 막들 간에 계면이 존재하는 경우에 박리가 발생할 가능성이 높으며 막들 간의 차이 정도가 높으면 박리가 발생할 가능성이 증가된다고 사료된다. 언더코팅과 이 언더코팅 상에 증착된 막 간의 계면에서의 막들의 유사성을 증가시킴으로써, 입자 형성이 최소화될 수 있다.
ALD-기반 언더코팅들에 대한 일 단점은 증착 시간이 상대적으로 길게 걸린다는 것이다. 예를 들어서, l 개의 CVD-기반 언더코팅은 약 3 분의 증착 시간을 필요로 하지만, ALD-기반 언더코팅은 약 15 분의 증착 시간을 필요로 할 수 있다. 이러한 증가된 증착 시간은 ALD 증착 프로세스의 반복적 특성 및 신중한 반응물 관리로부터 기인될 수 있다. CVD-기반 언더코팅은 예를 들어서, 실란 및 아산화질소를 플라즈마 존재 시에 기판에 전달함으로써 단일 단계로 형성되는 반면에, ALD-기반 증착은 보다 복잡하다. ALD-기반 언더코팅들은 증착 시간이 보다 길게 걸리기 때문에, 이러한 언더코팅들은 프로세싱 시간 증가를 초래하며 처리량 감소를 초래한다고 사료된다.
그러나, ALD-기반 언더코팅들은 보다 양호한 박리/입자 형성 성능을 보여서 후속하는 챔버 세정 동작들 간에서 처리될 수 있는 기판들의 수를 유리하게 증가시킬 수 있다는 것이 예상치 않게 발견되었다. 또한, ALD-기반 언더코팅들이 CVD-기반 언더코팅들에서 이전에 사용된 것보다 매우 얇은 언더코팅 두께에서 적절하게 수행된다는 것은 알려지지 않았다. CVD-기반 언더코팅들은 (저속으로 축적되는 반복적 ALD 프로세스들을 통해서 매우 긴 증착 시간을 필요로 할 것인) 적절한 성능을 위해서 약 1 내지 2 ㎛ 두께를 요구하지만, 이러한 상대적으로 큰 두께는 ALD-기반 언더코팅을 적절하게 수행하기 위해서는 요구되지 않는다. 대신에, 적절한 ALD-기반 언더코팅 성능은 약 0.1 내지 0.2 ㎛의 언더코팅 두께에서 달성될 수 있다. 이러한 두께는 CVD-기반 언더코팅들에서 요구되는 두께보다 약 10 배 정도 작다. ALD-기반 언더코팅 성능과 CVD-기반 언더코팅 성능 간의 비교가 이하의 실험 부분에서 도시된다. ALD-기반 언더코팅들은 생산을 느리게 하며 처리량을 감소시킬 것으로 예상되지만, ALD-기반 언더코팅 증착 프로세스의 사용으로부터 유발되는 예상치 않은 장점들은 증가된 언더코팅 증착 시간의 단점보다 더 커서, 전체적인 측면에서 처리량을 증가시킨다.
도 3은 클린 상태의 반응 챔버 내에서 ALD-기반 언더코팅을 증착하는 방법의 흐름도를 제공한다. 상술한 바와 같이, 클린 상태의 반응 챔버는 내측 표면 상에 알루미늄 플루오라이드 또는 다른 재료의 영구 층을 가질 수도 있다. 방법 (300) 은 동작 301에서 시작되며, 여기서 제 1 반응물이 반응 챔버 내로 도입된다. 반응 챔버는 언더코팅이 증착되는 동안에 기판을 수용하지 않는다. 제 1 반응물은 대체적으로 동작 301 동안에 반응 챔버의 표면들 상으로 흡착될 것이며 이로써 반응물 재료 층을 형성한다. 이러한 층은 반드시 그러한 것은 아니지만 대체적으로 반응물 재료의 모노레이어이다. 이어서, 제 2 반응물 동작 303에서, 제 1 반응물이 챔버 표면들 상으로 흡착되는 동안에, 반응 챔버 내로 도입될 수 있다. 이러한 제 2 반응물도 또한 반응 챔버의 표면들 상으로 흡착되어서 제 2 반응물의 모노레이어를 형성한다. 동작 305에서, 반응 챔버가 플라즈마에 노출되어서 제 1 반응물과 제 2 반응물 간의 표면 반응을 구동시킨다. 이는 반응 챔버의 내측 표면들 상에 언더코팅 층을 형성한다. 언더코팅은 그 아래의 알루미늄 챔버 부분들과 직접적으로 접촉하거나, 그 아래의 알루미늄 플루오라이드 층과 직접적으로 접촉할 수 있다. 일부 실시예들에서, 하나 이상의 퍼지 동작들이 동작들 301, 303 및/또는 305 후에 발생할 수 있다. 퍼지는 퍼지되는 종들이 없는 가스로 반응 챔버를 스위핑하는 것을 포함할 수 있다. 이와 달리 또는 추가적으로, 퍼지는 반응 챔버를 배기하고 저압 (예를 들어, 약 1 Torr 보다 낮음) 으로의 펌프 다운을 수행하는 것을 포함할 수도 있다.
도 2 및 도 3이 제 1 반응물 및 제 2 반응물을 참조하지만, 일부 실시예들에서, 단일 반응물이 반복적으로 도입, 흡착 및 분해되어서 ALD-기반 언더코팅을 형성할 수 있다는 것도 이해되어야 한다. 또한, 일부 실시예들에서, 하나 이상의 추가 반응물들 (예를 들어, 제 3 반응물) 이 도입되어서 예를 들어서 3중 막들을 형성할 수도 있다.
도 4는 ALD-기반 실리콘 산화물 언더코팅을 증착하는 예시적인 방법의 흐름도를 제공한다. 방법 400은 동작 401에 시작되며, 여기서 실리콘-함유 반응물이 반응 챔버 내로 도입된다. 일 실례에서, 실리콘-함유 반응물은 BTBAS (bis(tertiarybutylamino)silane, SiH2(NHC(CH3)3)2)이다. 이 실리콘-함유 반응물은 약 1.5-2.5 mL/min 레이트로, 예를 들어서 약 1 mL/min 레이트로 제공될 수 있다. 실리콘-함유 반응물은 약 0.1-0.5 초, 예를 들어서 약 0.2 초의 기간에 걸쳐서 제공될 수도 있다. 실리콘-함유 반응물은 반응 챔버의 표면들 상으로 흡착된다. 반응 챔버 온도, 예를 들어서 기판 홀더의 온도는 약 25-450 ℃에서 유지될 수 있다. 이어서, 실리콘-함유 반응물의 플로우가 정지되고 반응 챔버는 동작 402에서 퍼지된다. 이 퍼지는 반응 챔버 표면들에 강하게 흡착되지 않은 임의의 잔류 실리콘-함유 반응물을 제거한다. 이 퍼지는 반응 챔버 내로 비반응성 가스를 유동시킴으로써 및/또는 반응 챔버를 배기함으로써 발생할 수 있다. 일부 실시예들에서, 반응 챔버는 약 0.1-0.5 초, 예를 들어서 약 0.2 초의 기간에 걸쳐서 퍼지될 수 있다. 이어서, 산화 반응물이 반응 챔버에 동작 403에서 제공된다. 특정 실례에서, 산화 반응물은 (SLM (standard liters per minute) 으로 측정된 바와 같은) 균등하거나 실질적으로 균등한 플로우의 O2/N2O 의 혼합물이다. 일부 구현예들에서, 산화 반응물은 SLM으로 측정된 시에 약 60 % 또는 그보다 작은 N2O이거나, 또는 약 50% 또는 이보다 작은 N2O이다. 산화 반응물의 플로우 레이트는 총 약 10-40 SLM 이다. O2 N2O 의 플로우 레이트들은 독립적으로 약 5-20 SLM, 예를 들어서 약 10 SLM일 수 있다. 산화 반응물은 반응 챔버로 약 0.05-0.75 초, 예를 들어서 약 0.3 초의 기간에 걸쳐서 제공될 수 있다. 이어서, 챔버가 플라즈마에 노출되어서 실리콘-함유 반응물과 산화 반응물 간의 반응을 동작 405에서 구동시킨다. 일부 실시예들에서, 플라즈마는 RF 플라즈마 생성기에 의해서 생성된다. RF 생성기는 약 100-2500 W/스테이션, 예를 들어서 약 125 W/스테이션을 제공하여서 플라즈마를 생성한다. 일부 실시예들에서, 동작들 403 및 405 은 동시에 또는 부분적으로 동시에 발생한다. 플라즈마 노출 기간은 약 0.05-0.75 초, 예를 들어서 약 0.3 초일 수 있다. 이어서, 반응 챔버가 플라즈마가 소멸된 후에 퍼지될 수 있다 (미도시). 퍼지는 약 0.05-0.5 초, 예를 들어서 약 0.15 초의 기간에 걸쳐서 발생할 수 있다. 제공된 플로우 레이트들, 시간들 및 반응물들은 단지 실례이며 한정적으로 해석되지 말아야 한다.
산화 반응물 또는 다른 공-반응물의 플로우는 연속적이거나 펄싱될 수 있다. 플로우가 연속적인 일부 경우들에서, 플로우는 반응 챔버로부터 주기적으로 전환될 수 있다. 이러한 경우에, 산화 반응물이 연속적으로 유동할지라도, 반응 챔버 내로의 그의 플로우는 펄싱된다. 특정 실시예들에서, 연속하는 산화제 플로우가 사용되지만, 산화제 플로우는 플라즈마 노출을 발생시킬 수 있는, 산화제 전달 펄스 동안에만 반응 챔버 내로 도입될 수 있다. 다른 시간들 동안에, 산화제 플로우는 프로세싱 장치의 다른 부분으로 전환될 수 있다.
ALD-기반 증착 방법 및 CFD-기반 증착 방법은 2011년 4월 11일에 출원된 미국 특허 출원 번호 13/084,399 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"에 더 기술되며, 이 문헌은 그 전체가 본 명세서에서 참조로서 인용된다. 이 399 출원은 기판들 상에 막들을 증착하는 것에 초점을 두지만, 그 교시사항들은 챔버 내에 기판이 존재하지 않는 때에 반응 챔버 상에 언더코팅을 증착하는 것에도 적용될 수 있다.
반응물들
개시된 실시예들은 다양한 종류들의 언더코팅들 및 막들을 형성하도록 다양한 반응물들과 함께 사용될 수 있다. 특정 구현예들이 산소 및 아산화질소와 함께 BTBAS의 맥락에서 기술되지만, 실시예들은 이로 한정되는 것은 아니다. 임의의 적합한 실리콘-함유 반응물 및 산화제가 실리콘 산화물 언더코팅들 및 막들을 증착하기 위해서 사용될 수 있다. 마찬가지로, 실리콘 질화물 언더코팅들 및 막들의 증착을 위해서, 임의의 적합한 실리콘-함유 반응물 및 질소-함유 반응물이 사용될 수도 있다. 또한, 금속 산화물 또는 금속 질화물 언더코팅들 및 막들의 증착을 위해서, 임의의 적합한 금속-함유 반응물들 및 공-반응물들이 사용될 수 있다. 본 명세서에서의 기법들은 광범위한 다양한 막 화학물질들을 구현할 시에 유리하다.
일부 실시예들에서, 실리콘-함유 반응물이 사용될 수 있다. 실리콘-함유 반응물은 예를 들어서, 실란 (silane), 할로실란 (halosilane) 또는 아미노실란 (aminosilane) 을 포함할 수 있다.  실란은 수소 및/또는 탄소 그룹들을 포함할 수 있지만 할로겐을 포함하지 않는다. 실란들의 실례들은 실란 (SiH4), 디실란 (Si2H6), 및 유기 실란들, 예를 들어 메틸실란, 에틸실란, 이소프로필실란 (isopropylsilane), t-부틸실란 (t-butylsilane), 디메틸실란 (dimethylsilane), 디에틸실란 (diethylsilane), 디-t-부틸실란 (di-t-butylsilane), 알릴실란 (allylsilane), sec-부틸실란 (sec-butylsilane), 티헥실실란 (thexylsilane), 이소아밀실란 (isoamylsilane), t-부틸디실란 (t-butyldisilane), 디-t-부틸디실란 (di-t-butyldisilane), 및 등을 포함한다. 할로실란은 적어도 하나의 할로겐 그룹을 포함하며 수소 및/또는 탄소 그룹들을 포함하거나 포함하지 않을 수 있다. 할로실란들의 실례들은 요오드실란들 (iodosilanes), 브로모실란들 (bromosilanes), 클로로실란들 (chlorosilanes) 및 플루오로실란들 (fluorosilanes) 이다. 할로실란들, 특히 플루오로 실란들은 실리콘 재료들을 에칭할 수 있는 반응성 할라이드 종들을 형성하지만, 본 명세서에서 기술된 특정 실시예들에서, 실리콘-함유 반응물은 플라즈마가 점화된 때에 존재하지 않는다. 특정 클로로실란들은 테트라클로로실란 (tetrachlorosilane) (SiCl4), 트리클로로실란 (trichlorosilane) (HSiCl3), 디클로로실란 (dichlorosilane) (H2SiCl2), 모노클로로실란 (monochlorosilane) (ClSiH3), 클로로알릴실란 (chloroallylsilane), 클로로메틸실란 (chloromethylsilane), 디클로메틸실란 (dichloromethylsilane), 클로로디메틸실란 (chlorodimethylsilane), 클로로에틸실란 (chloroethylsilane), t-부틸클로로실란 (t-butylchlorosilane), 디-t-부틸클로로실란 (di-t-butylchlorosilane), 클로로아이소프로필실란 (chloroisopropylsilane), 클로로-sec-부틸실란 (chloro-sec-butylsilane), t-부틸디메틸클로로실란 (t-butyldimethylchlorosilane), 티헥실디메틸클로로실란 (thexyldimethylchlorosilane) 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 수소들, 산소들, 할로겐들 및 탄소들을 또한 포함할 수도 있다. 아미노실란들의 실례는 모노-, 디-, 트리- 및 테트라-아미노실란 (각기 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 및 치환된 모노-, 디-, 트리- 및 테트라-아미노실란들, 예를 들어서, t-부틸아미노실란, 메틸아미노실란 (methylaminosilane), tert-부틸실란아민, BTBAS (SiH2(NHC(CH3)3)2 , tert-부틸 실릴카바메이트 (silylcarbamate), SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 다른 예는 트리실릴아민 (N(SiH3)3) 이다.
다른 경우들에서, 증착된 막은 금속을 함유한다. 형성될 수도 있는 금속-함유 막들의 예들은 알루미늄, 티타늄, 하프늄, 탄탈, 텅스텐, 망간, 마그네슘, 스트론튬, 등의 산화물들 및 질화물들, 및 원소적 금속 막들을 포함한다. 예시적인 프리커서들은 금속 알킬아민들, 금속 알콕시드들, 금속 알킬아미드들, 금속 할라이드들, 금속 ß-디케토네이트들, 금속 카르보닐들, 유기금속들, 등을 포함할 수도 있다. 적절한 금속-함유 프리커서들은 막에 통합되도록 목표된 금속을 포함할 것이다. 예를 들어, 탄탈-함유 층은 암모니아 또는 다른 환원제와 펜타키스(디메틸아미도)탄탈을 반응시킴으로써 증착될 수도 있다. 채택될 수도 있는 금속-함유 프리커서들의 다른 예들은 트리메틸알루미늄, 테트라에톡시티타늄, 테트라키스-디메틸-아미도 티타늄, 하프늄 테트라키스(에틸메틸아미드), 비스(시클로펜타디에닐)망간, 비스(n-프로필시클로펜타디에닐)마그네슘, 등을 포함한다.
일부 실시예들에서, 증착된 막은 질소를 함유하고, 질소-함유 반응물이 사용된다. 질소-함유 반응물은 적어도 하나의 질소, 예를 들어, 암모니아, 히드라진, 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 시클로프로필아민, 이차-부틸아민, 시클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸히드라진과 같은 아민들 (예를 들어, 탄소 보유 아민들 (amines bearing carbon)), 및 아닐린들, 피리딘들, 및 벤질아민들과 같은 방향족 함유 아민들을 함유한다. 아민들은 1기, 2기, 3기 또는 4기 (예를 들어, 테트라알킬암모늄 화합물들) 일 수도 있다. 질소-함유 반응물은 질소 이외의 헤테로 원자들을 함유할 수 있고, 예를 들어, 히드록실아민, t-부틸옥시카르보닐 아민 및 N-t-부틸 히드록실아민은 질소-함유 반응물들이다.
특정 구현예들에서, 산소-함유 반응물이 사용된다. 산소-함유 반응물들의 실례는 산소, 오존, 아산화질소, 나이트릭 (nitric) 산화물, 질소 이산화물, 탄소 모녹사이드 (carbon monoxide), 탄소 이산화물, 황 산화물, 황 이산화물, 산소-함유 하이드로카본들 (CxHyOz), 물 (water), 이들의 혼합물 등을 포함한다.
타이밍
도 3 및 도 4 및 그들의 대응하는 설명들은 ALD-기반 방법들을 통해 언더코팅을 증착하기 위한 일반적인 개략을 개시한다. 일부 경우들에서, 반응물들 중 하나가 (예를 들어, 다른 반응물들의 전달 동안에도 및/또는 플라즈마 노출 동안에) 연속적으로 전달될 수 있다. 연속적으로 유동하는 반응물은 캐리어 가스, 예를 들어서 아르곤, 헬륨 등과 함께 반응 챔버로 전달될 수 있다. 통상적으로 산화물 또는 질화물 언더코팅이 증착되는 실시예에서, 연속적으로 유동하는 반응물은 산소- 또는 질소-함유 반응물일 것이다. 반응물이 연속적으로 전달되는 경우에, 증착은 컨포멀 막 증착 (CFD) 방법으로 지칭될 수 있다. 이러한 CFD 방법들은 본 실시예들의 범위 내에 있다. 다른 경우들에서, 모든 반응물들은 펄스들로 전달될 수 있다. 이러한 경우에, 오직 단일 반응물만이 소정의 시간에 반응 챔버에 전달되도록 반응물 펄스들이 교번하는 방식으로 반응 챔버에 전달될 수 있다.
상술한 바와 같이, ALD-기반 언더코팅의 사용은 반응 챔버가 언더코팅 증착과 기판들 상의 증착 간을 평형화하도록 대기할 필요를 단축하거나 제거할 수 있다. ALD-기반 언더코팅은 또한 원치않은 언더코팅 반응물들을 제거하고자 반응 챔버를 다수회 플러싱할 필요 및 기판들 상에 막을 형성하는데 사용되는 반응물들을 사전-유동시킬 필요를 제거할 수도 있다. 이로써, 특정 실시예들에서, 막들은 언더코팅이 형성된 바로 후에 반응 챔버 내에서 기판들 상에 증착될 수 있다. 일부 경우들에서, 기판 상에 막을 형성하기 위한 제 1 반응물은 언더코팅이 형성된 이후 약 5 분 이내에 그 내에 비-더미 기판 (non-dummy substrate) 을 갖는 반응 챔버로 전달된다. 일부 실시예들에서, 언더코팅 형성 프로세스의 종료과 기판 상에 막을 형성하기 위해서 반응물을 전달하는 것 간의 시간은 약 3 분보다 짧거나 약 1 분보다 짧거나 약 30 초보다 짧도록 줄어들 수 있다.
ALD-증착 동안의 반응 챔버 조건들
개시된 실시예들은 특정 반응 챔버 온도들, 압력들, 등과 함께 사용되는 것으로 한정되지 않는다. 언더코팅 증착 동안 및/또는 기판 상의 막 증착 동안에 통상적인 반응 챔버 온도들은 약 25-450 ℃이다. 그러나, 개시된 실시예들의 처리량 이점들은 반응 챔버 내에서 기판들 상에 막을 증착하기 위한 프로세스가 상대적으로 낮은 온도에서, 예를 들어, 약 200 ℃ 보다 낮은 온도에서, 일부 경우들에서 약 100 ℃ 보다 낮은 온도에서 발생하는 경우에 가장 효과적일 수 있다. 이러한 또는 다른 경우들에서, 온도는 적어도 약 25 ℃ 이다. 일부 경우들에서, ALD-기반 언더코팅은 보다 높은 온도에서 기판들에 막들을 증착하는데 사용되는 반응 챔버와 함께 사용된다. ALD-기반 언더코팅들은 이러한 보다 높은 온도들에서 유리할 수 있는데 그 이유는 CVD-기반 언더코팅들에서 가능한 보다 높은 온도들에서 프로세싱이 발생할 수 있게 할 수 있기 때문이다. 달리 말하면, ALD-기반 언더코팅들은 기판들 상에 증착된 ALD-기반 막들에 대하여 가능한 프로세싱 윈도우를 확장시킬 수 있다.
언더코팅 증착 동안 및/또는 기판 상의 막 증착 동안에 반응 챔버 내의 압력은 약 1 내지 10 Torr일 수 있다.
ALD-증착 동안의 플라즈마 생성 조건들
PEALD 동작에서, 기판은 제 1 반응 물질과 제 2 반응 물질 사이의 반응을 구동하도록 플라즈마에 노출된다. 용량 결합성 플라즈마들 및 유도 결합된 플라즈마들을 포함하는 다양한 타입들의 플라즈마가 이 반응을 구동하기 위해 사용될 수도 있다. RF, DC, 및 마이크로파 플라즈마 발생기들을 포함하는 다양한 타입들의 플라즈마 생성기들을 사용할 수도 있다. 또한, 다양한 실시예들에 따라, 플라즈마는 직접적일 수도 있고 또는 원격일 수도 있다.
RF 플라즈마 생성기가 사용되는 경우에, RF 전력은 300 mm 직경 웨이퍼에 대해서 계산된 바대로 하면 약 100 내지 2500 W/스테이션일 수 있다. 전력 레벨은 기판 면적에 따라서 선형으로 스케일링되며 다른 크기들의 기판들에 대해서 스케일링될 수 있다. 예를 들어서, 300 mm 웨이퍼에 대해서 500 W/스테이션의 RF 전력은 450 mm 웨이퍼에 대해서 약 1125 W/스테이션의 RF 전력에 대응할 것이다. 플라즈마를 구동하는데 사용되는 RF 주파수는 고 주파수 (HF) 및/또는 저주파수 (LF) 성분을 가질 수 있다. HF RF 주파수들의 실례는 다음으로 한정되지 않지만 약 1.8 MHz 내지 2.45 GHz 의 주파수를 포함한다. 통상적인 HF 주파수들은 13.56 MHz 및 27 MHz를 포함한다. 예시적인 LF 주파수들은 다음으로 한정되지 않지만 약 50 내지 500 kHz을 포함한다. 일부 실시예들에서는, 오직 HF 주파수만이 사용된다. 다른 실시예들에서, LF 주파수들이 HF 주파수들과 더불어서 사용된다. 다른 실시예들에서, 오직 LF 주파수만이 사용된다.
플라즈마를 생성하는데 사용되는 가스는 변할 수 있다. 일부 실시예들에서, 플라즈마는 반응물들 중 하나 (예를 들어, 산소-함유 반응물 또는 질소-함유 반응물) 가 가스 형태로 반응 챔버 내에 존재하는 동안에 점화되며, 이로써 플라즈마가 이 반응물로부터 생성된다. 이와 달리 또는 추가적으로, 플라즈마는 불활성 가스로부터 생성될 수 있다.
반응 챔버 세정
개시된 실시예들은 임의의 특정 챔버 세정 방법으로 한정되지 않는다. 증착된 막 및 언더코팅의 전부 또는 실질적으로 전부를 제거하는 임의의 방법이 사용될 수 있다. 챔버 세정 방법들은 각각이 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용되는 아래의 미국 특허 및 미국 특허 출원에서 더 기술 및 개시된다: 미국 특허 번호 7,479,191, 명칭 "METHOD FOR ENDPONTING CVD CHAMBER CLEANS FOLLOWING ULTRA LOW-K FILM TREATMENTS"; 미국 특허 번호 8,262,800, 명칭 "METHODS AND APPARATUS FOR CLEANING DEPOSITION REACTORS"; 미국 특허 출원 번호 12/355,601 (2009년 1월 16일자에 출원됨), 명칭 "PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER"; 및 미국 특허 출원 번호 13/654,303 (2012년 10월 17일자에 출원됨) 명칭 "METHODS AND APPARATUS FOR CLEANING DEPOSITION CHAMBERS".
증착 챔버들을 세정하는데 자주 사용되는 2 개의 일반적인 타입들의 챔버 세정 방법들이 존재한다. 이는 플라즈마 세정 방법들 (때로 건식 세정 방법으로서 지칭됨) 및 습식 세정 방법들을 포함한다.
플라즈마 세정 절차는 인 시츄 챔버 세정 또는 원격 챔버 세정을 사용하여서 수행될 수 있다. 인 시츄 챔버 세정에서, 챔버 세정 화학물은 반응 챔버 내로 가스 상태로 도입되고 반응 챔버 내의 플라즈마 여기가 챔버 세정 화학물을 반응성 라디칼 및 이온들로 해리시키는데 사용된다. 원격 세정에서, 독립적인 플라즈마 소스가 사용되어서 가스성 챔버 세정 화학물을 강하게 반응성인 라디칼들 및 이온들로 반응 챔버 외부에서 해리시키며 이어서 해리된 챔버 세정 화학물이 반응 챔버 내로 도입된다. 인 시츄 세정 및 원격 세정 모두에서, 챔버 내의 반응성 종들이 축적된 증착된 막들 및 언더코팅과 반응하여서 가스성의 산물을 형성하여서 이 산물은 챔버로부터 배기된다. 수많은 경우들에서, 챔버 세정 화학물은 불소-함유 종들, 예를 들어서 선택사양적으로 불활성 가스, 예를 들어서 He 또는 Ar와 혼합될 수 있는 NF3를 포함한다. 불소 함유 세정 화학물이 사용된 경우에, 챔버 내에 존재하는 SiO2 는 SiF4로 변환되는데, 이는 반응 챔버를 퍼징 (예를 들어, 스위핑 (sweeping) 및/또는 배기 (evacuating)) 함으로서 제거될 수 있는 휘발성 물질이다.
다수의 플라즈마 세정 사이클 후에, 기판 증착 프로세스는 열화되고 습식 세정이 사용될 수 있다. 습식 세정 절차에서, 반응 챔버는 대기로 벤팅 (vent) 되며 반응기의 내부 표면들은 적합한 세정 용액들(예를 들어, isopropanol (IPA) 및/또는 물) 및/또는 연마제들을 사용하여서 물리적으로 스크러빙된다. 습식 세정은 플라즈마 세정 방법들을 사용하여서 효과적으로 제거되지 않은 임의의 두껍고 박리된 퇴적물을 제거한다. 이러한 타입의 세정 절차는 시간 소모적이며 노동 집약적이며 일단 완료되면 챔버의 재처리를 요구한다. 예를 들어서, 습식 세정 방법은 언더코팅 아래의 알루미늄 플루오라이드 층을 제거할 수 있다. 이로써, 습식 세정 방법들은 드물게 사용되고 가능한한 플라즈마 세정 방법들이 사용된다.
장치
임의의 적합한 프로세스 스테이션이 상술한 실시예들에서 채용될 수 있다는 것이 이해되어야 한다. 예를 들어서, 도 5a는 ALD/CFD 프로세스 스테이션 (1300) 의 실시예를 개략적으로 도시한다. 간략성을 위해서, 프로세스 스테이션 (1300) 은 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (1302) 를 갖는 독립형 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (1300) 이 공통 프로세스 툴 분위기 내에서 포함될 수 있다는 것이 이해될 것이다. 예를 들어서, 도 6은 다중-스테이션 프로세싱 툴 (2400) 의 실시예를 도시한다. 또한, 일부 실시예들에서, 위에서 자세하게 기술된 것들을 포함하는, 프로세스 스테이션 (1300) 의 하나 이상의 하드웨어들이 하나 이상의 컴퓨터 제어기들에 의해서 프로그램 방식으로 조절될 수 있다는 것이 이해될 것이다.
ALD/CFD 프로세스 스테이션 (1300) 은 프로세스 가스들을 분배 샤워헤드 (1306) 로 전달하기 위한 반응물 전달 시스템 1301 과 유체적으로 연통한다. 반응물 전달 시스템 1301 은 샤워헤드 (1306)로 전달할 프로세스 가스들을 혼합 및/또는 처리하기 위한 혼합 용기 (1304) 를 포함한다. 하나 이상의 혼합 용기 유입 밸브들 1320 이 프로세스 가스들의 혼합 용기 (1304) 로의 도입을 제어할 수 있다.
일부 반응물들, 예를 들어서 BTBAS은 기화 이전에 프로세스 스테이션으로의 후속 전달 시에 액체 형태로 저장될 수 있다. 예를 들어서, 도 5a의 실시예는 혼합 용기 (1304) 로 제공될 액체 반응물들을 기화시키기 위한 기화 포인트 (1303) 를 포함한다. 일부 실시예들에서, 기화 포인트 (1303) 는 가열된 기화기일 수 있다. 이러한 기화기로부터 생성된 포화된 반응물 기체는 다운스트림 전달 파이프에서 응축될 수 있다. 양립되지 않은 가스들을 응축된 반응물에 노출하는 것은 작은 입자를 생성할 수 있다. 이러한 작은 입자들은 파이프를 막히게 하고 밸브 동작을 방해하며 기판들을 오염시킬 수 있다. 이러한 문제를 다루는 일부 방식들은 잔류 반응물을 제거하도록 전달 파이프를 스위핑 및/또는 배기하는 것을 수반할 수 있다. 그러나, 전달 파이프를 스위핑하는 것은 프로세스 스테이션 사이클 시간을 증가시키고 프로세스 스테이션 처리량을 떨어뜨릴 수 있다. 따라서, 일부 실시예들에서, 기화 포인트 (1303) 의 다운스트림에 있는 전달 파이프는 열 추적될 수 있다 (heat traced). 일부 실례들에서, 혼합 용기 (1304) 도 역시 열 추적될 수 있다. 일 비한정적 실례에서, 기화 포인트 (1303) 의 다운스트림에 있는 파이프는 대략 100 ℃로부터 혼합 용기 (1304) 에서의 대략 150 ℃까지 연장하는 증가하는 온도 프로파일을 갖는다.
일부 실시예들에서, 반응물 액체는 액체 주입기에서 기화될 수 있다. 예를 들어서, 액체 주입기는 액체 반응물의 펄스들을 혼합 용기의 업스트림에 있는 캐리어 가스 스트림 내로 주입할 수 있다. 일 시나리오에서, 액체 주입기는 액체를 고압에서 저압으로 플래싱 (flashing) 함으로써 반응물을 기화시킬 수 있다. 다른 시나리오에서, 액체 주입기는 액체를 분산된 미세액적들로 원자화하고 (atomize) 이 미세액적은 후속하여서 가열된 전달 파이프에서 기화된다. 보다 작은 액적들은 보다 큰 액적들보다 신속하게 기화되어서 액체 주입과 완료된 기화 간의 지연을 줄일 수 있다는 것이 이해될 것이다. 보다 신속한 기화는 기화 포인트 (1303) 로부터 다운스트림에 있는 파이프의 길이를 줄일 수 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (1304) 에 직접적으로 장착될 수 있다. 다른 시나리오에서, 액체 주입기는 샤워헤드 (1306) 에 직접적으로 장착될 수 있다.
일부 실시예들에서, 기화 포인트 (1303)의 업스트림에 있는 액체 플로우 제어기가 제공되어서 기화 및 프로세스 스테이션 (1300) 으로의 전달을 위해서 액체의 질량 유량을 제어할 수 있다. 예를 들어서, 액체 플로우 제어기 (LFC) 는 LFC의 다운스트림에 있는 열적 질량 유량 미터 (MFM) 를 포함할 수 있다. 이어서, LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해서 제공되는 피드백 제어 신호들에 응답하여서, 조절될 수 있다. 그러나, 피드백 제어를 사용하여서 액체 플로우를 안정화시키는 것은 1 초 이상 걸릴 수 있다. 이는 액체 반응물을 도징하기 위한 시간을 연장시킬 수 있다. 일부 실시예들에서, LFC는 피드백 제어 모드와 직접적 제어 모드 간에서 동적으로 전환될 수 있다. 일부 실시예들에서, LFC는 LFC 및 PID 제어기의 감지 튜브가 기능하지 못하게 함으로써 피드백 제어 모드에서 직접적 제어 모드로 동적으로 전환될 수 있다.
샤워헤드 (1306) 는 프로세스 가스들을 기판 (1312) 을 향해서 분배시킨다. 도 5a에 도시된 실시예에서, 기판 (1312) 은 샤워헤드 (1306) 아래에 위치하고 페데스탈 (1308) 상에 안치되게 도시된다. 샤워헤드 (1306) 는 임의의 적합한 형상을 가질 수 있으며 프로세스들 가스들을 기판 (1312) 으로 분배하기 위한 임의의 적합한 개수 및 구성의 포트들을 가질 수 있다는 것이 이해될 것이다.
일부 실시예들에서, 미세볼륨 (microvolume) (1307) 이 샤워헤드 (1306) 아래에 위치한다. 프로세스 스테이션의 전체 볼륨보다 이 미세볼륨 내에서 CFD 프로세스를 수행하는 것은 반응물 노출 및 스위핑 시간을 줄일 수 있으며, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간을 줄일 수 있으며, 프로세스 스테이션 로봇들의 노출을 프로세스 가스들로 제약할 수 있다. 예시적인 미세볼륨은 다음으로 한정되지 않지만 0.1 리터 내지 2 리터의 볼륨을 포함한다.
일부 실시예들에서, 페데스탈 (1308) 은 기판 (1312) 을 미세볼륨 (1307) 으로 노출시키고 및/또는 미세볼륨 (1307) 의 체적을 변화시키도록 상승 또는 하강될 수 있다. 예를 들어서, 기판 전달 페이즈에서, 페데스탈 (1308) 은 기판 (1312) 이 페데스탈 (1308) 상으로 로딩될 수 있도록 하강될 수 있다. ALD/CFD 프로세스 페이즈 동안에, 페데스탈 (1308) 은 기판 (1312) 을 미세볼륨 (1307) 내로 위치시키도록 상승될 수 있다. 미세볼륨 (1307) 은 기판 (1312) 및 페데스탈 (1308) 의 일부를 완전히 둘러싸서 ALD/CFD 프로세스 동안에 고 플로우 임피던스 영역을 생성한다.
선택사양적으로, 페데스탈 (1308) 은 ALD/CFD 프로세스의 부분들 동안에 미세볼륨 (1307) 내의 프로세스 압력, 반응물 농도 등을 조절하도록 상승 및/하강될 수 있다. 프로세스 챔버 바디 (1302) 가 프로세스 동안에 기본 압력으로 유지되는 일 시나리오에서, 페데스탈 (1308) 을 하강시키면 미세볼륨 (1307) 이 배기될 수 있다. 미세볼륨의 프로세스 챔버 볼륨에 대한 예시적인 비들은 다음으로 한정되지 않지만 1:500 내지 1:10 을 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해서 프로그램 방식으로 조절될 수 있다는 것이 이해될 것이다.
다른 시나리오에서, 페데스탈 (1308) 의 높이를 조절하는 것은 플라즈마 밀도가 ALD/CFD 프로세스 내에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안에 조절될 수 있게 한다. ALD/CFD 프로세스 페이즈의 완료 시에, 페데스탈 (1308) 은 기판 (1312) 이 페데스탈 (1308) 로부터 제거될 수 있도록 다른 기판 전달 페이즈 동안에 하강될 수 있다.
본 명세서에서 기술된 예시적인 미세볼륨 변화들은 높이-조절가능한 페데스탈을 의존하지만, 일부 실시예들에서, 샤워헤드 (1306) 의 위치가 미세볼륨 (1307) 의 체적을 조절하도록 페데스탈 (1308) 에 대해서 조절될 수 있다는 것이 이해될 것이다. 또한, 페데스탈 (1308) 및/또는 샤워헤드 (1306) 의 수직 위치는 본 개시의 범위 내에서 임의의 적합한 메카니즘에 의해서 가변될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (1308) 은 기판 (1312) 의 배향을 회전시키기 위한 회전 축을 포함할 수 있다. 일부 실시예들에서, 이러한 예시적인 조절들 중 하나 이상은 하나 이상의 적합한 컴퓨터 제어기들에 의해서 프로그램 방식으로 수행될 수 있다는 것이 이해될 것이다.
도 5a에 도시된 실시예로 돌아가면, 샤워헤드 (1306) 및 페데스탈 (1308) 은 플라즈마에 전력을 공급하기 위해서 RF 전력 공급부 (1314) 및 매칭 네트워크 (1316) 와 전기적으로 통신할 수 있다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄싱 타이밍 중 하나 이상을 제어함으로써 제어될 수 있다. 예를 들어서, RF 전력 공급부 (1314) 및 매칭 네트워크 (1316) 는 라디칼 종들의 목표된 조성을 갖는 플라즈마를 형성하는데 임의의 적합한 전력으로 동작될 수 있다. 적합한 전력들의 실례는 위에서 포함된다. 마찬가지로, RF 전력 공급부 (1314) 는 임의의 적합한 주파수의 RF 전력을 제공할 수 있다. 일부 실시예들에서, RF 전력 공급부 (1314) 는 서로 독립적으로 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 제어하도록 구성될 수 있다. 저 주파수 RF 주파수의 실례는 다음으로 한정되지 않지만 50 kHz 내지 500 kHz 주파수를 포함한다. 고 주파수 RF 주파수의 실례는 다음으로 한정되지 않지만 1.8 MHz 내지 2.45 GHz 주파수를 포함한다. 임의의 적합한 파라미터들이 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수 있다는 것이 이해될 것이다. 일 비한정적 실례에서, 플라즈마 전력은 연속적으로 전력을 공급받는 플라즈마들에 대해서 기판 표면의 이온 공격을 줄이도록 단속적으로 펄싱될 수 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터에 의해서 인 시츄 방식으로 모니터링될 수 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해서 모니터링될 수 있다. 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해서 측정될 수 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들이 이러한 인-시츄 플라즈마 모니터들로부터의 측정치들에 기초하여서 프로그램 방식으로 조절될 수 있다. 예를 들어서, OES 센서가 플라즈마 전력의 프로그램적 제어를 제공하기 위해서 피드백 루프에서 사용될 수 있다. 일부 실시예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하는데 사용될 수 있다. 이러한 모니터는 다음으로 한정되지 않지만 적외선 (IR) 모니터, 음향 모니터 및 압력 트랜스듀서를 포함한다.
일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 인스트럭션들을 통해서 제어될 수 있다. 일 실례에서, 플라즈마 활성화 페이즈를 위한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈 내에 포함될 수 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은, 프로세스 페이즈에 대한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록, 순차적으로 배열될 수 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들이 플라즈마 프로세스 페이즈를 선행하는 레시피 페이즈 내에 포함될 수 있다. 예를 들어서, 제 1 레시피 페이즈는 플로우 비활성 및/또는 반응물 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마 생성기를 전력 설정점으로 설정하기 위한 인스트럭션들 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함한다. 제 2 후속하는 레시피 페이즈는 플라즈마를 동작가능하게 하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함한다. 제 3 레시피 페이즈는 플라즈마를 동작하지 않게 하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함한다. 이러한 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 하위분할 및/또는 반복될 수 있다는 것이 이해될 것이다.
일부 증착 프로세스들에서, 플라즈마 점화는 수 초 이상의 차수 동안 지속된다. 본 명세서에서 기술된 특정 구현예들에서, 플라즈마 점화들은 프로세싱 사이클 동안에 인가될 수 있다. 이는 50 ms 내지 1 초의 차수에 있으며, 특정 실례에서는 0.25 초이다. 이러한 짧은 RF 플라즈마 점화는 플라즈마의 신속한 안정화를 요구할 수 있다. 이를 달성하기 위해서, 플라즈마 생성기는, 주파수가 플로팅되게 되는 동안에 임피던스 매칭이 특정 전압으로 사전설정되도록 구성될 수 있다. 통상적으로, 고 주파수 플라즈마들은 약 13.56 MHz의 RF 주파수에서 생성된다. 본 명세서에서 개시된 다양한 실시예들에서, 주파수는 이 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 사전 결정된 전압으로 고정시키면서 주파수가 플로팅되게 함으로써, 플라즈마는 매우 보다 신속하게 안정화될 수 있으며, 이 결과는 ALD/CFD 사이클들과 연관된 매우 짧은 플라즈마 점화를 사용할 때에 중요할 수 있다.
일부 실시예들에서, 페데스탈 (1308) 은 가열기 1310 를 통해서 온도 제어될 수 있다. 일부 실시예들에서, 프로세스 스테이션 (1300) 에 대한 압력 제어는 버터플라이 밸브 1318 를 사용하여서 제공될 수 있다. 도 5a의 실시예에서 도시된 바와 같이, 버터플라이 밸브 1318 는 다운스트림 진공 펌프 (미도시) 에 의해서 제공된 진공을 쓰로틀링한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (1300) 의 압력 제어는 프로세스 스테이션 (1300) 내로 도입되는 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조절될 수도 있다.
프로세스 스테이션 (1300) 의 내측 표면들은 언더코팅 (1350) 으로 코팅된다. 표면들의 실례들은 챔버 벽들 (1302), 챔버 천장부 및 바닥부, 페데스탈 (1308) 및 샤워헤드 (1306) 을 포함한다. 도 5a는 프로세스 스테이션 (1300) 내에서 기판 (1312) 이 존재하는 것으로 도시되지만, 이러한 기판 (1312) 은 언더코팅 증착 동안에 존재하지 않는다. 대신에, 기판 (1312) 은 언더코팅이 증착된 후에, 프로세스 스테이션 (1300) 이 기판 (1312) 상에 막을 증착하기 위해서 사용될 준비가 될 때에, 프로세스 스테이션 (1300) 으로 도입된다.
도 5b는 반응 챔버 (500) 의 다른 뷰들이다. 기판들 상에 막들을 증착하는데 사용되는 경우에, 기판 (미도시) 은 기판 캐리어 링 (531) 상에 위치하며, 이 링은 페데스탈 (504) (또한 기판 지지부로 지칭됨) 에 의해서 지지되며, 이 페데스탈은 지지 필러 (support pillar) (508) 에 의해서 지지된다. 프로세스 가스들은 유입구 (551) 를 통해서 반응 챔버로 제공된다. 이러한 실시예에서, 원격 플라즈마 생성기 (550) 는 플라즈마를 생성하는데 사용될 수 있다. 유입구 (551) 를 통과한 후에, 반응물들 및 다른 프로세스 가스들이 샤워헤드 (502) 를 통해서 반응 챔버 내로 들어간다. 반응 챔버의 내측 표면들 (적어도 샤워헤드 (502), 지지부 (508), 페데스탈 (504), 기판 캐리어 링 (531), 반응 챔버 (500) 의 벽들, 바닥부 및 천장부를 포함함) 이 언더코팅 (506) 으로 코팅된다. 언더코팅 (506) 의 두께는 예시를 위해서 과장되었다. 일부 실시예들에서, 내측 표면들의 일부 (예를 들어, 기판 캐리어 링) 는 비금속성 재료, 예를 들어 세라믹으로 이루어지거나 복잡한 기하구조를 가질 수 있다. CVD-기반 방법들이 챔버를 코팅하는데 사용되는 경우에, 이러한 비금속성 및 복잡한 기하구조의 부품들은 언더코팅의 균일한 코팅을 받지 못할 수 있다. 예를 들어서, 언더코팅은 특정 구역을 완벽하게 코팅하지 못할 수 있거나, 다른 구역들에서는 너무 두껍게 코팅될 수 있다. 개시된 ALD-기반 언더코팅 형성 방법들의 특정 실시예들에서, 이러한 비금속성 및 복잡한 기하구조의 부품들은 균일한, 컨포멀 언더코팅을 받을 수 있다. 균일한 언더코팅은 CVD-기반 언더코팅에 비해서, 특히 비금속성 부품들, 예를 들어 기판 캐리어 링에 있어서 우수한 박리 내성을 제공한다. 일부 실시예들에서, 캐리어 링은 상향 위치 및 하향 위치 간에서 이동가능하다. 일부 실시예들에서, 언더코팅 증착은 모든 표면들이 증착을 위해서 액세스가능하도록 캐리어 링이 상향 위치에 있을 때에 수행될 수 있다.
상술한 바와 같이, 하나 이상의 프로세스 스테이션들이 다중-스테이션 프로세싱 툴에 포함될 수도 있다. 도 6은 하나 또는 양자가 원격 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (2402) 및 아웃바운드 로드록 (2404) 을 갖는 다중-스테이션 프로세싱 툴 (2400) 의 실시예의 개략도이다. 대기압에서, 로봇 (2406) 은 포드 (2408) 를 통해 로딩된 카세트로부터 대기 포트 (atmospheric port) (2410) 를 통해 인바운드 로드록 (2402) 으로 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 로봇 (2406) 에 의해 인바운드 로드록 (2402) 내의 페데스탈 (2412) 상에 위치되고, 대기 포트 (2410) 는 닫히고, 로드록은 펌프다운된다. 인바운드 로드록 (2402) 이 원격 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (2414) 내에 도입되기 전에 로드록 내의 원격 플라즈마 처리에 노출될 수도 있다. 게다가, 웨이퍼는 또한 예를 들어, 수분 및 흡수된 가스들을 제거하기 위해, 인바운드 로드록 (2402) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (2414) 로의 챔버 이동 포트 (2416) 가 개방되고, 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제1 스테이션의 페데스탈 상의 반응기 내에 웨이퍼를 위치시킨다. 도 6에서 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션 내로 웨이퍼가 직접적으로 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (2414) 는 도 6에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 2418로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 다수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 모드, CFD 프로세스 모드와 CVD 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (2414) 는 ALD 프로세스 스테이션과 CFD 프로세스 스테이션과 CVD 프로세스 스테이션 간의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (2414) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 갖는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 6은 또한 프로세싱 챔버 (2414) 내에서 웨이퍼들의 이송을 위한 웨이퍼 핸들링 시스템 (2490) 의 일 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (2490) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (wafer carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 6은 또한 프로세스 툴 (2400) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (2450) 의 일 실시예를 도시한다. 시스템 제어기 (2450) 는 하나 이상의 메모리 디바이스들 (2456), 하나 이상의 대용량 저장 디바이스들 (2454), 및 하나 이상의 프로세서들 (2452) 을 포함할 수도 있다. 프로세서 (2452) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어 보드들, 등을 가질 수도 있다.
일부 실시예들에서, 시스템 제어기 (2450) 는 프로세스 툴 (2400) 의 모든 동작들을 제어한다. 시스템 제어기 (2450) 는 대용량 저장 디바이스 (2454) 에 저장되고, 메모리 디바이스 (2456) 로 로딩되고, 프로세서 (2452) 상에서 실행되는 시스템 제어 소프트웨어 (2458) 를 실행한다. 시스템 제어 소프트웨어 (2458) 는 타이밍, 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (2400) 에서 수행된 특정한 프로세스의 다른 파라미터들을 제거하기 위한 인스트럭션들을 포함할 수도 있다. 이러한 프로그램된 프로세스들은 다음으로 한정되지 않지만 언더코팅 증착과 관련된 프로세스들, 기판들 상에 막을 증착하는 것과 관련된 프로세스들 및 챔버를 세정하는 것과 관련된 프로세스들을 포함하는 다양한 타입들의 프로세스들을 포함할 수도 있다. 시스템 제어 소프트웨어 (2458) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (2458) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (2458) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, ALD 언더코팅 증착 프로세스의 페이즈 각각은 시스템 제어기 (2450) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. ALD/CFD 언더코팅 증착 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 ALD/CFD 언더코팅 증착 레시피 페이즈 내에 포함될 수도 있다. 일부 실시예들에서, 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 레시피 페이즈들이 연속적으로 배열될 수도 있다.
시스템 제어기 (2450) 와 연관된 대용량 저장 디바이스 (2454) 및/또는 메모리 디바이스 (2456) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채택될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (2418) 상에 기판을 로딩하고 반도체 기판과 프로세스 툴 (2400) 의 다른 부분들 사이의 이격거리를 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다. 포지셔닝 프로그램은 언더코팅을 형성하고 기판들 상에 막들을 증착하고 챔버를 세정하는데 필요한대로 기판들을 반응 챔버 내로 그리고 외부로 적절하게 이동시키기 위한 인스트럭션들을 포함할 수도 있다. 이들은 ALD/CFD-기반 언더코팅 증착 동안에 그리고 세정 프로세스 동안에 기판들이 반응 챔버 내에 존재하지 않도록 보장하기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하고 선택사양적으로 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 일부 실시예들에서, 프로세스 가스 제어 프로그램은 반응 챔버 상에 언더코팅을 형성하는 동안 특정 가스들을 도입하기 위한 인스트럭션들 및 반응 챔버 내에서 기판 상에 막을 형성하는 동안에 동일한 가스들을 도입하기 위한 인스트럭션들을 포함한다. 또한, 프로세스 가스 제어 프로그램은 또한 언더코팅 형성 동안에 그리고 기판들 상에 막을 증착하는 동안에 동일한 레이트로 동일한 기간으로 이러한 가스들을 전달하기 위한 인스트럭션들을 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 반응 챔버 상에 언더코팅을 증착하는 동안의 압력과 동일한, 기판들 상에 막을 증착하는 동안의 압력을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
가열기 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 가열기 제어 프로그램은 언더코팅 증착 동안에 그리고 기판들 상에 막을 증착하는 동안에 반응 챔버 및/또는 기판 홀더에서 동일한 온도를 유지하기 위한 코드를 포함할 수 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 RF 전력 레벨들 및 노출 시간들을 설정하기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 반응 챔버 상에 언더코팅을 증착하는 동안에 그리고 기판들 상에 막을 증착하는 동안에 동일한 RF 전력 레벨들 및/또는 주파수들 및/또는 노출 시간을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (2450) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (2450) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (2450) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (2400) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (2450) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 막 스택들의 인-시츄 증착을 동작시키도록 이러한 파라미터들을 제어할 수도 있다.
시스템 제어기는 통상적으로 본 장치가 개시된 실시예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능, 비일시적인 매체는 시스템 제어기와 커플링될 수도 있다.
상술된 다양한 하드웨어 및 방법 실시예들은 예를 들어서 반도체 디바이스들, 디스플레이, LED들, 광전 패널 등의 제조 또는 제작을 위해 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다.
막 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴을 사용하여서 실현되는 다음의 동작들 중 몇몇 또는 모두를 포함하며, 이 동작들은 (1) 스핀-온 또는 스프레이-온 툴을 사용하여서, 예를 들어서 그 상에 형성된 실리콘 질화물 막을 갖는 기판과 같은 워크피스 상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 또는 퍼니스 또는 다른 적합한 경화 툴을 사용하여서 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 동작, (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 동작, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여서 상기 레지스트 패턴을 그 아래의 막 또는 워크피스에 전사하는 동작 및 (6) RF 또는 마이크로파 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 동작을 포함할 수 있다. 일부 실시예들에서, 애시가능한 하드 마스크 (예를 들어서, 비정질 탄소 층) 및 다른 적합한 하드 마스크 (예를 들어서 반사방지 층) 가 포토레지스트를 도포하기 이전에 증착될 수도 있다.
본 명세서에서 기술된 구성들 및/또는 방식들은 특성상 예시적이며 이러한 특정 실시예들 또는 실례들은 한정적으로 해석되지 말아야 하는데 그 이유는 다수의 변형들이 가능하기 때문이다. 본 명세서에서 기술된 특정 루틴들 또는 방법들은 임의의 개수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 따라서, 예시된 다양한 동작들은 예시된 순서로, 다른 순서로, 병행하여서 수행되거나 일부 경우들에서는 생략될 수도 있다. 마찬가지로, 상술된 프로세스들의 순서는 변화될 수도 있다.
본 개시의 논의 대상은 다양한 프로세스들, 시스템들 및 구성들, 및 본 명세서에서 개시된 다른 특징들, 기능들, 동작들 및/또는 특성들 및 이들의 모든 균등사항들의 모든 신규하면서 비자명한 조합들 및 하위조합들을 포함한다.
실험
얇은 ALD-기반 언더코팅들이 매우 두꺼운 CVD-기반 언더코팅들에 비할만한 금속 오염 성능을 최소화할 수 있음을 실험 테스트가 보였다. 또한, ALD-기반 언더코팅들은 CVD-기반 언더코팅들보다 매우 양호하게 박리에 저항할 수 있어서, 후속하는 챔버 세정 동작들 간에서 반응 챔버 내에서 처리될 기판들의 개수를 증가시켰으며, 이로써 처리량을 증가시켰다. ALD-기반 언더코팅들이 CVD-기반 언더코팅들보다 더 긴 증착 시간을 필요로 하지만, 이러한 보다 긴 언더코팅 증착 시간의 효과는, 특정 실시예들에서, ALD-기반 언더코팅들의 특정 처리량-관련 이점들 (예를 들어, 세정 동작들 간의 기판들의 증가된 개수, 챔버가 냉각되도록 대기할 필요가 없는 이점, CVD 반응물들을 챔버로부터 수회 플러싱할 필요가 없음 및/또는 사전코팅 동작을 수행할 필요가 없음) 에 의해서 충분히 상쇄될 수 있다.
도 7은 상이한 증착 프로세스들 이후의 기판 표면 상의 다양한 금속들의 농도와 관련된 표를 나타낸다. 각 경우에, ALD-기반 실리콘 산화물은 기판 상에서 약 250 Å 두께로 증착되었다. 컬럼 A에서 도시된 경우에서, 막은 언더코팅이 없는 클린 상태의 챔버 내에서 기판 상에 증착된다. 언더코팅이 없는 챔버 내에서 증착된 막에서 검출된 알루미늄의 농도는 0.24 x 1010 atoms/cm2 로 매우 높았다. 구리 및 철들이 또한 검출가능한 양으로 존재하였다. 컬럼 D로 도시된 경우에서, 산화물 막이 약 1 ㎛ 의 두께를 갖는 CVD-기반 USG (undoped silicate glass) 언더코팅을 갖는 기판 상에서 증착되었다.
도 7의 컬럼 B 및 컬럼 C는 본 명세서에서 기술된 바와 같은 ALD-기반 언더코팅들을 갖는 반응 챔버들 내에서 증착된 막들에 관한 것이다. 컬럼 B에서, ALD-기반 언더코팅은 약 1000 Å 두께 (0.1 ㎛, 컬럼 D로 표현된 CVD-기반 언더코팅보다 10 배 더 얇음) 로 증착되었고, 컬럼 C에서, ALD-기반 언더코팅은 약 2000 Å 두께 (0.2 ㎛, 컬럼 D로 표현된 CVD-기반 언더코팅보다 5 배 더 얇음) 로 증착되었다. 양 막들은 매우 낮은 양의 금속 오염을 보였다. 또한 어느 막도 검출가능한 양의 알루미늄 또는 철을 보이지 않았다. 컬럼 A의 무언더코팅 경우에 비해서 구리의 농도가 줄었으며 컬럼 D의 CVD-기반 언더코팅 경우과 거의 같이 낮았다. 컬럼 C의 2000 Å 두께의 ALD-기반 언더코팅은 컬럼 B의 1000 Å 두께의 ALD-기반 언더코팅보다 다소 낮은 금속 오염을 보였다.
도 7의 결과들은 얇은 ALD-기반 언더코팅들이 금속 오염 방지 측면에서 보다 두꺼운 CVD-기반 언더코팅들만큼 양호하게 수행할 수 있다는 것을 제안한다.
도 8은 2000 Å 두께의 ALD-기반 실리콘 산화물 언더코팅을 갖는 반응 챔버 및 2 ㎛ 두께의 CVD-기반 USG 언더코팅을 갖는 챔버 내에서 증착된 막들 내에서 검출된 상이한 크기들의 입자들의 개수를 나타내는 표이다. 각 경우에, 기판 상에 증착된 막은 250 Å 두께의 실리콘 산화물 막이었다. ALD-기반 언더코팅은 다음과 같은 조건들 하에서 증착된다: 챔버 압력 1.8 Torr, 약 1-2 mL/min로 유동하는 BTBAS의 0.2 초 도즈, 이어서 0.3 초의 퍼지, 이어서 각기 약 10 SLM으로 공동 유동하는 O2 N2O 의 도즈를 제공하면서 약 500-2500 W/스테이션의 RF 전력으로 플라즈마를 0.25 초 인가하고, 이어서 RF-후 퍼지가 약 0.15 초 동안 지속된다. 대형 입자들 (예를 들어, 약 0.12 ㎛ 보다 큰 입자들) 을 고려할 때에, 양 막들은 매우 양호한 (즉, 낮은) 입자 형성 레벨을 보였다. 소형 입자들 (예를 들어, 약 0.05 ㎛ 보다 큰 입자들) 을 고려할 때에, CVD-기반 언더코팅으로 증착된 막이 다소 우수한 입자 성능을 보였다. ALD-기반 막이 소형 입자 크기에서 보다 높은 입자 형성 레벨을 보였지만, 그 결과들은 여전히 허용가능한 레벨 내에 있다.
도 9는 도 8과 유사하지만, 2 개의 상이한 ALD-기반 방법들에 따라서 증착된 언더코팅들을 갖는 반응 챔버들 내에서 증착된 막들을 비교하는 표이다. 각 경우에, 기판 상의 막은 약 50 ℃ 온도에서 약 250 Å 두께로 증착된 실리콘 산화물이다. 각 경우에 언더코팅은 약 2000 Å 두께로 증착되었다. 프로세스 A에서, 언더코팅은 약 200 W/스테이션의 RF 전력 레벨로 증착되고, 프로세스 B에서, 언더코팅은 약 125 W/스테이션의 RF 전력 레벨로 증착되었다.
도 9에 도시된 양 막들은 양호한 입자 성능을 보였다. 약 0.05 ㎛ 보다 큰 입자 크기들에서, 입자 성능은 양 경우들에서 탁월하였으며, 도 8에 도시된 바와 같은 CVD-기반 언더코팅을 갖는 챔버 내에서 증착된 막보다 낮은 입자 형성 레벨을 보였다. 이러한 결과들은 얇은 ALD-기반 언더코팅들은 보다 두꺼운 CVD-기반 언더코팅들과 같이 양호하거나 이보다 더 양호한 입자 형성 저항성을 보였음을 제시한다.
도 10a 및 도 10b는 각기 도 9의 프로세스 A 및 프로세스 B 와 관련되게 기술된 막들에 대한 입자 맵들을 도시한다. 입자 맵은 기판 표면 상에서 검출된 입자들의 위치를 보인다. 오직 0.04 ㎛ 보다 큰 입자만이 보인다.
도 11은 ALD-기반 언더코팅을 갖는 반응 챔버에서 증착된 막들에 대한 웨이퍼 간 재현성/균일성을 나타내는 표이다. 이 경우에, 실리콘 산화물 언더코팅이 약 2000 Å 두께로 증착된다. 막들은 약 50 ℃ 온도에서 약 260 Å 두께로 기판들 상에서 증착되었다. 총 25 개의 기판들이 프로세싱되어서 테스트되었다. 웨이퍼 카운트는 기판들이 프로세싱되는 순서를 말한다. 예를 들어서, 웨이퍼 카운트 1 는 언더코팅 증착 후에 프로세싱된 제 1 기판이며, 웨이퍼 카운트 25 는 프로세싱된 마지막 기판이다. 보고된 두께는 증착된 특정 막의 두께에 대응한다. 이 범위는 막의 가장 두꺼운 부분과 막의 가장 얇은 부분 간의 차에 대응한다. % NU (1-시그마) 및 범위 % (하프 범위) 는 상이한 표준 방법들에 의해서 계산된, 막의 불균일성의 정도와 관련된다. 전반적으로, 막들은 웨이퍼 간 불균일성 (하프 범위) 0.16 % 을 보였다. 도 11의 결과는 ALD-기반 언더코팅 사용은 탁월한 웨이퍼 간 재현성을 낳음을 보였다.
도 12는 2 ㎛ 두께의 CVD-기반 언더코팅의 성능을 2000 Å (0.2 ㎛ 두께의) ALD-기반 언더코팅의 성능을 비교하는 표이다. ALD-기반 언더코팅은 CVD-기반 언더코팅이 오직 3.7 ㎛ 의 챔버 총 퇴적 한계치에 비해서 5 ㎛ 의 챔버 총 퇴적 한계치를 달성할 수 있었다. 달리 말하면, 실질적으로 보다 많은 막이 CVD-기반 언더코팅 상에서보다, 막 박리가 명시적으로 되기 이전에, ALD-기반 언더코팅 상에 증착된다. 이 퇴적 한계치는 ALD-기반 언더코팅 경우에서 단지 약 35 % 가 더 높았으며, CVD-기반 언더코팅 경우에서의 막 퇴적의 대부분은 두꺼운 CVD-기반 언더코팅 동안에 증착되었다. 달리 말하면, 퇴적 한계치가 약 35 % 만큼 증가하면, 후속하는 세정 사이클들 간에서 처리될 수 있는 기판들의 개수는 200 % 이상만큼 증가할 수 있다. ALD-기반 언더코팅은 약 770 개의 기판들을 프로세싱한데 비해서, CVD-기반 언더코팅은 단지 약 250 개의 기판들을 프로세싱하였다. 위에서 상술한 N2O 플라즈마 가열 효과로 인해서, 20 개의 더미 웨이퍼들이 챔버가 고가의 기판들 상에 증착을 위해서 사용되기 이전에, CVD-기반 언더코팅을 갖는 챔버 내에서 프로세싱되었다. 이러한 더미 웨이퍼 증착은 반응 챔버의 온도를 그의 목표된 안정된 값으로 낮추는데 도움이 되도록 수행되었다. 대체적으로, ALD-기반 언더코팅은 CVD-기반 언더코팅에 비해서 증가된 처리량을 낳았다.
일부 실시예들에서, 언더코팅은 언더코팅이 증착된 후에 기판들 상에 막을 증착하는 동안에 사용되는 것들과 비해서, 상이한 플라즈마 특성들 (예를 들어, RF 전력, RF 시간 및/또는 RF 주파수) 에서 형성될 수 있다. 예를 들어서, 보다 낮은 RF 플럭스 레벨들이 보다 높은 습식 에칭 레이트들을 갖는 막들 (예를 들어, 언더코팅들 및/또는 기판들 상의 막) 을 증착하는데 사용될 수 있다. 도 13은 저 RF 경우 및 고 RF 경우에서 증착 온도에 대한, 100:1 물:HF 내에서의 열적 산화물에 대한 습식 에칭 레이트 비 (WERR) 를 나타내는 그래프이다. 도 13에 도시된 바와 같이, 보다 낮은 RF 플럭스에서 증착된 막은 보다 높은 습식 에칭 레이트를 보였다. 보다 높은 습식 에칭 레이트가 목표되는 일 이유는 그것이 막 내의 덜 압축성이며 보다 중립적인 응력에 대응할 수 있기 때문이다. 도 14는 막 응력에 대한 열적 산화물에 대한 습식 에칭 레이트 비를 도시하는 그래프이다. 도시된 바와 같이, 보다 높은 습식 에칭 레이트 비를 갖는 ALD-기반 SiO2 막은 실질적으로 중립성의 응력을 갖는 한편, 보다 낮은 습식 에칭 레이트 비를 갖는 ALD-기반 SiO2 막은 실질적으로 보다 압축성의 응력을 갖는다. RF 플럭스는 보다 낮은 RF 전력 및/또는 보다 짧은 RF 시간을 사용함으로써 감소될 수 있다. 또한, 특정 실시예들에서, 보다 높은 레벨의 RF 플럭스들이 특정 애플리케이션들에서는 바람직할 수도 있는, 보다 낮은 습식 에칭 레이트 및 보다 압축성의 응력 레벨을 갖는 막 (예를 들어, 언더코팅 및/또는 기판 상의 막) 을 증착하는데 사용될 수 있다.
일부 구현예들에서, 반응 챔버 상의 언더코팅은 반응 챔버 내의 기판들 상의 막의 증착 동안에 사용된 것보다 낮은 RF 플럭스 (RF 전력 및/또는 RF 시간) 에서 증착될 수 있다. 다른 구현예들에서, 반응 챔버 상의 언더코팅은 반응 챔버 내의 기판들 상의 막의 증착 동안에 사용된 것보다 높은 RF 플럭스에서 증착될 수 있다.
마찬가지로, RF 플럭스는 증착되는 시의 언더코팅의 습식 에칭 레이트 및 응력을 점진적으로 변화시키도록 언더코팅 증착 동안에 변화될 수 있다. 예를 들어서, RF 플럭스는 언더코팅의 습식 에칭 레이트를 점진적으로 증가시키고 응력을 점진적으로 감소시키도록 언더코팅 증착 동안에 감소될 수 있다. 이러한 응력의 점진적 감소는 막 벗겨짐 및 박리를 억제하며, 이로써 챔버가 세정 동작들 간에 보다 높은 챔버 퇴적 한계치에 도달할 수 있게 한다.
또한, 증착 온도는 언더코팅 증착과 기판들 상에 막 증착 간에서 변화되거나 또는 언더코팅 증착 동안에 변할 수 있다. 도 13으로 돌아가면, 보다 낮은 증착 온도들은 보다 높은 습식 에칭 비들 및 이에 대응하는 보다 중립성의 막들을 낳는다. 반대로, 보다 높은 증착 온도들은 보다 낮은 습식 에칭 비들 및 보다 압축성의 막들을 낳는다. 일부 실시예들에서, 언더코팅은 챔버 내의 기판들 상에 증착되는 막들보다 높은 온도에서 챔버 내에서 증착된다. 다른 실시예들에서, 언더코팅은 챔버 내의 기판들 상에 증착되는 막들보다 낮은 온도에서 챔버 내에서 증착된다. 또 다른 실시예들에서, 언더코팅은 챔버 내의 기판들 상에 증착되는 막들과 동일한 온도에서 챔버 내에서 증착된다. 또한, 전술한 바와 같이, 온도는 언더코팅 증착 동안에 변할 수 있다. 예를 들어서, 온도는 점진적으로 보다 중립성의 언더코팅을 형성하도록 언더코팅의 증착 동안에 감소할 수 있다. 반대로, 온도는 점진적으로 보다 압축성의 언더코팅을 형성하도록 언더코팅의 증착 동안에 증가할 수 있다. 이러한 점진적 변화는 박리 및 벗겨짐을 억제하며 챔버가 세정 프로세스들 간에 보다 높은 챔버 퇴적 한계치에 도달하는 것을 도울 수 있다.

Claims (17)

  1. 기판들을 프로세싱하기 위한 반응 챔버의 내측 표면들 상에 언더코팅 (undercoat) 을 형성하는 방법으로서,
    (a) 기체상 (vapor phase) 의 제 1 반응물의 플로우를 반응 챔버 내로 도입하고 상기 제 1 반응물이 상기 반응 챔버의 내측 표면들 상에 흡착되게 하는 단계;
    (b) 상기 제 1 반응물이 상기 반응 챔버의 상기 내측 표면들 상에 흡착되는 동안에 기체상의 제 2 반응물의 플로우를 상기 반응 챔버 내로 도입하는 단계;
    (c) 언더코팅을 형성하기 위해 상기 반응 챔버의 상기 내측 표면들 상에서 상기 제 1 반응물과 상기 제 2 반응물 간의 반응을 발생시키도록, 상기 제 1 반응물과 상기 제 2 반응물 중 적어도 하나의 플로우가 중지된 때에 상기 반응 챔버를 플라즈마에 노출시키는 단계로서, 상기 언더코팅은 상기 반응 챔버의 상기 내측 표면들을 컨포멀하게 (conformally) 코팅하는, 상기 플라즈마에 노출시키는 단계;
    (d) 기판을 상기 반응 챔버 내에 수용하는 단계;
    (e) 기체상의 제 3 반응물의 플로우를 상기 반응 챔버 내로 도입하고 상기 제 3 반응물이 상기 기판의 표면 상으로 흡착되게 하는 단계;
    (f) 상기 제 3 반응물이 상기 기판의 상기 표면 상에 흡착되는 동안에 기체상의 제 4 반응물의 플로우를 상기 반응 챔버 내로 도입하는 단계; 및
    (g) 상기 기판의 상기 표면 상에 제 2 막을 형성하기 위해 상기 제 3 반응물과 상기 제 4 반응물 간의 반응을 발생시키도록, 상기 제 3 반응물과 상기 제 4 반응물 중 적어도 하나의 플로우가 중지된 때에 상기 반응 챔버를 플라즈마에 노출시키는 단계를 포함하며,
    상기 단계들 (a), (b), 및 (c) 은 상기 반응 챔버 내에 기판이 존재하지 않은 때에 발생하며,
    상기 단계들 (a), (b), 및 (c) 은 상기 언더코팅이 적어도 0.1 ㎛ 두께일 때까지 반복되는, 언더코팅 형성 방법.
  2. 제 1 항에 있어서,
    상기 반응 챔버 내의 온도는 상기 단계들 (a) 내지 (c) 동안에 2 ℃ 보다 많이는 변화되지 않는, 언더코팅 형성 방법.
  3. 제 2 항에 있어서,
    상기 언더코팅은 산화물, 질화물, 탄화물 또는 카보나이트라이드인, 언더코팅 형성 방법.
  4. 제 3 항에 있어서,
    상기 제 2 반응물은 O2 및 N2O를 포함하는, 언더코팅 형성 방법.
  5. 제 2 항에 있어서,
    상기 언더코팅은 귀금속, 란타나이드 산화물, 4족 금속 산화물 또는 5족 금속 산화물인, 언더코팅 형성 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 언더코팅은 기판 캐리어를 컨포멀하게 코팅하는, 언더코팅 형성 방법.
  7. 제 1 항에 있어서,
    상기 언더코팅은 0.5 ㎛ 이내의 두께인, 언더코팅 형성 방법.
  8. 제 7 항에 있어서,
    상기 언더코팅은 0.2 ㎛ 이내의 두께인, 언더코팅 형성 방법.
  9. 제 1 항에 있어서,
    상기 제 1 반응물 및 상기 제 2 반응물은 상기 제 3 반응물 및 상기 제 4 반응물과 각각 동일한, 언더코팅 형성 방법.
  10. 제 9 항에 있어서,
    상기 제 2 반응물 및 상기 제 4 반응물 각각은 O2 및 N2O를 포함하는, 언더코팅 형성 방법.
  11. 제 9 항에 있어서,
    반응 챔버 압력, 반응 챔버 온도, 도징 기간 (dosing duration), 플라즈마 노출 기간 및 RF 전력 값들은 상기 단계들 (a) 내지 (c) 와 상기 단계들 (e) 내지 (g) 간에서 실질적으로 일정하게 유지되는, 언더코팅 형성 방법.
  12. 제 1 항에 있어서,
    상기 반응 챔버 내의 온도는 상기 단계들 (a) 내지 (g) 동안에 2 ℃ 보다 많이는 변하지 않는, 언더코팅 형성 방법.
  13. 제 12 항에 있어서,
    상기 단계 (e) 는 상기 단계 (c) 의 최종 반복 이후에 5 분 내에 시작되는, 언더코팅 형성 방법.
  14. 제 1 항에 있어서,
    상기 반응 챔버는 상기 단계 (c) 의 최종 반복과 상기 단계 (e) 의 처음 반복 간에서 퍼지되지 않은, 언더코팅 형성 방법.
  15. 제 1 항에 있어서,
    상기 단계들 (d) 내지 (g) 은 복수의 기판들에 대해서 반복되며,
    상기 단계들 (a) 내지 (g) 동안에 상기 반응 챔버의 상기 내측 표면들 상에 증착된 막은 상기 제 2 막의 총 적어도 7.5 ㎛가 상기 기판들 상에서 증착되기까지 벗겨지거나 박리되지 않는, 언더코팅 형성 방법.
  16. 제 1 항에 있어서,
    상기 단계들 (d) 내지 (g) 은 복수의 기판들에 대해서 반복되며,
    상기 단계들 (a) 내지 (g) 에서 증착된 막은 적어도 300 개의 기판들이 상기 단계들 (d) 내지 (g) 을 사용하여서 상기 반응 챔버를 통해서 프로세싱되기까지 벗겨지거나 박리되지 않는, 언더코팅 형성 방법.
  17. 제 1 항 내지 제 5 항, 제 7 항 또는 제 8 항 중 어느 한 항에 있어서,
    상기 단계들 (a) 내지 (c) 의 첫번째 반복은 RF 플럭스의 제 1 레벨로 수행되고,
    상기 단계들 (a) 내지 (c) 의 두번째 반복은 RF 플럭스의 제 2 레벨로 수행되고,
    상기 RF 플럭스의 제 1 레벨과 상기 RF 플럭스의 제 2 레벨은 서로 상이한, 언더코팅 형성 방법.
KR1020230032580A 2013-11-25 2023-03-13 저온 ald 막들을 위한 챔버 언더코팅 준비 방법 KR20230039625A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/089,653 2013-11-25
US14/089,653 US9745658B2 (en) 2013-11-25 2013-11-25 Chamber undercoat preparation method for low temperature ALD films
KR1020140165420A KR20150060583A (ko) 2013-11-25 2014-11-25 저온 ald 막들을 위한 챔버 언더코팅 준비 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020140165420A Division KR20150060583A (ko) 2013-11-25 2014-11-25 저온 ald 막들을 위한 챔버 언더코팅 준비 방법

Publications (1)

Publication Number Publication Date
KR20230039625A true KR20230039625A (ko) 2023-03-21

Family

ID=53182883

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020140165420A KR20150060583A (ko) 2013-11-25 2014-11-25 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
KR1020230032580A KR20230039625A (ko) 2013-11-25 2023-03-13 저온 ald 막들을 위한 챔버 언더코팅 준비 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020140165420A KR20150060583A (ko) 2013-11-25 2014-11-25 저온 ald 막들을 위한 챔버 언더코팅 준비 방법

Country Status (5)

Country Link
US (2) US9745658B2 (ko)
JP (2) JP2015122486A (ko)
KR (2) KR20150060583A (ko)
CN (1) CN104651807B (ko)
TW (1) TWI644359B (ko)

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
CN106062245B (zh) * 2014-03-03 2020-04-07 皮考逊公司 用ald涂层保护气体容器的内部
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9677171B2 (en) * 2014-06-06 2017-06-13 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in a non-mass-analyzed ion implantation system
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10316408B2 (en) * 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6144300B2 (ja) * 2015-07-16 2017-06-07 東京エレクトロン株式会社 グラフェン製造方法、グラフェン製造装置及びグラフェン製造システム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9558939B1 (en) * 2016-01-15 2017-01-31 Atomera Incorporated Methods for making a semiconductor device including atomic layer structures using N2O as an oxygen source
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
DE102017100725A1 (de) * 2016-09-09 2018-03-15 Aixtron Se CVD-Reaktor und Verfahren zum Reinigen eines CVD-Reaktors
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
KR102564481B1 (ko) * 2016-10-25 2023-08-04 코낙스 테크놀로지스 내침식성/내부식성 배리어 코팅
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR102117945B1 (ko) * 2017-02-09 2020-06-02 주식회사 메카로에너지 화학기상증착법을 이용한 반사방지막 제조방법
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN107611000B (zh) * 2017-09-21 2018-07-13 北京大学 一种等离子体激励的非高温扩散掺杂装置及方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108385088A (zh) * 2018-04-16 2018-08-10 扬州大学 一种TiSiO复合光波导薄膜的制备方法
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR20210016476A (ko) * 2018-06-29 2021-02-15 램 리써치 코포레이션 원자 층 증착 프로세스에서 산화 변환
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20210340670A1 (en) * 2018-10-19 2021-11-04 Lam Research Corporation In situ protective coating of chamber components for semiconductor processing
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109904473B (zh) * 2019-02-26 2021-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备及其制备电池催化剂的方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20220024997A (ko) * 2019-06-26 2022-03-03 램 리써치 코포레이션 인 시츄 패시베이션을 통한 챔버-축적 확장
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129627B (en) * 2019-06-28 2022-05-31 Beneq Oy Nuclear layer cultivation equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) * 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US20220216060A1 (en) * 2019-10-08 2022-07-07 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN111172511A (zh) * 2020-01-17 2020-05-19 胜科纳米(苏州)有限公司 一种在有机材料表面制备金属膜层的方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7122335B2 (ja) * 2020-03-30 2022-08-19 Ckd株式会社 パルスショット式流量調整装置、パルスショット式流量調整方法、及び、プログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
JP2022098040A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 基板処理方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117153672B (zh) * 2023-11-01 2024-01-26 粤芯半导体技术股份有限公司 一种介电层及其制作方法

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6327623B2 (en) * 1997-05-30 2001-12-04 Texas Instruments Incorporated Computer system with environmental detection
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
KR100323874B1 (ko) * 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
CN100389482C (zh) * 2002-11-11 2008-05-21 株式会社日立国际电气 基板处理装置
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
WO2006014753A1 (en) * 2004-07-23 2006-02-09 Sundew Technologies, Llp Capacitors with high energy storage density and low esr
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
WO2007026778A1 (ja) 2005-08-31 2007-03-08 Sumitomo Chemical Company, Limited トランジスタ、有機半導体素子及びこれらの製造方法
EP2541179A3 (en) 2005-11-23 2014-09-24 Surface Combustion, Inc. Gas generator for an atmospheric furnace for treating one or more articles
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP4866658B2 (ja) * 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
JP5201934B2 (ja) * 2007-10-10 2013-06-05 東京エレクトロン株式会社 基板処理装置のメタル汚染低減方法
US7968439B2 (en) 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
JP5514129B2 (ja) * 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TW201210058A (en) * 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP2012216696A (ja) * 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9123651B2 (en) * 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems

Also Published As

Publication number Publication date
US20170314128A1 (en) 2017-11-02
CN104651807A (zh) 2015-05-27
US9745658B2 (en) 2017-08-29
KR20150060583A (ko) 2015-06-03
JP2021022752A (ja) 2021-02-18
JP2015122486A (ja) 2015-07-02
TWI644359B (zh) 2018-12-11
US20150147482A1 (en) 2015-05-28
TW201526105A (zh) 2015-07-01
CN104651807B (zh) 2017-11-28

Similar Documents

Publication Publication Date Title
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
CN111247269B (zh) 介电膜的几何选择性沉积
US9865455B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10037884B2 (en) Selective atomic layer deposition for gapfill using sacrificial underlayer
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
KR20230145004A (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
JP6538300B2 (ja) 感受性基材上にフィルムを蒸着するための方法
US9786496B2 (en) Method of densifying films in semiconductor device
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
CN116137931A (zh) 减少半导体设备中的层内电容
WO2021113257A1 (en) In-situ pecvd cap layer
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right