JP5115798B2 - フォトレジストの除去速度を増加する装置及びプラズマアッシング方法 - Google Patents
フォトレジストの除去速度を増加する装置及びプラズマアッシング方法 Download PDFInfo
- Publication number
- JP5115798B2 JP5115798B2 JP2007530435A JP2007530435A JP5115798B2 JP 5115798 B2 JP5115798 B2 JP 5115798B2 JP 2007530435 A JP2007530435 A JP 2007530435A JP 2007530435 A JP2007530435 A JP 2007530435A JP 5115798 B2 JP5115798 B2 JP 5115798B2
- Authority
- JP
- Japan
- Prior art keywords
- baffle plate
- plasma
- plasma ashing
- ashing method
- processing chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000000034 method Methods 0.000 title claims description 80
- 238000004380 ashing Methods 0.000 title claims description 72
- 229920002120 photoresistant polymer Polymers 0.000 title claims description 41
- 239000007789 gas Substances 0.000 claims description 76
- 238000012545 processing Methods 0.000 claims description 56
- 230000008569 process Effects 0.000 claims description 48
- 239000001257 hydrogen Substances 0.000 claims description 47
- 229910052739 hydrogen Inorganic materials 0.000 claims description 47
- 239000000758 substrate Substances 0.000 claims description 43
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 33
- 239000000112 cooling gas Substances 0.000 claims description 32
- 239000003989 dielectric material Substances 0.000 claims description 27
- 239000000203 mixture Substances 0.000 claims description 27
- 229910052799 carbon Inorganic materials 0.000 claims description 25
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 24
- 238000001816 cooling Methods 0.000 claims description 22
- 239000001307 helium Substances 0.000 claims description 22
- 229910052734 helium Inorganic materials 0.000 claims description 22
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 22
- 239000000463 material Substances 0.000 claims description 21
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 20
- 239000001301 oxygen Substances 0.000 claims description 20
- 229910052760 oxygen Inorganic materials 0.000 claims description 20
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 10
- 229910052782 aluminium Inorganic materials 0.000 claims description 10
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 10
- 238000004891 communication Methods 0.000 claims description 9
- 150000001875 compounds Chemical class 0.000 claims description 8
- 238000004140 cleaning Methods 0.000 claims description 7
- 238000010438 heat treatment Methods 0.000 claims description 7
- 229910052756 noble gas Inorganic materials 0.000 claims description 6
- 229910052786 argon Inorganic materials 0.000 claims description 5
- 239000006227 byproduct Substances 0.000 claims description 5
- 239000004020 conductor Substances 0.000 claims description 4
- 239000012530 fluid Substances 0.000 claims description 4
- 230000002441 reversible effect Effects 0.000 claims description 3
- 150000002431 hydrogen Chemical class 0.000 claims 6
- 210000002381 plasma Anatomy 0.000 description 137
- 235000012431 wafers Nutrition 0.000 description 40
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 23
- 238000009826 distribution Methods 0.000 description 22
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 17
- 235000012239 silicon dioxide Nutrition 0.000 description 15
- 238000005530 etching Methods 0.000 description 11
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 10
- 239000010453 quartz Substances 0.000 description 9
- 229910052757 nitrogen Inorganic materials 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 6
- 239000000377 silicon dioxide Substances 0.000 description 6
- 238000005516 engineering process Methods 0.000 description 5
- 229920001296 polysiloxane Polymers 0.000 description 5
- 229910052594 sapphire Inorganic materials 0.000 description 5
- 239000010980 sapphire Substances 0.000 description 5
- 241000894007 species Species 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 229930195733 hydrocarbon Natural products 0.000 description 4
- 150000002430 hydrocarbons Chemical class 0.000 description 4
- 239000011810 insulating material Substances 0.000 description 4
- 239000004215 Carbon black (E152) Substances 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 229920000620 organic polymer Polymers 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000009832 plasma treatment Methods 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 238000003672 processing method Methods 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000002826 coolant Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910052754 neon Inorganic materials 0.000 description 2
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 2
- 150000002835 noble gases Chemical class 0.000 description 2
- 238000013021 overheating Methods 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 230000002000 scavenging effect Effects 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- CFAKWWQIUFSQFU-UHFFFAOYSA-N 2-hydroxy-3-methylcyclopent-2-en-1-one Chemical compound CC1=C(O)C(=O)CC1 CFAKWWQIUFSQFU-UHFFFAOYSA-N 0.000 description 1
- 235000014653 Carica parviflora Nutrition 0.000 description 1
- 241000243321 Cnidaria Species 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 239000005441 aurora Substances 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000012993 chemical processing Methods 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- QLOAVXSYZAJECW-UHFFFAOYSA-N methane;molecular fluorine Chemical compound C.FF QLOAVXSYZAJECW-UHFFFAOYSA-N 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000001000 micrograph Methods 0.000 description 1
- 239000008208 nanofoam Substances 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- -1 poly (arylene ether Chemical compound 0.000 description 1
- 229920000052 poly(p-xylylene) Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 239000001294 propane Substances 0.000 description 1
- 239000007845 reactive nitrogen species Substances 0.000 description 1
- 239000003642 reactive oxygen metabolite Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000000725 suspension Substances 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 238000007704 wet chemistry method Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32192—Microwave generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3342—Resist stripping
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Analytical Chemistry (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
Description
ヘリウム及び水素から形成された上記で指摘のような、無酸素及び無窒素プラズマは、より攻撃性が少なく、従来の意味でフォトレジストと完全に反応しない。むしろ、プラズマは、例えば、昇華や気化によって、フォトレジストの部分を除去可能にする。結果として、基本的に無酸素及び無窒素プラズマは、基板からフォトレジストを除去するのに有効であるけれども、プラズマ照射は、処理室内や、排出ライン内及びそのいくつかの要素内のようなプラズマ工程室から下流の領域で、昇華された(あるいは気化された)あるいは除去されたフォトレジストや副生成物を堆積させる傾向がある。
結果として、処理室の定期的清掃が要求され、一般的に、プラズマ酸化(oxidizing plasma)の使用が要求される。プラズマ酸化は、処理室をあるがままの状態(インシトゥー)で清掃するための手段を提供する。しかしながら、プラズマ酸化は、バッフルプレートアッセンブリ、特に、プラズマが最初にバッフルプレートアッセンブリに激突する衝突中心で、温度上昇を引き起こすことがわかった。それに続くウエハ工程での温度上昇は、アッシング速度を減少させ、そして、ウエハ表面を横断するアッシング工程の均一性、特に、ウエハの中心と端部間の除去速度の相違に、否定的な影響を与えることがわかった。
ここでの開示は、フォトレジスト、エッチング後の残留物、及び揮発性の副生成物を基板から除去するためのプラズマアッシング工程である。一実施形態において、炭素、水素、又は炭素と水素の化合物を含む基板から、フォトレジスト材料とエッチング後の残留物とを除去するプラズマアッシング法であり、基板は低k誘電体材料を含み、プラズマは、本質的に無酸素及び無窒素のガス混合物から形成され、前記プラズマは、上部バッフルプレートを含むバッフルプレートアッセンブリを介して上記基板上に流され、フォトレジスト材料、エッチング後の残留物、及び揮発性の副生成物を上記基板から除去し、そして、アッシング処理中に、前記プラズマの流れと反対方向に、かつ、上記上部バッフルプレートの温度を低下させるのに有効な量の冷却ガスを、バッフルプレートアッセンブリを介して流す。
12 マイクロウエーブプラズマ発生要素
14 処理室
100 ガス分配システム
102 上部バッフルプレート
104 下部バッフルプレート
120、122 開口
Claims (21)
- 炭素、水素、あるいは炭素と水素との化合物からなる低k誘電体材料を含む基板から、フォトレジスト材料及びエッチング後の残留物を除去するためのプラズマアッシング法であって、
基本的に無酸素及び無窒素のガス混合物からプラズマを形成し、
上部バッフルプレートを含むバッフルプレートアッセンブリを介して前記基板上に前記プラズマを流し、フォトレジスト材料、エッチング後の残留物、及び揮発性の副生成物を前記基板から除去し、
アッシング処理中に、前記上部バッフルプレートの温度を低下させるのに有効な量の冷却ガスを、前記プラズマの流れと反対方向に、前記バッフルプレートアッセンブリを介して流す、
ことからなるプラズマアッシング法。 - 前記バッフルプレートは、前記上部バッフルプレートから離れており、かつ、該上部バッフルプレートと同一平面上にある少なくとも一つの付加的なバッフルプレートとからなる請求項1記載のプラズマアッシング法。
- 前記プラズマは、水素と希ガスとからなる請求項1記載のプラズマアッシング法。
- 前記希ガスは、ヘリウムである請求項3記載のプラズマアッシング法。
- プラズマ流と反対方向に流れる冷却ガスは、100スタンダード立方センチメートル/分から100スタンダードリットル/分の流速である請求項1記載のプラズマアッシング法。
- 冷却ガスを流すことと同時に基板を加熱することを、さらに含んでいる請求項1記載のプラズマアッシング法。
- 冷却ガスが、希ガスからなる請求項1記載のプラズマアッシング法。
- 冷却ガスが、ヘリウム、アルゴン、水素及びそれらの混合物からなるグループから選択される請求項1記載のプラズマアッシング法。
- 前記上部バッフルプレートと同一平面で、かつ、離れている少なくとも一つの付加的なバッフルプレートは、少なくとも一つのバッフルプレートの中心軸から外端へ密度が増加するように、中心軸の周囲に複数の開口が配置されている、請求項2記載のプラズマアッシング法。
- 上部バッフルプレートアッセンブリは、前記上部バッフルプレートの中心位置に物理的に連絡して配置される衝突板を含む、請求項2記載のプラズマアッシング法。
- 前記上部バッフルプレートと同一平面で、かつ、離れている少なくとも一つの付加的なバッフルプレートは、少なくとも一つのバッフルプレートの中心軸から外端へ密度が増加するように、中心軸の周囲に複数の開口が配置されている、請求項1記載のプラズマアッシング法。
- 炭素、水素、又は炭素と水素の化合物を含む基板から、フォトレジスト材料とエッチング後の残留物とを除去するためのプラズマアッシング法であって、前記基板は低k誘電体層を含み、
基本的に無酸素及び無窒素のガス混合物からプラズマを形成し、前記プラズマは水素及びヘリウムからなり、
処理室内に前記プラズマを流し、前記処理室は、上記プラズマと流体連絡するバッフルプレートアッセンブリを含み、そして、前記バッフルプレートアッセンブリは、略平面状の下部バッフルプレート上に固定配置される略平面状の上部バッフルプレートを含み、下部バッフルプレートは、中心軸の周囲に配置される複数の開口を含み、そして、複数の開口は、下部バッフルプレートの中心軸から外端へ密に増加し、
前記処理室へ酸素プラズマを導入することによって前記処理室を周期的に清掃し、及び、
プラズマアッシング処理中に、前記上部バッフルプレートの中央衝突領域とその周囲へ、冷却ガスを流すことによって前記バッフルプレートアッセンブリを冷却する、
ことからなるプラズマアッシング法。 - 前記バッフルプレートアッセンブリ上に流れる冷却ガスは、流速が100スタンダード立方センチメートル/分から100スタンダードリットル/分である、請求項12記載のプラズマアッシング法。
- 前記バッフルプレートアッセンブリ上の冷却ガスの流れは、プラズマの流れと逆方向である、請求項12記載のプラズマアッシング法。
- 前記冷却ガスは、希ガスを含む請求項12記載のプラズマアッシング法。
- 前記冷却ガスは、ヘリウム、アルゴン、水素、及びそれらの混合物から選択される請求項12記載のプラズマアッシング法。
- 請求項1または12記載のプラズマアッシング法を実行するための処理室であって、
略平面状の下部バッフルプレート上に位置する略平面状の上部バッフルプレートを含むバッフルプレートアッセンブリ、前記下部バッフルプレート、前記処理室の壁との熱的連絡をする少なくとも一つの熱伝導スタンドオフを含む前記上部バッフルプレート、
を含む処理室。 - 前記処理室の壁は、水冷されている請求項17記載の処理室。
- 前記上部バッフルプレートは、熱伝導材料から形成されている請求項17記載の処理室。
- 前記上部バッフルプレートは、アルミニウムで形成されている請求項17記載の処理室。
- 請求項17記載の処理室を含んでいるダウンストリーム型プラズマアッシャー。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US60636004P | 2004-09-01 | 2004-09-01 | |
US60/606,360 | 2004-09-01 | ||
PCT/US2005/031492 WO2006026765A2 (en) | 2004-09-01 | 2005-09-01 | Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012148592A Division JP2012191242A (ja) | 2004-09-01 | 2012-07-02 | フォトレジストの除去速度を増加するプラズマアッシング方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2008512004A JP2008512004A (ja) | 2008-04-17 |
JP5115798B2 true JP5115798B2 (ja) | 2013-01-09 |
Family
ID=35448183
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007530435A Expired - Fee Related JP5115798B2 (ja) | 2004-09-01 | 2005-09-01 | フォトレジストの除去速度を増加する装置及びプラズマアッシング方法 |
JP2012148592A Pending JP2012191242A (ja) | 2004-09-01 | 2012-07-02 | フォトレジストの除去速度を増加するプラズマアッシング方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012148592A Pending JP2012191242A (ja) | 2004-09-01 | 2012-07-02 | フォトレジストの除去速度を増加するプラズマアッシング方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US7449416B2 (ja) |
EP (1) | EP1784690A2 (ja) |
JP (2) | JP5115798B2 (ja) |
KR (1) | KR101170861B1 (ja) |
CN (2) | CN101053063B (ja) |
TW (1) | TWI376748B (ja) |
WO (1) | WO2006026765A2 (ja) |
Families Citing this family (150)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060228889A1 (en) * | 2005-03-31 | 2006-10-12 | Edelberg Erik A | Methods of removing resist from substrates in resist stripping chambers |
US7479457B2 (en) * | 2005-09-08 | 2009-01-20 | Lam Research Corporation | Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof |
US7381651B2 (en) | 2006-03-22 | 2008-06-03 | Axcelis Technologies, Inc. | Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process |
US8715455B2 (en) * | 2007-02-06 | 2014-05-06 | Tokyo Electron Limited | Multi-zone gas distribution system for a treatment system |
US7807579B2 (en) * | 2007-04-19 | 2010-10-05 | Applied Materials, Inc. | Hydrogen ashing enhanced with water vapor and diluent gas |
KR100911990B1 (ko) * | 2007-07-04 | 2009-08-13 | 삼성모바일디스플레이주식회사 | 발광표시장치의 제조방법 |
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US8252114B2 (en) * | 2008-03-28 | 2012-08-28 | Tokyo Electron Limited | Gas distribution system and method for distributing process gas in a processing system |
JP5547878B2 (ja) * | 2008-06-30 | 2014-07-16 | 株式会社日立ハイテクノロジーズ | 半導体加工方法 |
KR101110080B1 (ko) * | 2009-07-08 | 2012-03-13 | 주식회사 유진테크 | 확산판을 선택적으로 삽입설치하는 기판처리방법 |
US20110136346A1 (en) * | 2009-12-04 | 2011-06-09 | Axcelis Technologies, Inc. | Substantially Non-Oxidizing Plasma Treatment Devices and Processes |
US8980751B2 (en) * | 2010-01-27 | 2015-03-17 | Canon Nanotechnologies, Inc. | Methods and systems of material removal and pattern transfer |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9611544B2 (en) * | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9287113B2 (en) | 2012-11-08 | 2016-03-15 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
CN103403843B (zh) | 2011-03-04 | 2016-12-14 | 诺发系统公司 | 混合型陶瓷喷淋头 |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9070760B2 (en) * | 2011-03-14 | 2015-06-30 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
WO2012148370A1 (en) | 2011-04-27 | 2012-11-01 | Axcelis Technologies, Inc. | Substantially non-oxidizing plasma treatment devices and processes |
US20130298942A1 (en) * | 2012-05-14 | 2013-11-14 | Applied Materials, Inc. | Etch remnant removal |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9165783B2 (en) | 2012-11-01 | 2015-10-20 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9299574B2 (en) | 2013-01-25 | 2016-03-29 | Applied Materials, Inc. | Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants |
US9129911B2 (en) | 2013-01-31 | 2015-09-08 | Applied Materials, Inc. | Boron-doped carbon-based hardmask etch processing |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9745658B2 (en) | 2013-11-25 | 2017-08-29 | Lam Research Corporation | Chamber undercoat preparation method for low temperature ALD films |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US10741365B2 (en) * | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9828672B2 (en) * | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
US10023956B2 (en) | 2015-04-09 | 2018-07-17 | Lam Research Corporation | Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10211099B2 (en) | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
JP2021506126A (ja) | 2017-12-07 | 2021-02-18 | ラム リサーチ コーポレーションLam Research Corporation | チャンバ調整における耐酸化保護層 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10760158B2 (en) | 2017-12-15 | 2020-09-01 | Lam Research Corporation | Ex situ coating of chamber components for semiconductor processing |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR102516885B1 (ko) * | 2018-05-10 | 2023-03-30 | 삼성전자주식회사 | 증착 장비 및 이를 이용한 반도체 장치 제조 방법 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR102187121B1 (ko) * | 2019-04-30 | 2020-12-07 | 피에스케이 주식회사 | 기판 처리 장치 |
JP7340396B2 (ja) * | 2019-09-24 | 2023-09-07 | 株式会社Screenホールディングス | 基板処理方法および基板処理装置 |
CN111198482B (zh) * | 2020-01-06 | 2023-10-24 | 长江存储科技有限责任公司 | 光刻胶去除装置及方法 |
CN116705595A (zh) | 2020-01-15 | 2023-09-05 | 朗姆研究公司 | 用于光刻胶粘附和剂量减少的底层 |
JP7115783B2 (ja) * | 2020-03-30 | 2022-08-09 | ピーエスケー インコーポレイテッド | 基板処理装置 |
CN113053787A (zh) * | 2021-03-07 | 2021-06-29 | 曹生们 | 一种等离子干法去胶用热盘装置 |
US11448977B1 (en) * | 2021-09-24 | 2022-09-20 | Applied Materials, Inc. | Gas distribution plate with UV blocker at the center |
Family Cites Families (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4341592A (en) | 1975-08-04 | 1982-07-27 | Texas Instruments Incorporated | Method for removing photoresist layer from substrate by ozone treatment |
JPH0590214A (ja) * | 1991-09-30 | 1993-04-09 | Tokyo Ohka Kogyo Co Ltd | 同軸型プラズマ処理装置 |
US5498308A (en) | 1994-02-25 | 1996-03-12 | Fusion Systems Corp. | Plasma asher with microwave trap |
US6342135B1 (en) * | 1995-11-02 | 2002-01-29 | Taiwan Semiconductor Manufacturing Company | Sputter etching chamber with improved uniformity |
US5961851A (en) | 1996-04-02 | 1999-10-05 | Fusion Systems Corporation | Microwave plasma discharge device |
US5980638A (en) | 1997-01-30 | 1999-11-09 | Fusion Systems Corporation | Double window exhaust arrangement for wafer plasma processor |
US5968275A (en) | 1997-06-25 | 1999-10-19 | Lam Research Corporation | Methods and apparatus for passivating a substrate in a plasma reactor |
JP3501930B2 (ja) * | 1997-12-01 | 2004-03-02 | 株式会社ルネサステクノロジ | プラズマ処理方法 |
US6057645A (en) | 1997-12-31 | 2000-05-02 | Eaton Corporation | Plasma discharge device with dynamic tuning by a movable microwave trap |
JP2000345348A (ja) * | 1999-06-03 | 2000-12-12 | Ulvac Japan Ltd | 成膜方法 |
US6415736B1 (en) * | 1999-06-30 | 2002-07-09 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6281135B1 (en) | 1999-08-05 | 2001-08-28 | Axcelis Technologies, Inc. | Oxygen free plasma stripping process |
US6225745B1 (en) | 1999-12-17 | 2001-05-01 | Axcelis Technologies, Inc. | Dual plasma source for plasma process chamber |
US6646223B2 (en) * | 1999-12-28 | 2003-11-11 | Texas Instruments Incorporated | Method for improving ash rate uniformity in photoresist ashing process equipment |
US6635117B1 (en) | 2000-04-26 | 2003-10-21 | Axcelis Technologies, Inc. | Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system |
KR20020051670A (ko) * | 2000-12-23 | 2002-06-29 | 윤종용 | 식각장치의 샤워헤드 |
US6630406B2 (en) * | 2001-05-14 | 2003-10-07 | Axcelis Technologies | Plasma ashing process |
US6951823B2 (en) * | 2001-05-14 | 2005-10-04 | Axcelis Technologies, Inc. | Plasma ashing process |
US6964919B2 (en) | 2002-08-12 | 2005-11-15 | Intel Corporation | Low-k dielectric film with good mechanical strength |
US20040235299A1 (en) * | 2003-05-22 | 2004-11-25 | Axcelis Technologies, Inc. | Plasma ashing apparatus and endpoint detection process |
US7892357B2 (en) * | 2004-01-12 | 2011-02-22 | Axcelis Technologies, Inc. | Gas distribution plate assembly for plasma reactors |
US20050241669A1 (en) * | 2004-04-29 | 2005-11-03 | Tokyo Electron Limited | Method and system of dry cleaning a processing chamber |
-
2005
- 2005-09-01 JP JP2007530435A patent/JP5115798B2/ja not_active Expired - Fee Related
- 2005-09-01 CN CN2005800379286A patent/CN101053063B/zh not_active Expired - Fee Related
- 2005-09-01 CN CN201210073651.4A patent/CN102610481B/zh not_active Expired - Fee Related
- 2005-09-01 EP EP05795946A patent/EP1784690A2/en not_active Withdrawn
- 2005-09-01 WO PCT/US2005/031492 patent/WO2006026765A2/en active Application Filing
- 2005-09-01 US US11/217,247 patent/US7449416B2/en not_active Expired - Fee Related
- 2005-09-01 KR KR1020077007512A patent/KR101170861B1/ko active IP Right Grant
- 2005-09-02 TW TW094130076A patent/TWI376748B/zh not_active IP Right Cessation
-
2012
- 2012-07-02 JP JP2012148592A patent/JP2012191242A/ja active Pending
Also Published As
Publication number | Publication date |
---|---|
TW200611335A (en) | 2006-04-01 |
JP2012191242A (ja) | 2012-10-04 |
CN102610481A (zh) | 2012-07-25 |
CN101053063B (zh) | 2012-10-03 |
CN101053063A (zh) | 2007-10-10 |
WO2006026765A3 (en) | 2006-06-29 |
EP1784690A2 (en) | 2007-05-16 |
CN102610481B (zh) | 2016-04-13 |
US7449416B2 (en) | 2008-11-11 |
KR101170861B1 (ko) | 2012-08-03 |
KR20070060104A (ko) | 2007-06-12 |
TWI376748B (en) | 2012-11-11 |
US20060046470A1 (en) | 2006-03-02 |
WO2006026765A2 (en) | 2006-03-09 |
JP2008512004A (ja) | 2008-04-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5115798B2 (ja) | フォトレジストの除去速度を増加する装置及びプラズマアッシング方法 | |
TW557477B (en) | Plasma ashing process | |
US8895449B1 (en) | Delicate dry clean | |
JP4813755B2 (ja) | 有機反射防止膜をプラズマエッチングする方法 | |
TWI654683B (zh) | 蝕刻雙鑲嵌結構中的介電阻隔層之方法 | |
JP4860087B2 (ja) | エッチング方法 | |
US7202176B1 (en) | Enhanced stripping of low-k films using downstream gas mixing | |
TWI605503B (zh) | 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法 | |
KR100760243B1 (ko) | 플라즈마 리액터 내의 천공된 플라즈마 한정 링 및 이 한정 링을 구비하여 플라즈마로 기판을 처리하기 위한 디바이스 및 리액터 및 방법 | |
TWI514462B (zh) | 氮化矽膜中之特徵部的蝕刻方法 | |
US20060228889A1 (en) | Methods of removing resist from substrates in resist stripping chambers | |
TWI417960B (zh) | 利用co/co基處理以灰化基板之低損害方法 | |
JP2007501535A (ja) | プラズマ装置、プラズマ装置のための配ガスアセンブリー、およびそれらを用いた処理方法 | |
JP4911936B2 (ja) | プラズマアッシング方法 | |
JP4558296B2 (ja) | プラズマアッシング方法 | |
US7964511B2 (en) | Plasma ashing method | |
US20100043821A1 (en) | method of photoresist removal in the presence of a low-k dielectric layer | |
JPH05275326A (ja) | レジストのアッシング方法 | |
RU2293796C2 (ru) | Плазмохимический реактор низкого давления для травления и осаждения материалов | |
JP3502157B2 (ja) | プラズマ処理装置 | |
JPH05347282A (ja) | アッシング装置及びその処理方法 | |
KR20090012305A (ko) | 기판 손실 없이 표면 층을 제거하기 위한 중간 압력플라즈마 시스템 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080821 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20110614 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110622 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20110921 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20110929 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20111021 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20111028 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111122 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120229 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120529 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120605 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120702 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20120905 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20121003 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20151026 Year of fee payment: 3 |
|
LAPS | Cancellation because of no payment of annual fees |