JP5115798B2 - フォトレジストの除去速度を増加する装置及びプラズマアッシング方法 - Google Patents

フォトレジストの除去速度を増加する装置及びプラズマアッシング方法 Download PDF

Info

Publication number
JP5115798B2
JP5115798B2 JP2007530435A JP2007530435A JP5115798B2 JP 5115798 B2 JP5115798 B2 JP 5115798B2 JP 2007530435 A JP2007530435 A JP 2007530435A JP 2007530435 A JP2007530435 A JP 2007530435A JP 5115798 B2 JP5115798 B2 JP 5115798B2
Authority
JP
Japan
Prior art keywords
baffle plate
plasma
plasma ashing
ashing method
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007530435A
Other languages
English (en)
Other versions
JP2008512004A (ja
Inventor
フェリス デイビッド
ハマー フィリップ
ベックネル アラン
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2008512004A publication Critical patent/JP2008512004A/ja
Application granted granted Critical
Publication of JP5115798B2 publication Critical patent/JP5115798B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

この開示は、半導体製造に関するものであり、特に、基板からフォトレジスト及びエッチング後の残留物を除去するためのプラズマアッシング方法に関するものである。
最近、マイクロエレクトロニクスの次世代用として低k誘電体薄膜フィルムの開発に多くの注意が集中されている。集積回路装置が小さくなるにつれて、相互接続に沿う信号伝播のRC−遅延時間は、全般的なチップ速度を制限する支配的要因の一つになる。銅技術の出現で、R(抵抗)は、その実際的な最低限界まで前進している。そのようなものとして、全般的なチップ速度を増加させるために、C(キャパシタンス)を減少させることに注意が向けられている。この課題を達成する一つの方法は、相互接続を取り囲む薄膜絶縁フィルムの誘電率(しばしば、“k”と呼ばれる)を減少させることであり、それによって、Cを減少させ、また、全般的なチップ速度を改善する。
伝統的に、二酸化ケイ素(SiO)が薄膜絶縁材料として使用されてきた。ここで使用される低k及び高kという用語は、二酸化ケイ素(SiO)の誘電率(k)に関してであり、すなわち、低k材料は、一般的に二酸化ケイ素より小さい誘電率(例えば、約3.9より小さい)を有する材料に関するものであり、また、高k材料は、一般的に二酸化ケイ素より高い誘電率(例えば、約3.9より大きい)を有する材料に関するものである。低k材料は、一般的に、有機ポリマー、非晶質フッ素化炭素、ナノフォーム(nanofoam)、有機ポリマーを含むシリコーンベース絶縁体、炭素がドープされたシリコーン酸化物、フッ素がドープされたシリコーン酸化物等を含むが、これらに限定されるものではない。
基板(例えば、ウエハ)上に集積回路を製造する際、基板は、最終的な集積回路が製造される前に、一般的に多くの処理段階を経ている。低k誘電体材料、特に、炭素を含む低k材料は、これらの処理段階のいくつかに敏感である。例えば、“アッシング”段階中に使用されるプラズマは、フォトレジスト材料を剥ぎ、炭素を含む低k誘電体薄膜の部分の除去をすることができる。アッシングは、一般的に、プラズマを介在する除去工程に関し、それによって、残留フォトレジストやエッチング後の残留物が、プラズマ照射により基板から剥がされ、取り去られる。エッチングあるいはイオン注入工程が実行された後に、アッシング工程が一般的にあり、そこでフォトレジスト材料は、基礎をなす基板上にパターンをエッチングするための、あるいは、基板が照射される領域中で、選択的にイオンを注入するための、マスクとして使用される。アッシング工程は、エッチング残留物の痕跡を除去するために、典型的には湿式化学処理に付随して行われる。しかしながら、湿式化学処理は、低k誘電体の材料の損失の悪化をさらに引き起こし、また、誘電率の増加を引き起こす。
アッシング処理工程が、エッチング処理工程と、かなり異なるということに留意することは重要である。両処理工程は、プラズマを介在させるけれども、エッチング処理工程は、プラズマ化学が、フォトレジストマスクの開口を介して基板表面の部分を除去することによって、基板中にイメージを永久に移すために選ばれるという点で、著しく異なっている。プラズマは、一般的に、低温[例えば、室温(約21℃)から140℃]と、基板の部分を除去するための低圧(ミリトールのオーダーの)での高エネルギーイオン衝撃を含む。さらに、イオンに曝される基板の部分は、フォトレジストマスクの除去速度と等しいか、あるいは大きい速度で、一般的に除去される。
エッチング処理工程と対照的に、アッシング処理工程は、エッチング中に形成されるフォトレジストマスク、何らかのポリマー、あるいは残留物を選択的に除去することに関連する。アッシングプラズマ化学は、エッチング化学ほど強くなく、また、一般的に、基礎をなす基板の除去速度より大きい速度で、フォトレジストマスク層を除去するために選ばれる。さらに、多くのアッシング処理工程は、プラズマの反応度を増加させるために、200℃以上の温度で基板を加熱し、また、比較的、高圧で(1トールのオーダー)行われる。その結果、エッチングとアッシングの処理工程は、著しく異なる材料を除去するために、また、それ自体、異なるプラズマ化学と処理工程を完全に使用するために割り当てられる。結果がよいアッシング処理工程は、基板中へイメージを永久に移すために使用されない。むしろ、結果がよいアッシング処理工程は、基礎となる基板、例えば、低k誘電体材料の除去をせずに、あるいはそれへの影響なしに、フォトレジスト、ポリマー、及び残留物の除去速度によって決められる。
研究では、フォトレジスト除去処理工程中での低k誘電体の減少への重要な貢献は、アッシングプラズマの生成のための酸素、窒素及び/あるいはフッ素を含むガス源の使用から生じるということが示唆されている。これらガス源に含まれるガス混合物は、基板からフォトレジストを効率的にアッシングするけれども、これらガス源の使用は、低k誘電体を含む基板に有害であることがわかった。例えば、酸素含有プラズマは、プラズマ処理工程中、低k誘電体基礎層の誘電率を上げることができる。誘電率の増加は、他の物の中で、装置性能に、間接的に影響を与える接続キャパシタンスに響く。さらに、酸素含有プラズマの使用は、銅金属が容易に酸化するので、銅金属層を使用する進歩した装置の製造に、一般的に、より好まれない。
理想的に、アッシングプラズマは、基礎となる低k誘電体層に影響すべきではなく、また、好ましくは、フォトレジスト材料のみ除去すべきである。SiO2のような、従来の誘電体の使用は、これらガス源(例えば、酸素、窒素及び/あるいはフッ素含有ガス源)に高い選択性を与え、また、初期の世代の装置には適切であった。低k誘電体を備える問題点は、酸化力のあるプラズマ種によって攻撃されることに敏感であることである。低k誘電体への損傷を最小にするために、基本的に無酸素[例えば、約20パーツ・パー・ミリオン(ppm)以下の酸素O]であり、かつ、基本的に無窒素(例えば、約20ppm以下の窒素N)のプラズマ処理工程が開発された。そのような一つの処理工程は、Waldfried外1名に対する米国特許第6,630,406号に記載され、その処理工程は、希ガス(例えば、ヘリウム)や水素を含むガス混合物からプラズマを生成することを含む。
ヘリウム及び水素から形成された上記で指摘のような、無酸素及び無窒素プラズマは、より攻撃性が少なく、従来の意味でフォトレジストと完全に反応しない。むしろ、プラズマは、例えば、昇華や気化によって、フォトレジストの部分を除去可能にする。結果として、基本的に無酸素及び無窒素プラズマは、基板からフォトレジストを除去するのに有効であるけれども、プラズマ照射は、処理室内や、排出ライン内及びそのいくつかの要素内のようなプラズマ工程室から下流の領域で、昇華された(あるいは気化された)あるいは除去されたフォトレジストや副生成物を堆積させる傾向がある。
結果として、処理室の定期的清掃が要求され、一般的に、プラズマ酸化(oxidizing plasma)の使用が要求される。プラズマ酸化は、処理室をあるがままの状態(インシトゥー)で清掃するための手段を提供する。しかしながら、プラズマ酸化は、バッフルプレートアッセンブリ、特に、プラズマが最初にバッフルプレートアッセンブリに激突する衝突中心で、温度上昇を引き起こすことがわかった。それに続くウエハ工程での温度上昇は、アッシング速度を減少させ、そして、ウエハ表面を横断するアッシング工程の均一性、特に、ウエハの中心と端部間の除去速度の相違に、否定的な影響を与えることがわかった。
無酸素及び無窒素プラズマの付加的な問題は、プラズマ照射の非均一性である。これらプラズマは、攻撃性が少なく、非均一性は重要な問題である。いくつかの流れに沿うプラズマアッシャーは、プラズマが生成される狭い直径の開口のプラズマチューブを有する。基板の直径は、プラズマチューブの開口の直径より、概して、相当大きい。そのようなものとして、バッフルプレートは、プラズマを偏向させるために、プラズマチューブの出口の近くに典型的には配置され、プラズマが処理室に入り、その結果、プラズマ内の種は、基板を横切って一様に分散する。バッフルプレートアッセンブリの温度は、ウエハ毎、バッチ内に処理されるウエハの数毎、及びバッチ間の時間の長さ毎の、プラズマ照射の継続期間に基づく通常の機械操作の間、望ましくない変化をする。結果として、アッシングの速度と均一性は、プラズマ酸化の清掃工程のため、前述したような、実質的に同じ方法に(それほどではないにせよ)影響される。
したがって、フォトレジスト除去速度を維持又は増進しながら、バッフルプレートの衝突部分、すなわち、中心部分で、温度を低下させるための工程の技術が必要である。
(概要)
ここでの開示は、フォトレジスト、エッチング後の残留物、及び揮発性の副生成物を基板から除去するためのプラズマアッシング工程である。一実施形態において、炭素、水素、又は炭素と水素の化合物を含む基板から、フォトレジスト材料とエッチング後の残留物とを除去するプラズマアッシング法であり、基板は低k誘電体材料を含み、プラズマは、本質的に無酸素及び無窒素のガス混合物から形成され、前記プラズマは、上部バッフルプレートを含むバッフルプレートアッセンブリを介して上記基板上に流され、フォトレジスト材料、エッチング後の残留物び揮発性の副生成物を上記基板から除去し、そして、アッシング処理中に、前記プラズマの流れと反対方向に、かつ、上記上部バッフルプレートの温度を低下させるのに有効な量の冷却ガスを、バッフルプレートアッセンブリを介して流す。
他の実施形態では、炭素、水素、又は、炭素と水素の化合物からなる基板から、フォトレジスト材料及びエッチング後の残留物を除去するためのプラズマアッシング法であり、そして、前記基板は、低k誘電体材料を含み、プラズマは、本質的に無酸素及び無窒素のガス混合物から形成され、前記プラズマは、水素とヘリウムを含み、前記プラズマを処理室内に流し、前記処理室は、上記プラズマと流体連絡するバッフルプレートアッセンブリを含み、前記バッフルプレートアッセンブリは、略平面状の下部バッフルプレート上に固定配置される略平面状の上部バッフルプレートを含み、前記下部バッフルプレートは、中心軸の周囲に配置される複数の開口を含み、複数の開口は、下部バッフルプレートの中心軸から外端へ密に増加し、上記処理室へ酸素プラズマを導入することによって処理室を周期的に清掃し、そして、プラズマアッシング処理中に、上部バッフルプレートの中央衝突領域とその周囲へ、冷却ガスを流すことによって上記バッフルプレートアッセンブリを冷却する。
他の実施形態では、上記プラズマアッシング法を実行するための処理室は、略平面状の下部バッフルプレート上に位置する略平面状の上部バッフルプレートからなるバッフルプレートアッセンブリ、上記下部バッフルプレート、上記処理室の壁との熱的連絡をする少なくとも一つの熱的伝導スタンドオフを含む上記上部バッフルプレートを含む。
上記記載及び他の特徴は、以下の詳細な記載、図面、及び添付の請求の範囲から、当業者によって理解され、認識されるであろう。
低k絶縁材料を使用するための無酸素及び無窒素プラズマを使用するプラズマアッシング工程が開示されており、そこで上記アッシング装置は、アッシングプラズマを均一に分配するための新しいバッフルアッセンブリを含んでいる。さらに、上記バッフルアッセンブリの衝突点を冷却する方法、および、上記冷却のための好ましいハードウエアの配置が開示されている。上記方法は、低k材料及び例示的なプラズマアッシャー装置に関連して記載されているが、その方法とバッフルアッセンブリは、高k絶縁材料及びプラズマアッシャーの設計変更に容易に適応できるということが理解される。
“無酸素”及び“無窒素”という用語は、この開示中、約20パーツ・パー・ミリオン(ppm)以下、好ましくは約5ppm以下、そして、さらに好ましくは約100パーツ・パー・ビリオン(ppb)以下の与えられた酸素及び窒素成分の成分を含むプラズマに関して使用されている。ある適用に対して最適レベルは、アッシング速度によって決まることは、当業者に理解されるであろう。アッシング速度は、酸素及び/または窒素のより高いレベルの方が時々よく、そして、誘電体層の低k特性の保持は、概して、酸素及び/または窒素の低レベルの方がよい。“低k”という用語は、誘電率が二酸化ケイ素の誘電率以下、すなわち、誘電率が約3.9以下である材料に関していう。例えば、低k材料は、誘電率が約3.5以下であり、好ましくは1.5から3.0である。本開示が意図する低k誘電体は、炭素を含む誘電体、水素を含む誘電体、フッ素がドープされたシリコーン酸化物、有機ポリマーを含む誘電体をベースとするシリコーンを含むが、これに限定されない。
ここで使用されている炭素を含む低k誘電体は、誘電率が約3.9以下であり、好ましくは3.5以下である集積回路または同種のものの使用に適した炭素を含む絶縁材料である。炭素を含む低k誘電体材料は、誘電体材料の骨格が、主として、接続される炭素のネットワークからなる炭素又は炭素に基づくペンダント基を含む。炭素を含む低k誘電体は、通常、二つのタイプ、すなわち、有機とドープされた酸化物のうちの一つとして分類される。有機低k誘電体材料の例は、SiLK又はBCBの登録商標で商業的に入手可能な誘電体のような、ポリイミド、ベンゾシクロブテン、パリレン、ダイアモンド状炭素、ポリ(アリレン エーテル)、シクロテン、フルオロカーボン等を含む。ドープされた酸化物の低k誘電体材料の例は、例えば、CORAL,BLACK DIAMOND、及びAURORAの登録商標で商業的に入手可能な誘電体のような、メチル シルセスキオサン、ハイドロジェン シルセスキオサン、ナノポーラス酸化物、炭素がドープされた二酸化ケイ素等を含む。両タイプの炭素を含む低k誘電体は、稠密(in dense)でも、ポーラスでも利用可能である。ポーラスの場合では、LKD、ORION、BOSS、あるいはporous SiLKの登録商標で商業的に入手可能である。
同様に、水素を含む低k誘電体は、誘電率が約3.9以下であり、好ましくは約3.5以下である集積回路または同種のものの製造で使用されるのに適した材料を含む。上述の炭素を含む低k誘電体の多くは、その化学構造内に炭素原子に結合した一つあるいは複数の水素原子を含んでいる。そのようなものとして、本開示において、反対に、適切な水素を含む低k誘電体材料は、炭素を含む構造を排除することを意図するものではない。
プラズマガス混合物の特別な成分は、プラズマ形成状況下で、ガスとプラズマを形成する能力によって選択される。前記ガス混合物は、基本的に、プラズマ形成状況下で、反応性酸素種や反応性窒素種を生成する成分がない。特に好ましくは、前記ガス混合物は、基本的に、酸素含有化合物や窒素含有化合物がない。前記ガス混合物は、水素や炭化水素のような水素を生じる多くの反応性ガスを含んでいる。前記ガス混合物は、さらに、アルゴン、ヘリウム、ネオン等のような希ガスを含んでいる。前記ガス混合物から生成されるプラズマは、基板及び/あるいはリンス除去化合物の温度及び圧力条件下で揮発する化合物を形成するフォトレジスト、ポリマー、及び残留物内の炭素及び他の原子と、主として反応する。上記工程内で使用に適する水素生成ガスは、水素を含むそれらの化合物を含む。上記水素生成ガスは、炭化水素、水素ガス、あるいはそれらの混合物を含む。好ましくは、水素生成ガスは、プラズマ形成状況下で、気相状態で存在し、プラズマ形成状況下で、原子状水素種や他の水素ラジカルのような反応性水素を形成して水素を放出する。前記炭化水素は、概して、置換されない。水素生成炭化水素ガスの例は、メタン、エタン、及びプロパンを含む。
例としての実施形態において、水素生成ガスは、水素生成ガスと希ガスの混合物である。工程内で使用に適する希ガスの例は、アルゴン、ネオン、ヘリウム等のような、周期律表のVIII族の気体を含む。従来技術の無酸素のプラズマは、概して、水素と窒素のガス混合物からなるフォーミングガス成分を使用するけれども、開示された工程で窒素ガスの使用は、明確に排除される。好ましくは、上記ガス混合物は、水素とヘリウムガスである。ヘリウムガスの原子は、軽く、基板に容易に拡散し、プラズマ生成反応性水素種のため、優れたキャリア特性をもたらす。
安全上の理由から、混合物内の水素ガスの比率は、概して、ガス混合物の体積(vol.%)約5%を越えない。しかし、水素のより高い量は、受け入れられ、そして、時々、フォトレジストの除去速度や選択性を増加させるために好ましい。好ましくは、ガス混合物内の水素の量は、約1vol.%から99vol.%であり、体積の割合は、ガス混合物の全体積に基づいている。さらに好ましくは、ガス混合物の水素の量は、約10vol.%から30vol.%である。
上記工程は、プラズマ源と処理室を含むプラズマアッシャー内で実行される。上記に簡単に記載したように、本開示はいかなる特別なプラズマアッシャーにも制限されるものではない。特に、この開示を実行するのに適したプラズマアッシャーは、例えば、マサチューセッツ州、ビバリイのアクセリステクノロジーズ インコーポレーテッドから商業的に入手可能である、Axcelis RadiantStrip 320Lk(登録商標)の商品名で入手可能なマイクロウエーブプラズマアッシャーのような、ダウンストリーム型プラズマアッシャーである。マイクロウエーブプラズマアッシャーの部分は、米国特許5,571,439号、米国特許5,498,308号、米国特許6,082,374号、米国特許5,980,638号、米国特許5,961,851号、米国特許6,057,645号、米国特許6,225,745号、米国特許4,341,592号、及び国際特許出願WO/97/37055に記載されており、これらすべてが不可分的に参考として、ここに併合される。この開示にしたがって使用できる他のプラズマ生成及び放電装置の例は、プラズマを生成すための無線周波数(RF)を使用するアッシャーを含む。
図1を参照すると、10で指定された例示的なプラズマアッシング装置が、描かれている。プラズマアッシング装置10は、概して、マイクロウエーブプラズマ発生構成要素12と、処理室14からなる。マイクロウエーブプラズマ発生構成要素12は、マイクロウエーブ包囲部16を含む。一般的に、マイクロウエーブ包囲部16は、それを通じて通るプラズマチューブを有する長い部分に区切られている長方形の箱である。各区画には、動作中、マイクロウエーブエネルギーが供給される。それ自体、各区画は、方位角及び軸方向の均一性を促進し、マイクロウエーブエネルギー導入のための比較的、短い空洞であるようにみえる。好ましくはマイクロウエーブ包囲部16は、長方形のTM110モードを維持する大きさであり、また、マイクロウエーブ包囲部16は、四角の断面を有することが可能である。上記断面の大きさは、TM110モードが共振するようなものである。各区画の長さは、λg/2以下である。ここで、λgは、TM101モードの空洞内のガイド長である。
マイクロウエーブトラップ38、40が、マイクロウエーブの伝播を防止するために、マイクロウエーブプラズマ発生構成要素12の端部に備えられる。そのようなトラップは、米国特許第5,498,308号に開示されている型のものとすることができる。
マグネトロン48は、互いに垂直な区画52,54を有して、TE101モードを供給する導波管へカプラ50を介して供給されるマイクロウエーブ出力を供給する。導波管部54は、調整可能である。導波管部54のプレートは、区画されたマイクロウエーブ構造16へマイクロウエーブエネルギーを結合し、それを介してプラズマチューブが伸びる。そして、プラズマは、プラズマチューブを流れるガス混合物内で励起される。
図1を参照すると、エンドキャップ58は、マイクロウエーブトラップ38及び接続金具60と物理的に連絡している。ガス注入口70は、エンドキャップ58内のO−リングによって端部で支持されるプラズマチューブと流体連絡している。前記プラズマチューブの他端部は、端部部材66内に配置され、処理室14内へプラズマ/ガスを放出する開口を有している。選択的には、上記開口が形成される導管は、前記プラズマチューブと処理室14間で異なる圧力を創りだすように適合させる狭い開口で合わせられ、そこで、圧力は、プラズマチューブ内より大きくなる。動作中、プラズマチューブ内の圧力は、好ましくは、約1トールから約大気圧(約760トール)である。反対に、動作中の処理室14内の圧力は、約100ミリトールから約大気圧(760トール)である。
前記プラズマチューブの開口は、処理室14の内部領域と流体連絡している。前記プラズマは、処理室14の内部へ比較的狭い開口(処理される基板の直径に比較して)から放電するので、基板への均一なプラズマ照射を促進するガス分配システム(図2)が、処理室14内に配置される。ガス分配システム100は、前記基板と前記プラズマチューブの開口との中間に配置される。
例の実施形態において、ガス分配システム100は、基板表面へプラズマの均一な分配をするために、基板上に同軸に置かれたバッフルプレートを含む。上記バッフルプレートは、多くの積み重ねられたバッフルプレートからなり、各プレートは複数の開口を含む。例によって、図2から5は、装置10に使用するためのガス分配システム10を描いている。描かれた実施形態で、ガス分配システム100は、二重のバッフルプレートアッセンブリとして示されている。しかしながら、当業者であれば、付加的なバッフルプレートが、ここに提示された技術と合体して使用され、単にガス分配システムを最も効果的にしていることは、理解されるであろう。それ自体、この開示が二重のバッフルプレートの配置に制限されるものではない。
図1は、ガス分配システム(バッフルプレートアッセンブリ)100の実施形態に合体される処理室14を示している。そこに取り付けられた図2−5のガス分配システムを含むアッシャー処理室14は、300ミリメートル(mm)の基板(ウエハ)処理システムでの使用に適している。この開示の観点から、当業者であれば理解されるように、ガス分配システム100は、200mmでの使用に適合させることもできる。さらに、ガス分配システム100は、ダウンストリーム型プラズマアッシャー装置内に装備されるものとして記載されているけれども、残留物除去、ストリッピング及び等方性エッチング装置のような他の半導体製造装置に使用することもできる。

図2−4を参照すると、ガス分配システム100は、概して、互いに平行に位置し、また、一方から離れている(それらの間に空間がある)、上部開口バッフルプレート102と、比較的大きな開口の下部バッフルプレート104とを含む。図2により明瞭に示されるように、ガス分配システム100は、処理される基板(ウエハ110)が置かれる空洞108を含む処理室14の下部部分106と物理的に連絡している。さらに互いに平行に向いているバッフルプレート102と104は、処理されるウエハ110に平行な向きである。
シール112は、ガス分配システム100と、処理室14の下部部分との間の接合部分に備えられ、下部バッフルプレート104(図2と4)の溝114内にある。ウエハは、ロードロック機構(図示されていない)を介して入口/出口から処理室へ導入され、また、取り出される。処理室の下部部分106に位置するヒータ機構(図示されない)は、処理中に所定温度まで、ウエハ110の下面を加熱する。
処理室14は、穴118の位置で、ヒータアッセンブリ(図示されない)とプラズマ発生構成要素12の中間で、プラズマアッシング装置10内に設置される。動作中、プラズマチューブの比較的狭い開口からの活性化されたプラズマ(ガス)は、ガス分配システム100と出会う。例えば、プラズマチューブから流れる活性化されたプラズマは、実質的に開口から離れている上部バッフルプレート102の中央領域(例えば、衝突点)に衝突する。この中央領域は、バッフルプレート104と処理室14の蓋との間に形成されるプレナムの適切な動作を達成するために、プラズマチューブから発生し、そして、径方向にガス/プラズマ種を加速する活性化されたガスを迂回させることができる。それから、プラズマは、下部バッフルプレート104内の開口122と上部バッフルプレート102の開口120を経由して処理室内に供給される。一実施形態において、下部バッフルプレート104は、入口126と出口128を経由して内部冷却通路124を通る冷却媒体で活発に冷却される。処理室14の下部部分106の壁130は、入口134と出口136を経由して内部冷却通路132を通る冷却媒体で活発に冷却される。
図3及び4により明確に示されるように、下部バッフルプレート104は、外側フランジ138と、開口122からなる、概して、平面状部140とを含む。取り付け穴(図示されていない)が、遮蔽体(スタンドオフ)142によって、上部バッフルプレート102を取り付けるために、下部バッフルプレート104に設けられる。上部バッフルプレート102と下部バッフルプレート104との間の距離は、ガス分配システム100を通るガス流のパターンを、ある程度、決定する。200mm又は300mmのプラズマアッシャーに対して、上部と下部のバッフルプレート102、104間の距離は、好ましくは、約0.25インチ(約0.6cm)から約1.5インチ(約5.1cm)であり、さらに好ましくは、約0.5インチ(約1.3cm)から1.5インチ(約3.8cm)の距離である。200mmの配置に対する上部バッフルプレートの開口密度は、300mmの配置の開口密度に対して減少している、ということに注意されるべきである。
図3は、図2に示される300mmガス分配システムの平面図であり、図4は、このガス分配システム100の断面図である。この図に示されるように、ガス分配システム100は、下部バッフルプレートフランジ138内の取り付け穴146を介して、処理室14の上部部分106と物理的に連絡している(例えば、取り付けられている)。開口122が、下部バッフルプレート104に設けられている。開口部122の表面領域は、その下にあるウエハ110を覆うに十分なほどである(図2参照)。この実施形態において、開口122の大きさは、下部バッフルプレート104の中心領域から外端へ増加している。下部プレートの開口122の大きさが増加することによって、炭素含有及び/あるいは水素含有低k誘電体の使用に対して、無酸素及び無窒素プラズマがプラズマ均一性を改善することが見出された。反対に、上部プレートの開口の大きさは、一定であり、さらに中央の開口のない衝突領域を含んでいる。
下部バッフルプレートに対して、開口の直径は、約0.05インチから約0.25インチである。他の実施形態において、直径は約0.075インチから約0.175インチである。上部バッフルプレートに対して、開口の直径は、約0.1インチから約0.5インチである。他の実施形態において、開口の直径は、約0.2インチから約0.3インチである。
例によって、この開示に使用される上部及び下部のバッフルプレートの適切な配置は、以下のようである。上部バッフルプレートは、直径4インチで、下部バッフルプレートは、直径7.5インチであり、上部及び下部のバッフルプレートプレートは、1.0インチだけ離れている。下部バッフルプレートの開口は、半径4インチのところで約0.09インチであり、また、半径7.5インチ、すなわち、下部バッフルプレートの端部のところで、約0.15インチと、中心から径方向へ増加している。この例示的な下部バッフルプレートの配置において、下部バッフルプレートは、プレートの最も中心領域において開口がある。上部バッフルプレートプレートは、半径1インチまで開口のない領域であり、半径4インチ、すなわち、プレートの端部で、開口の直径0.25インチである。
図5は、他の実施形態に従う下部バッフルプレートの平面図である。ここでは、開口122の密度がバッフルプレートの中心から外端まで増加し、開口の大きさは同一である。種々の実施形態における下部バッフルプレートは、ここでは、好ましくは、クオーツ(SiO)、クオーツが被覆されたサファイア、サファイア、セラミック、あるいは陽極酸化アルミニウムである。
上部バッフルプレート102の開口120は、放射状に、又は、同心円状の、幾重もの円形パターンに配置されている。上部バッフルプレート102は、溶融シリカが被覆されたサファイア、クオーツ、サファイア、アルミニウム、又は、セラミック材料からなる。上部バッフルプレート102の開口120は、好ましくは、下部バッフルプレート104の開口122よりもわずかに大きい。上部バッフルプレート102の中心に位置しているのは、好ましくは、付加的にサファイア、クオーツ、あるいはセラミックの衝突板144からなる開口のない領域である。衝突板144は、適切な手段を用いて上部バッフルプレート102に取り付けられている。例えば、ねじ146が、上部バッフルプレートに衝突板144を取り付けるのに使用できる。衝突板144を備えた、あるいは備えていない上部バッフルプレート102の中心部分は、プラズマチューブ32から発する活性化されたガスを、径方向外側の、上部バッフルプレートの残余の開口領域にそらせて、ウエハ110の径方向内側の部分の過熱を防止するようにする。それによって、ウエハの残部に比例して高速でアッシングする。代わりの実施形態において、上部バッフルプレート102は、好ましくは200mmのウエハに対して、開口が配置されないようにできる。
上記に簡単に述べたように、ヘリウム及び水素から形成されるような、無酸素及び無窒素プラズマの使用は、処理室14内、及び排気管やいくつかの構成要素内のような、プラズマ処理室14からの流れに沿う領域内に、昇華され、揮発し、あるいは取り除かれたフォトレジストや副生成物が沈積させる。処理室14は、システムを通じての高出力で発生する酸素含有プラズマを周期的に作動させることによって、“もとのままに”(in-situ)清浄化される。しかしながら、このクリーニング処理は、図6に描かれているように、上部バッフルプレート102を加熱する。図6は、単に説明のために提供されており、当業者であれば、例えば、処理時間や、バッフル温度を制御するために処理されるウエハの数(ウエハバッチサイズ)を容易に変更できる、ということに注意されるべきである。
図6において、時間の関数としてバッフルプレート温度が、二重のバッフルプレートを有する処理室を含むマイクロウエーブプラズマアッシャーに対して測定された。上部バッフルプレート温度は、略プレートの中央部(処理室に入るプラズマの衝突点)で測定され、プレートの端部で記録された上部バッフルプレートの温度に比較されている。15枚のウエハが、全部で約2,250秒の間、無酸素および無窒素のプラズマに連続的に曝され、次に、約500秒間、酸素プラズマを使用して、もとの状態にする清掃(in-situ clean)がなされ、次に、無酸素および無窒素のプラズマで、さらに6枚のウエハを処理した。最初の15枚のウエハの処理中、上部バッフルプレートの中心部および端部の温度は、約150℃から200℃であった。しかし、もとの状態にする清掃中、プレートの端部温度は、処理温度が著しい程度で変化しないにもかかわらず、上部バッフルの中心点での温度は、プレートの中心で著しい温度上昇を生じ、約350℃から400℃に増加した。高出力の酸素清掃処理が、処理室を定期的に清掃するのに必要であるが、上部バッフルプレートの衝突中心を非常に加熱した。続く、さらに6枚のウエハの処理は、プレートの中心での温度が、約200℃から250℃であったことを示している。衝突点での温度の上昇は、アッシングの非均一性(NU)の増加を生じることが見出された。さらに、無酸素および無窒素のプラズマによって、除去されるフォトレジストは、減少した。このように、無酸素および無窒素のプラズマは、低k誘電体を含む基板をアッシングするのに好まれるが、上部バッフルプレートアッセンブリの温度の非均一性の結果として、非均一性の増加とアッシング速度の減少を引き起こす。
もとの状態にする酸素清掃処理によって引き起こされる温度の非均一性を減少させるために、効果的に処理中、周期的にガス(以下、“冷却ガス”という)を上部バッフルプレートの中心へ及び/あるいは介して流すことが、温度の非均一性を減少する、ということが、思いがけなく発見された。一実施形態において、冷却ガスは、冷却ガス導管160を経由して上部バッフルプレート102の下側部分を通して流す。冷却ガス導管160は、図7に使用される配置が示されるように、上部バッフルプレートの中心点に開口を有する。冷却ガスは、導入されるプラズマの方向に流れ、それによって、上部バッフルプレートの中央領域を冷却するために衝突領域へ冷却ガスを向けるようにする。
参考例において、冷却ガスは、プラズマがチューブ内で刺激されない操作の周期中、上部バッフルプレートの衝突中央部へ向けられて流される。例えば、プラズマは、処理室14の内外でウエハ交換中、かつ、ウエハ処理法の最初では、刺激されない。そして、処理室14内の圧力ばかりでなく、基板の温度が調整される。ガス流速は、適用により変化するけれども、例示的な実施形態は、約100スタンダード立方センチメートル/分(sccm)から約100スタンダードリットル/分(slm)の冷却ガス流速を含む。さらに、当業者であれば、この開示が冷却ガスの温度と圧力により、容易に変更できることに注意されるべきである。
さらに、流速、温度、圧力、型、及び冷却ガス類が、バッフルの中央(衝突点)を冷却する、すなわち、フォトレジスト除去速度を維持する、あるいは、増加させるに十分な温度まで、バッフルの温度を減少させるために、選択される。例えば、バッフルプレートの衝突点での温度は、25℃に等しいか、それ以上、好ましくは約25℃から50℃、減少する。例示的な冷却ガスは、これには制限されないが、アルゴン、ヘリウムのような希ガスとその他のガス(水素とのガス混合物及び水素とヘリウムの混合物)を含む。
さらに、ウエハ処理法の初期の時間は、バッフルプレートの冷却で、随意、助長される。ここに開示されるような冷却ガスの使用なしに、処理で平均的なプラズマオンタイムを増加させることは、バッフルプレートの衝突中心で前述の問題を導く温度の増加を引き起こす。例えば、フォトレジスト除去速度は、ウエハ処理量の減少を導くことを減少させる。高いフォトレジスト除去速度を維持することは、ウエハ処理量を増加させるのに有利である。冷却ガスを使用し、ウエハ処理法の初期の時間を最大限にすることは、例えば、より長いプラズマオンタイムでの処理によって引き起こされるバッフル加熱の影響を最小にできる。一実施形態において、フォトレジスト除去速度は、好ましくは5から60秒の時間、さらに好ましくは約20から40秒の間、ウエハ処理法の初期に冷却ガスを使用することによって増加される。
他の実施形態において、アッシャ装置内に使用されるバッフルの中心点を冷却する工程は、アッシャ装置に1以上の質量流量調整器を介して冷却ガスを流すこと、また、選択的に、ウエハ加熱段階と冷却時間を重ねることを含む。
図8に示すような他の実施形態において、上部バッフルプレート102は、アルミニウムのような比較的熱伝導のよい材料から作られており、さらに少なくとも一つの放熱体に取り付けられている。例えば、適切な放熱体は、通常、処理室内に備えられた水冷蓋(lid)164であり、熱伝導スタンドオフ162で上部バッフルプレートに結合されている。上記熱伝導スタンドオフは、アルミニウムのような、何らかの熱伝導材料から構成されてよい。好ましくは、上部バッフルプレートは、通常、より高い熱伝導率を有する材料から構成される。
上記開示は、以下の制限されない例によってさらに説明される。
例において、そこに約8,300オングストロームのShipley UV-6フォトレジストの層を有する4セットのウエハが、アクセリス・テクノロジーズ・インコーポレーテッドから商業的に利用可能であるES3LKプラズマアッシャーを使用して、基本的に酸素及び窒素のないプラズマアッシング処理に曝される。上記プラズマアッシャーは、図2−4に示されるように二重のバッフルプレートアッセンブリを含んでいる。特に、上記プラズマは、水素、ヘリウム、及びヘリウム内の4%水素の10,000sccm(標準立方センチメートル/分)と、酸素の100μL(マイクロリットル/分)の流速での微量の酸素からなるガス混合物から形成される。各ウエハは、例えば、300℃、30秒のプラズマオン、1トールの圧力、及び1,200Wの出力の同じ条件で処理された。ウエハを横切るアッシング速度と非均一性の割合が測定され、フォトレジストCauchy係数に基づく標準的な厚さ測定を使用して各セットに対して平均化された。結果は、図9に示されている。
ラン1は、比較例であり、冷却段階は採用されていない。ラン2−4は、冷却段階が採用されており、ヘリウム内の4%水素が、37標準リットル/分の冷却ガスの流速で使用されている。特に、“ラン2”は、ウエハ加熱中、15秒の冷却清掃を使用し、“ラン3”は、ウエハの加熱が開始される前に、15秒の冷却清掃を使用し、そして“ラン4”は、ウエハの加熱が開始される前に30秒の清掃が使用された。
結果は、アッシング速度の著しい減少は、冷却ガスの使用がない場合であることを、明りょうに示している。例えば、ラン1は、約0.59μm/分(ミクロン/分のレジスト除去)のアッシング速度を示したが、冷却段階の追加は、ラン2に対して0.62μm/分、ラン3に対して0.64μm/分、及び、ラン4に対して0.70μm/分までアッシング速度を上昇させた。
バッフルプレートの衝突点を冷却する開示された方法は、有利には、冷却段階を使用しない装置の設計や、アッシング工程に比較して、アッシング速度の維持及び/又は増加を可能にする。さらに、冷却段階のために必要とされる時間と相殺するよりも多くアッシング速度を増加させるので、種々の実施形態において、アッシャー装置の処理量は、影響されない。
この例において、0.5インチだけ離れている上部と下部のプレートを有する二重のバッフルプレートアッセンブリが使用され、上部クオーツバッフルプレートは、図7に示されるように冷却ガス導管が配置された。上述のように、冷却ガス導管は、バッフルプレートアッセンブリにおいて、上部バッフルプレートを冷却し、また、過剰な加熱を防止するために、上から上部バッフルプレート上に衝突するプラズマを逆流させるのに役立つ。ヘリウムガスは、上部バッフルを冷却するために使用され、0から440sccmの範囲の流れが導入された。
ウエハは、Shipley UV−6フォトレジストが約8,200オングストローム被覆され、焼成され、そして、厚さが測定された。被覆されたウエハは、それから実施例1のように、アクセリス・テクノロジーズのアッシャーで処理された。流速は、ヘリウム内の4%水素の10,000sccmと、酸素の100μL(マイクロリットル/分)であった。ランは、バッフルプレートへ供給される逆流する冷却ヘリウムの量のみが相違した。プラズマ処理後の厚さの損失(例えば、フォトレジストの除去)が、それから計算された。逆流する冷却ヘリウムがない場合の厚さの損失は、2,700オングストロームであり、これが、160sccmの逆流では2,775オングストローム、440sccmの逆流では2,850オングストロームに増加した。明らかに、冷却ガスの割合は、アッシング速度を増加させるのに役立った。
この例において、アクセリス・テクノロジーズ・インコーポレーテッドから商業的に利用可能であるES3LKプラズマアッシャーにおいて、二つのバッフルプレートの設計が、試験された。上記プラズマアッシャーは、約0.5インチ離れている上部及び下部のプレートを有する二重のバッフルプレートアッセンブリを含んでいた。第1の比較される配置において、上部バッフルプレートは、クオーツから構成され、下部バッフルプレート上にある3つのクオーツの脚によって支持された。第2の配置は、熱伝導材料が使用された。図8に示されるようなこの配置において、上部バッフルプレートは、下部バッフルプレートから0.5インチ浮かしたアルミニウムプレートから形成される。懸架は、熱を伝導するアルミニウムスタンドオフから形成されたハードウエアを取り付けること、及び上部バッフルプレートをプラズマアッシャーの水冷蓋へ固着する適切な継手ボルトの使用によって達成される。
ウエハは、Shipley UV−6フォトレジストが約8,200オングストローム被覆され、焼成され、そして、厚さが測定された。上記ウエハは、それから、300℃、30秒のプラズマオン、1トールの圧力、及び1,200Wの出力で、上述の二つの異なるバッフルプレートアッセンブリを使って、プラズマアッシャー内で処理された。流速は、ヘリウム内の4%水素の10,000sccmと、酸素の100μL(マイクロリットル/分)であった。以下の処理で、各バッフルプレートの配置でのプラズマ処理後、厚さの損失(すなわち、フォトレジストの除去)が、計算された。
ランは、いくつかの点で異なっていた。第1は、上部バッフルプレート(クオーツ又はアルミニウム)と支持方法(より低いバッフルプレート上にあるか、または水冷蓋から吊るされるか)のタイプであった。第2は、アッシャーマシンの当面の履歴である−それが動作していなかったかどうか、そのため“冷たい(Cold)”か、または、ウエハのバッチの途中であったかどうか、そのため“暖かい(Warm)”か。ツールの履歴は、バッフルプレートアッセンブリの温度管理に関して要因となる。
結果は、表1に示されている。平均的なフォトレジストは、クオーツの上部バッフルに対して、より高いけれども、冷たい状態と暖かい状態との間の相違は、クオーツの上部バッフルに対して850オングストローム、水冷室蓋に取り付けられたアルミニウム上部バッフルに対して450オングストロームである。これは、アルミニウム上部バッフルが、ウエハごとの再現性―これは、非常に望まれる特徴であり、商業上、意義のある利点を提供する−に、より好ましく貢献する、ということを意味する。
Figure 0005115798
バッフルプレートの衝突部分を冷却する開示された方法は、有利には、冷却段階を使用しない装置の設計や、アッシング工程に比較して、アッシング速度の維持及び/又は増加を可能にする。さらに、種々の実施形態において、アッシャー装置の処理量は、影響されない。
この開示は、例示的な実施形態を参照して記載されているけれども、当業者であれば、種々の変更がされることが可能であり、開示が本発明の範囲から逸脱することなしに要素を取り替えることができることは、理解されるであろう。さらに、本発明の基本的な範囲から逸脱することなしに、多くの変形が、開示の教示する特別な状況又は材料を適用してなすことが可能である。それゆえ、この開示は、開示を実行するのに予期されるベストモードとして開示された特別な実施形態に限定されるものではなく、また、この開示は、添付の請求の範囲内に含まれるすべての実施形態を含むことを意図している。
図面を参照すると、同じ要素には同じ番号が付されている。図1は、例示的なプラズマアッシング装置の斜視図である。 図2は、ガス分配システムが組み込まれているフォトレジストアッシャ処理室の部分断面図である。 図3は、一実施形態に従うガス分配システムの平面図である。 図4は、図3の4−4線に沿ったバッフルプレートアッセンブリの断面図である。 図5は、他の実施形態に従うガス分配システムの平面図である。 図6は、処理条件でのバッフル温度のグラフ表示である。 図7は、バッフルプレートの中央部分を冷却するための分離されているガス導管を有する上部バッフルプレートの断面図である。 図8は、熱伝導遮蔽を用いる処理室の蓋が取り付けられた上部バッフルプレートの断面図である。 図9は、バッフル冷却の作用として、アッシング速度を表すグラフである。
符号の説明
10 プラズマアッシング装置
12 マイクロウエーブプラズマ発生要素
14 処理室
100 ガス分配システム
102 上部バッフルプレート
104 下部バッフルプレート
120、122 開口

Claims (21)

  1. 炭素、水素、あるいは炭素と水素との化合物からなる低k誘電体材料を含む基板から、フォトレジスト材料及びエッチング後の残留物を除去するためのプラズマアッシング法であって、
    基本的に無酸素及び無窒素のガス混合物からプラズマを形成し、
    上部バッフルプレートを含むバッフルプレートアッセンブリを介して前記基板上に前記プラズマを流し、フォトレジスト材料、エッチング後の残留物、及び揮発性の副生成物を前記基板から除去し、
    アッシング処理中に、前記上部バッフルプレートの温度を低下させるのに有効な量の冷却ガスを、前記プラズマの流れと反対方向に、前記バッフルプレートアッセンブリを介して流す、
    ことからなるプラズマアッシング法。
  2. 前記バッフルプレートは、前記上部バッフルプレートから離れており、かつ、該上部バッフルプレートと同一平面上にある少なくとも一つの付加的なバッフルプレートとからなる請求項1記載のプラズマアッシング法。
  3. 前記プラズマは、水素と希ガスとからなる請求項1記載のプラズマアッシング法。
  4. 前記希ガスは、ヘリウムである請求項3記載のプラズマアッシング法。
  5. プラズマ流と反対方向に流れる冷却ガスは、100スタンダード立方センチメートル/分から100スタンダードリットル/分の流速である請求項1記載のプラズマアッシング法。
  6. 冷却ガスを流すことと同時に基板を加熱することを、さらに含んでいる請求項1記載のプラズマアッシング法。
  7. 冷却ガスが、希ガスからなる請求項1記載のプラズマアッシング法。
  8. 冷却ガスが、ヘリウム、アルゴン、水素及びそれらの混合物からなるグループから選択される請求項1記載のプラズマアッシング法。
  9. 前記上部バッフルプレートと同一平面で、かつ、離れている少なくとも一つの付加的なバッフルプレートは、少なくとも一つのバッフルプレートの中心軸から外端へ密度が増加するように、中心軸の周囲に複数の開口が配置されている、請求項2記載のプラズマアッシング法。
  10. 上部バッフルプレートアッセンブリは、前記上部バッフルプレートの中心位置に物理的に連絡して配置される衝突板を含む、請求項2記載のプラズマアッシング法。
  11. 前記上部バッフルプレートと同一平面で、かつ、離れている少なくとも一つの付加的なバッフルプレートは、少なくとも一つのバッフルプレートの中心軸から外端へ密度が増加するように、中心軸の周囲に複数の開口が配置されている、請求項1記載のプラズマアッシング法。
  12. 炭素、水素、又は炭素と水素の化合物を含む基板から、フォトレジスト材料とエッチング後の残留物とを除去するためのプラズマアッシング法であって、前記基板は低k誘電体層を含み、
    基本的に無酸素及び無窒素のガス混合物からプラズマを形成し、前記プラズマは水素及びヘリウムからなり、
    処理室内に前記プラズマを流し、前記処理室は、上記プラズマと流体連絡するバッフルプレートアッセンブリを含み、そして、前記バッフルプレートアッセンブリは、略平面状の下部バッフルプレート上に固定配置される略平面状の上部バッフルプレートを含み、下部バッフルプレートは、中心軸の周囲に配置される複数の開口を含み、そして、複数の開口は、下部バッフルプレートの中心軸から外端へ密に増加し、
    前記処理室へ酸素プラズマを導入することによって前記処理室を周期的に清掃し、及び、
    プラズマアッシング処理中に、前記上部バッフルプレートの中央衝突領域とその周囲へ、冷却ガスを流すことによって前記バッフルプレートアッセンブリを冷却する、
    ことからなるプラズマアッシング法。
  13. 前記バッフルプレートアッセンブリ上に流れる冷却ガスは、流速が100スタンダード立方センチメートル/分から100スタンダードリットル/分である、請求項1記載のプラズマアッシング法。
  14. 前記バッフルプレートアッセンブリ上の冷却ガスの流れは、プラズマの流れと逆方向である、請求項1記載のプラズマアッシング法。
  15. 前記冷却ガスは、希ガスを含む請求項1記載のプラズマアッシング法。
  16. 前記冷却ガスは、ヘリウム、アルゴン、水素、及びそれらの混合物から選択される請求項1記載のプラズマアッシング法。
  17. 請求項1または1記載のプラズマアッシング法を実行するための処理室であって、
    略平面状の下部バッフルプレート上に位置する略平面状の上部バッフルプレートを含むバッフルプレートアッセンブリ、前記下部バッフルプレート、前記処理室の壁との熱的連絡をする少なくとも一つの熱伝導スタンドオフを含む前記上部バッフルプレート、
    を含む処理室。
  18. 前記処理室の壁は、水冷されている請求項1記載の処理室。
  19. 前記上部バッフルプレートは、熱伝導材料から形成されている請求項1記載の処理室。
  20. 前記上部バッフルプレートは、アルミニウムで形成されている請求項1記載の処理室。
  21. 請求項1記載の処理室を含んでいるダウンストリーム型プラズマアッシャー。
JP2007530435A 2004-09-01 2005-09-01 フォトレジストの除去速度を増加する装置及びプラズマアッシング方法 Expired - Fee Related JP5115798B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US60636004P 2004-09-01 2004-09-01
US60/606,360 2004-09-01
PCT/US2005/031492 WO2006026765A2 (en) 2004-09-01 2005-09-01 Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012148592A Division JP2012191242A (ja) 2004-09-01 2012-07-02 フォトレジストの除去速度を増加するプラズマアッシング方法

Publications (2)

Publication Number Publication Date
JP2008512004A JP2008512004A (ja) 2008-04-17
JP5115798B2 true JP5115798B2 (ja) 2013-01-09

Family

ID=35448183

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007530435A Expired - Fee Related JP5115798B2 (ja) 2004-09-01 2005-09-01 フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
JP2012148592A Pending JP2012191242A (ja) 2004-09-01 2012-07-02 フォトレジストの除去速度を増加するプラズマアッシング方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012148592A Pending JP2012191242A (ja) 2004-09-01 2012-07-02 フォトレジストの除去速度を増加するプラズマアッシング方法

Country Status (7)

Country Link
US (1) US7449416B2 (ja)
EP (1) EP1784690A2 (ja)
JP (2) JP5115798B2 (ja)
KR (1) KR101170861B1 (ja)
CN (2) CN101053063B (ja)
TW (1) TWI376748B (ja)
WO (1) WO2006026765A2 (ja)

Families Citing this family (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US7381651B2 (en) 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US7807579B2 (en) * 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
KR100911990B1 (ko) * 2007-07-04 2009-08-13 삼성모바일디스플레이주식회사 발광표시장치의 제조방법
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
JP5547878B2 (ja) * 2008-06-30 2014-07-16 株式会社日立ハイテクノロジーズ 半導体加工方法
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US8980751B2 (en) * 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
WO2012148370A1 (en) 2011-04-27 2012-11-01 Axcelis Technologies, Inc. Substantially non-oxidizing plasma treatment devices and processes
US20130298942A1 (en) * 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102187121B1 (ko) * 2019-04-30 2020-12-07 피에스케이 주식회사 기판 처리 장치
JP7340396B2 (ja) * 2019-09-24 2023-09-07 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN111198482B (zh) * 2020-01-06 2023-10-24 长江存储科技有限责任公司 光刻胶去除装置及方法
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置
CN113053787A (zh) * 2021-03-07 2021-06-29 曹生们 一种等离子干法去胶用热盘装置
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
JPH0590214A (ja) * 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
US5498308A (en) 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
US6342135B1 (en) * 1995-11-02 2002-01-29 Taiwan Semiconductor Manufacturing Company Sputter etching chamber with improved uniformity
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5980638A (en) 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6057645A (en) 1997-12-31 2000-05-02 Eaton Corporation Plasma discharge device with dynamic tuning by a movable microwave trap
JP2000345348A (ja) * 1999-06-03 2000-12-12 Ulvac Japan Ltd 成膜方法
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6646223B2 (en) * 1999-12-28 2003-11-11 Texas Instruments Incorporated Method for improving ash rate uniformity in photoresist ashing process equipment
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR20020051670A (ko) * 2000-12-23 2002-06-29 윤종용 식각장치의 샤워헤드
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6964919B2 (en) 2002-08-12 2005-11-15 Intel Corporation Low-k dielectric film with good mechanical strength
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber

Also Published As

Publication number Publication date
TW200611335A (en) 2006-04-01
JP2012191242A (ja) 2012-10-04
CN102610481A (zh) 2012-07-25
CN101053063B (zh) 2012-10-03
CN101053063A (zh) 2007-10-10
WO2006026765A3 (en) 2006-06-29
EP1784690A2 (en) 2007-05-16
CN102610481B (zh) 2016-04-13
US7449416B2 (en) 2008-11-11
KR101170861B1 (ko) 2012-08-03
KR20070060104A (ko) 2007-06-12
TWI376748B (en) 2012-11-11
US20060046470A1 (en) 2006-03-02
WO2006026765A2 (en) 2006-03-09
JP2008512004A (ja) 2008-04-17

Similar Documents

Publication Publication Date Title
JP5115798B2 (ja) フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
TW557477B (en) Plasma ashing process
US8895449B1 (en) Delicate dry clean
JP4813755B2 (ja) 有機反射防止膜をプラズマエッチングする方法
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
JP4860087B2 (ja) エッチング方法
US7202176B1 (en) Enhanced stripping of low-k films using downstream gas mixing
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
KR100760243B1 (ko) 플라즈마 리액터 내의 천공된 플라즈마 한정 링 및 이 한정 링을 구비하여 플라즈마로 기판을 처리하기 위한 디바이스 및 리액터 및 방법
TWI514462B (zh) 氮化矽膜中之特徵部的蝕刻方法
US20060228889A1 (en) Methods of removing resist from substrates in resist stripping chambers
TWI417960B (zh) 利用co/co基處理以灰化基板之低損害方法
JP2007501535A (ja) プラズマ装置、プラズマ装置のための配ガスアセンブリー、およびそれらを用いた処理方法
JP4911936B2 (ja) プラズマアッシング方法
JP4558296B2 (ja) プラズマアッシング方法
US7964511B2 (en) Plasma ashing method
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
JPH05275326A (ja) レジストのアッシング方法
RU2293796C2 (ru) Плазмохимический реактор низкого давления для травления и осаждения материалов
JP3502157B2 (ja) プラズマ処理装置
JPH05347282A (ja) アッシング装置及びその処理方法
KR20090012305A (ko) 기판 손실 없이 표면 층을 제거하기 위한 중간 압력플라즈마 시스템

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080821

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110622

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110921

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110929

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111021

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111028

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120229

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120529

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120605

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120702

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120905

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121003

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151026

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees