CN102610481B - 用于增加光阻移除率之装置及等离子体灰化方法 - Google Patents

用于增加光阻移除率之装置及等离子体灰化方法 Download PDF

Info

Publication number
CN102610481B
CN102610481B CN201210073651.4A CN201210073651A CN102610481B CN 102610481 B CN102610481 B CN 102610481B CN 201210073651 A CN201210073651 A CN 201210073651A CN 102610481 B CN102610481 B CN 102610481B
Authority
CN
China
Prior art keywords
plasma
process chamber
gas
upper baffle
obstruct
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201210073651.4A
Other languages
English (en)
Other versions
CN102610481A (zh
Inventor
D·费利斯
P·哈摩
A·贝克内尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102610481A publication Critical patent/CN102610481A/zh
Application granted granted Critical
Publication of CN102610481B publication Critical patent/CN102610481B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及等离子体灰化方法,该方法包括:以一实质上无氧无氮之气体混合物形成一等离子体;将该等离子体导引入一处理室,其中该处理室包含一和等离子体流体连通之阻隔平板组件;使该等离子体流经该阻隔平板组件,并自基板移除光阻剂材料、后蚀刻残留物、和挥发性副产物;通过将一氧气等离子体导入该处理室,以周期性地清洁该处理室;使一冷却气体流过该阻隔平板组件以冷却该阻隔平板组件。本发明还涉及一设置成用以接收下游式等离子体之处理室,该处理室包含一上方阻隔平板,该上方阻隔平板包含:至少一和热传导支座,其与该处理室之一壁热连通;以及一和该上方阻隔平板间隔之下方阻隔平板。

Description

用于增加光阻移除率之装置及等离子体灰化方法
【相关申请案交互参照】
本申请案根据2004年9月1日申请之美国临时申请案第60/606,360号主张优先权,在此并入该临时申请案以供参照。
【发明所属之技术领域】
本发明系关于半导体制造,特别是关于用于自基板移除光阻剂和后蚀刻残留物(postetchresidue)之等离子体灰化方法。
【先前技术】
近来,许多注意力集中于发展用于新一代电子产业之低k介电质薄膜。当集成电路装置之体积变小,沿着信号传导连接线之电阻电容RC延迟时间成为限制整体芯片速度之主要因素之一。随着冶铜技术之进展,电阻(R)已被推展至其实际之最低极限。因此,注意力即转移至降低电容(C)以增进整体芯片之速度。达成此目标的方法之一系降低环绕连接线之绝缘薄膜之介电常数(通常表示为”k”),藉以降低电容并增进整体芯片之速度。
传统上,二氧化硅(SiO2)被用来当成绝缘薄膜材料。其所谓低k和高k乃是相对于二氧化硅(SiO2)之介电常数(k)而言,换言之,低k材料通常是指介电常数小于二氧化硅(例如,小于约3.9)之材料,而高k材料通常是指介电常数大于二氧化硅(例如,大于约3.9)之材料。低k材料通常包括,但不限于,有机聚合物(organicpolymers)、添加氟之非结晶碳(amorphousfluorinatedcarbons)、奈米发泡材料(nanofoams)、含有机聚合物之硅基绝缘体、掺碳之硅氧化物、掺氟之硅氧化物、及其它类似材料。
于基板(例如晶圆)上制造集成电路时,其最终之集成电路产出之前须经过许多制程步骤。低k介电材料,特别是含碳之低k介电材料,对于其中某些制程步骤可能较为敏感。例如,用于灰化步骤之等离子体可同时剥除光阻材料并移除部份含碳之低k介电质薄膜。灰化通常指以等离子体媒介之剥除方法,通过暴露于等离子体之下,残余之光阻剂和后蚀刻残留物被自基板剥除或移除。此灰化方法通常于蚀刻或植入制程执行之后发生,光阻材料于蚀刻或植入制程中被当成屏蔽以在其下之基板蚀刻出电路布局或是被用于选择性地植入离子于该基板的露出区域。该灰化步骤之后通常跟随湿性化学处理以移除蚀刻残留物之痕迹。然而,此湿性化学处理可能导致该低k介电质品质之降低,材质流失,同时也会造成介电常数之增加。
灰化方法和蚀刻方法有相当的差异是很重要的。虽然两者皆可以等离子体来媒介进行,蚀刻方法显著不同处在于其中等离子体之化学作用被选择以透过光阻剂屏蔽之中空部分来移除基板表面部分区域以将影像永久性地转印至该基板上。此等离子体通常包含低温(例如,大约从室温(约21℃)至大约140℃)及低压(在毫托(millitorr)的位阶)下之高能量离子轰击以移除基板之一部份。并且,暴露于离子下之基板部份通常以一大于或等于光阻剂屏蔽移除率之效率被移除。
相对于蚀刻方法,灰化方法通常是指选择性地移除光阻剂屏蔽和蚀刻过程中形成之任何聚合物或残留物。灰化等离子体之化学性质比蚀刻等离子体之化学性质较不具侵略性且通常被选择以一个比其下基板之移除率大得多之效率来移除光阻剂屏蔽层。此外,大部分的灰化方法会将基板加热至大于200℃之温度以增加等离子体的活性,并且是在相当高的压力(在一托的位阶)下执行。由此可见,蚀刻和灰化方法系针对相当不同之材质之移除,且就其本身而言,系分别利用了完全不同的等离子体化学性质和方法。成功的灰化方法并不在于永久性地转印影像至基板上。确切地说,成功的灰化方法乃取决于不影响且不移除下层材质,例如低k介电层的前提下,对于光阻剂,聚合物和残留物之移除率。
研究指出,使用含有氧、氮、氟之气体源以产生灰化等离子体之光阻剂移除方法对于造成低k介电质品质之降低有相当大的影响。尽管含有这些气体源之混合物能有效率地自基板灰化光阻剂,此等气体源之使用已被证明对于含有低k介电质之基板是极其不利的。例如,含氧等离子体于等离子体制程中即会提高低k介电层之介电常数。介电常数之增加至少会影响互连电容值,其更直接对组件之性能造成冲击。此外,含氧等离子体通常亦不建议被用于使用铜金属层之先进组件制造,因为金属铜易被氧化。
理想情况下,灰化等离子体不应影响其下之低k介电层且应选择性地仅移除光阻剂材料。传统介电质如二氧化硅之使用对于这些气体源(如含有氧、氮、及/或氟之气体源)具有高敏感性而适用于早期之组件生产。低k介电质的一个问题在于它们易遭氧化等离子体内含物所侵袭。为了把对低k介电质的损害减到最少,实质上无氧(例如,含氧量少于约20ppm(百万分之20))以及实质上无氮(例如,含氮量少于约20ppm)的等离子体方法已被发展出来。一此种方法见于授予Waldfried等人之美国专利第6,630,406号,其中该方法包括从含有惰性气体(例如,氦)和氢之气体混合物产生等离子体。无氧和无氮等离子体,如前述由氦气和氢气混合而成者,以传统的观点而言较不具侵略性且和光阻剂作用不完全。一般相信,等离子体会提取部分经由升华及挥发作用被移除之光阻剂。因此,虽然无氧和无氮等离子体能有效地自基板移除光阻剂材料,与等离子体之接触极可能在处理室(processingchamber)内和位于处理室下游(诸如排气管线和其内之部件)之区域沈积大量之升华(或挥发)或已移除之光阻剂和副产物。因此,处理室之周期性清洁是必须的,其通常需用到氧化等离子体。氧化等离子体提供处理室原处(insitu)清洁之方式。然而,氧化等离子体被发现会造成阻隔平板组件(通常于处理室中用来将灰化等离子体均匀分布于基板)温度增加,特别是位于冲击中心(impingementcenter)的位置,其为等离子体首次冲击阻隔平板组件之处。此温度之增加在随后之晶圆处理中被发现会降低灰化率并对跨晶圆表面之灰化程序之均匀性产生负面影响,特别是晶圆中心和边缘间移除率的差异。
无氧和无氮等离子体的另一个问题是等离子体接触的不均匀。因为此种等离子体较不具侵略性,不均匀是一个重大的课题。某些下游式等离子体灰化器具有等离子体由之产生之开孔直径狭窄之等离子体导管。基板之直径通常较这些等离子体导管之直径大得多。再者,阻隔平板通常置于靠近等离子体导管出口附近以迫使等离子体于进入处理室时转向,并使得等离子体内含物能均匀扩散于基板上。视等离子体对晶圆接触之时间长短,批处理的晶圆数目和各批次间隔的时间长度等之不同,阻隔平板组件之温度会不随意地变化。因此,灰化率和均匀度实质上可以如前述氧化等离子体清洁方法相同之方式(虽然程度上较小)被影响。
是故,本技术领域需要降低阻隔平板之冲击部分(中心部分)之温度,同时能维持或增进光阻剂移除率之方法。
【发明内容】
此处公开从基板移除光阻剂、后蚀刻残留物、和挥发性副产物之等离子体灰化方法。在一实施例中,用以从含碳、氢、或碳氢组合物之基板移除光阻剂材料和后蚀刻残留物等离子体灰化方法,该基板包含低k介电材料,该等离子体灰化方法包括:由实质上无氧且无氮之气体混合物形成等离子体;使该等离子体流经阻隔平板组件和于该基板上,并自该基板移除光阻剂材料、后蚀刻残留物、和挥发性副产物;使冷却气体以与等离子体流相反之方向流经阻隔平板组件,其数量可有效地降低上方阻隔平板温度。
在另一实施例中,用以从含碳、氢、或碳氢化合物之基板移除光阻剂材料和后蚀刻残留物之等离子体灰化方法,其中该基板包含低k介电层,等离子体灰化方法包括:由实质上无氧且无氮之气体混合物形成等离子体,其中该等离子体包含氢和氦;使该等离子体流入处理室,其中该处理室包含和该等离子体流体连通之阻隔平板组件,其中该阻隔平板组件包含大致为平面之上方阻隔平板,其位于大致为平面之下方阻隔平板之上,该下方阻隔平板包含多个分布于一中心轴周围之开孔,其中该多个开孔之密度由该中心轴向该下方阻隔平板之一外缘而增加;将含氧等离子体导引入该处理室以周期性地清洁该处理室;以及使冷却气体流于该上方阻隔平板之中心冲击区域及其附近以冷却该阻隔平板组件。
在另一实施例中,处理室设置成用于接受等离子体,其包含阻隔平板组件,该组件包含大致为平面之上方阻隔平板,其位于大致为平面之下方阻隔平板之上,该下方阻隔平板和该上方阻隔平板包含至少一热传导支座,其和该处理室之一壁热连通。
熟悉本项技术之人士将能从以下之详细说明、图式、以及权利要求了解并察知本发明前述及其它特色。
【附图简要说明】
参照附图,其中相同之构件在各不同附图内具有相同之编号:
图1系一示范性之等离子体灰化装置之透视图;
图2系一内置气体分布系统之光阻剂灰化处理室之截角透视图;
图3系依据一实施例之气体分布系统所绘之平面图;
图4系沿图3所示之剖面线4-4之该阻隔平板组件之剖面图;
图5系依据另一实施例之气体分布系统所绘之平面图;
图6系对应于处理状态隔板温度图解;
图7系具有分离气体导管以冷却阻隔平板中心区域之上方阻隔平板之剖面图;
图8系利用热传导支座附着于处理室外盖之上方阻隔平板之剖面图;而
图9系将灰化率表示为隔板冷却程度之函数之图解。
【实施方式】
一使用无氧无氮等离子体配合低k绝缘材料之等离子体灰化方法被公开于此,其中该灰化装置含有新颖的隔板组件以均匀分布该灰化等离子体。此外,冷却该隔板组件之冲击点之方法和用于该冷却之优选硬件配置亦公开于此。虽然该方法于本说明书中系以低k材料和解释性之灰化器装置被描述,其应被理解该方法和隔板组件可轻易地配置成用于较高k绝缘材料和不同之等离子体灰化装置设计使用。
本公开中所谓”无氧”和”无氮”系指等离子体含有小于约20ppm(百万分之20)之氧或氮之成分,小于约5ppm则更佳,最好是小于约100ppb(十亿分之100)。熟悉本项技术人士应理解对于特定应用,上述最佳浓度常为灰化率,其通常受益于较高浓度之氧及/或氮,和维持介电层低k性质,其通常受益于较低浓度之氧及/或氮,两灰化率之间的平衡值。所谓”低k”系指具有介电常数小于二氧化硅之材料,二氧化硅之介电常数约为3.9。例如,低k材料可具有小于约3.5之介电常数,最好能在约1.5到约3.0之间。本公开预期之低k介电质包括,但不限于,含碳介电质、含氢介电质、掺氟硅氧化物、含有机聚合物之硅基介电质、及其类似物。
于本说明书中,所谓含碳低k介电质系指包含介电常数小于约3.9,最好小于3.5,而适用于制造集成电路或类似组件之含碳绝缘材料。此含碳低k介电材料可包括含碳或碳基侧基类(pendantgroups)化合物,其中该介电材料之主体由碳之网状连结所构成。含碳低k介电质一般可分为两类:有机和掺杂氧化物。有机低k介电材料的例子包括聚醯亚胺(polyimides)、苯并环丁烯(benzocyclobutene)、聚对二甲苯(parylenes)、类钻石碳素、PAE-2(polyaryleneethers)、cyclotenes、碳氟化合物(fluorocarbons)及其类似物,诸以SiCK或BCB品牌行销于市面上之介电材料。掺杂氧化物低k介电材料的例子包括甲基倍半硅氧烷(methylsilsesquioxane)、氢倍半硅氧烷、奈米多孔型氧化物(nanoporousoxides)、掺碳二氧化硅及其类似物,诸如COROL、BLACKDIAMOND、和AURORA品牌行销于市面上之介电材料。两种形式之含碳低k介电材料均有高密度及多孔型两种类型。其多孔型材料如以LKD、ORION、BOSS、或porousSiLK品牌行销于市面上者。
同样地,含低k介电质之氢系指包含介电常数小于约3.9,最好小于约3.5,而适用于制造集成电路或类似组件之含绝缘材料之氢。许多前述之含碳低k介电质在其化学结构中包含一或多个与碳原子结合之氢原子。因此,本公开中所谓合适之含氢低k介电材料并不排除含碳之结构,反之亦然。
等离子体气体混合物之特定成分系由其在等离子体形成条件下形成气体和等离子体之能力所选定。该气体混合物实质上不含在等离子体形成条件下会产生易反应之氧气成分和易反应之氮气成分之成分。最好该气体混合物实质上不具有含氧化合物和含氮化合物。该气体混合物可包含许多含氢之活性气体,诸如氢气和碳氢化合物。该气体混合物可更包含惰性气体,诸如氩、氦、氖及其类似物。由该气体混合物形成之等离子体实质上与光阻剂、聚合物、和残留物中之碳和其它原子产生反应以形成在特定基板温度及压力条件下易挥发及/或可被冲洗移除之化合物。适用于此方法之含氢气体包括含有氢之化合物。含氢气体包括碳氢化合物、氢气、或是其混合物。最好是在等离子体形成条件下为气态之含氢气体以及在等离子体形成条件下释放出氢以形成诸如氢原子成分和其它氢基之活性氢。碳氢化合物通常是不可取代的。含氢之碳氢化合物气体的实例包括甲烷、乙烷、和丙烷。
在一说明性之实施例中,含氢之气体为一含氢气体和一惰性气体之混合。适用于此方法之惰性气体之例子包括元素周期表第八行之气体,诸如氩、氖、氦及其类似物。虽然先前技术无氧等离子体通常使用含有氢和氮气之混合,使用氮气在本公开之方法是特别禁止的。该气体混合物最好是含有氢和氦气。氦气原子质量轻且易于扩散至基板,其对于等离子体产生之活性氢成分而言具有优良之载体特性。
基于安全之理由,氢气在气体混合物中所占之比例通常不应超过约该气体混合物体积百分比的百分之五(5vol%)。然而,较高含量的氢气通常是可接受的,而且有时候还宁可如此以增加光阻剂移除率和选择性。该气体混合物中的氢含量最好介于大约1vol.%和99vol.%之间,其中之体积百分比是相对于该气体混合物之总体积。该气体混合物中的氢含量介于大约10vol.%和30vol.%之间则更佳。
此方法可被实际应用于含有一等离子体源和一处理室之等离子体灰化器。如简要提示于上,本公开并未意欲自限于任何特定之等离子体灰化器。特别适用于本公开之等离子体灰化器为下游式等离子体灰化器(downstreamplasmaashers),例如AxcelisRadiantStrip商标行销之微波等离子体灰化器,其可自位于Beverly,Massachusetts之AxcelisTechnologies,Inc.公司购得。该微波等离子体灰化器的部分结构见于美国专利编号5,571,439、5,498,308、6,082,374、5,980,638、5,961,851、6,057,645、6,225,745、和4,341,592以及PCT国际申请案编号WO/97/37055之中,上述专利全部内容均于此处以参照方式于此处并入。其它可用以实施依据本公开之等离子体产出和释放装置之例子包括运用射频(RF)能量以产生等离子体之灰化器。
接着请参照图1,一编号标记为10之示范性等离子体灰化装置被例示于此。该等离子体灰化装置10概言之包含微波等离子体产生部件12和处理室14。该微波等离子体产生部件12包含微波封闭室16。一般而言,该微波封闭室16系一长方形盒体,其被分隔成各有一等离子体导管穿越之长条形区域。于装置运作时,每一区域均被馈入微波能量。每一区域对于进入之微波能量犹如一相当浅之腔穴,以促使在各方位角及中心轴方向均具有均匀性之模式形成。最好该微波封闭室16能被切割成能支持长方形TM110模式并且该微波封闭室16可以具有一正方形截面。该截面之尺寸必须使得该TM110模式为谐振。每一区域之长度小于λg/2,其中λg为TE101模式之腔穴内波导之长度。
微波捕集器38和40置于微波等离子体产生部件12之两端以防止微波之传输。此捕集器可以是如美国专利第5,498,308号所公开之形式。
磁控管48将微波能量经由耦合器50馈入一提供TE101模式之波导,其具有互相垂直的两个区域52和54。波导区域54的长度是可调整的。波导区域54的平板将微波能量耦合入分隔式之微波结构16,等离子体导管经由此结构延伸出去,而等离子体因此可由流经等离子体导管之气体混合物激发产生。
再次参照图1,终端盖58与微波捕集器38及配件60实体相连。气体入口70与等离子体导管相连而互通流体,等离子体导管于此终端被终端盖58内之O形环所支持。等离子体导管的另一端位于终端构件66之内,且具有开口以将等离子体/气体释放入处理室14中。选择性地,形成开口之导管被装于狭窄之开孔接头上以在等离子体导管与处理室14之间产生一压力差,其中等离子体导管内之压力较大。系统运作期间,等离子体导管内之压力最好介于大约1托至大约一大气压(大约760托)之间。相对地,系统运作期间,处理室14内之压力大约在100毫托至大约一大气压(760托)之间。
等离子体导管之开口与处理室14之内部区域相连而互通流体。因为等离子体系自一比较狭窄(相对于待处理之基板尺寸而言)之开孔排放至处理室14之内部,用以促使等离子体均匀接触基板之气体分布系统100(参见图2)被配置于处理室14之内。此气体分布系统100被配置于基板和等离子体导管开口之间。
在一说明性实施例中,该气体分布系统100包含一(或多个)置于基板之上且与其同轴之阻隔平板以促使等离子体于基板表面之均匀分布。该一(或多个)阻隔平板最好由多个堆栈而成之阻隔平板构成,其中每一平板均含有多个孔隙。举例而言,第2到图5例示了适用于装置10之气体分布系统。在例举之实施例中,该气体分布系统100被显示为一双层阻隔平板组件。然而,本项技术领域之人士均能理解,运用额外之阻隔平板结合提供于此之教导仅是改善该气体分布系统而已。是故,本公开并不意欲局限于双层阻隔平板之配置。
图1显示处理室14,其与气体分布系统(阻隔平板组件)100之一实施例结合。包含装设如图2至5之气体分布系统100之灰化器处理室14适用于300毫米(mm)之基板(晶圆)处理系统。本项技术领域之人士经由本公开之说明应能察知,气体分布系统100也可被修改为配合200mm晶圆使用。并且,虽然此处阐述之气体分布系统100系配合一下游式等离子体灰化器装置实现,其亦可用于其它半导体制造设备,诸如残留物移除、剥除、以及各向同效性(isotropic)蚀刻设备。
接着参见图2至4,气体分布系统100包括上方具孔隙之阻隔平板102和较大之具孔隙下方阻隔平板104,两者一般而言互相平行且互相分离(例如,二者之间有一空间)。如图2中更清楚之展示,气体分布系统100和处理室14之下方部分106实体相连,处理室14包含内置待处理基板(晶圆110)之腔穴108。阻隔平板102和104除了彼此互相平行之外,其方位也和待处理之晶圆110平行。
密封物112被置于气体分布系统100和处理室14之下方部分106之间的接口,并且位于下方阻隔平板104中之沟槽114内(参见图2和图4)。晶圆经由进出通道116和加载互锁真空机制(loadlockmechanism,未显示于图中)被导引入处理室或自处理室移除。加热器组件(未显示于图中),其位于处理室之下方部分106下方,于处理期间将晶圆110之底部加热至预定之温度。
处理室14通常被装设于等离子体灰化装置10内之加热器组件(未显示于图中)和等离子体产生部件12之间之孔洞118之位置。系统运作期间,自等离子体导管较狭窄开孔释出之高能化等离子体(气体)会到达气体分布系统100。举例说明,该高能化等离子体从等离子体导管流出后,首先到达上方阻隔平板102之中心区域(譬如说,冲击点),其实体上无任何孔洞。此中心区域可转移发射自等离子体导管并在辐射方向加速气体/等离子体成分之高能化气体,以达成于下方阻隔平板104和处理室14的一个盖子间形成适当之充实状态。等离子体于是经由位于上方阻隔平板102之孔洞120和位于下方阻隔平板104之孔洞122散布进入处理室腔穴108内。在一实施例中,下方阻隔平板104可透过入口126和出口128利用流经内部冷却通道124之冷却媒介使之主动冷却。处理室14之下方部分106之壁130亦可透过入口134和出口136利用流经内部冷却通道132之冷却媒介使之主动冷却。
下方阻隔平板104,如第3和图4更清楚之显示,包含外围凸缘138和含有孔洞122一般而言为平面之部分140。下方阻隔平板104可具有嵌接孔(未显示于图中)以使用支座142将上方阻隔平板102架置于其上。上方阻隔平板102和下方阻隔平板104之间的距离在某种程度上决定了气体流经气体分布系统100的形态。对于200毫米或300毫米之等离子体灰化器而言,上方阻隔平板102和下方阻隔平板104之间的距离最好约在0.25时(大约0.6厘米)到大约2.0时(大约5.1厘米)之间,若其距离介于大约0.5时(大约1.3厘米)和大约1.5时(大约3.8厘米)之间则更佳。对于200毫米之配置,应注意其于上方阻隔平板上之孔洞密度相对于300毫米之配置之孔洞密度可以较为降低。
图3为显示于图2之300毫米气体分布系统100之平面图,而图4则为此气体分布系统100之实施例之剖面图。如这些图中所示,气体分布系统100和处理室14之下方部分106透过下方阻隔平板凸缘138上之嵌接孔146实体相连接(例如,以镶接之方式)。下方阻隔平板104具有孔洞122。该具有孔洞之部位122之表面积恰足以覆盖位于其下之晶圆110(参见图2)。在此实施例中,孔洞122的尺寸自下方阻隔平板104的中心区域至其外围边缘逐层增大。该下方平板孔洞122之逐层增大被发现能改善使用于诸如含碳及/或含氢之低k介电质之无氧无氮等离子体之均匀度。相对而言,上方平板之孔洞尺寸则维持固定并且更包含不具有孔洞之中心冲击区域。
就下方阻隔平板而言,其孔洞之直径介于大约0.05时至大约0.25时之间。在另一实施例中,该直径介于大约0.075时至大约0.175时之间。就上方阻隔平板而言,其孔洞直径介于大约0.1时至大约0.5时之间。在另一实施例中,该孔洞直径介于大约0.2时至大约0.3时之间。
举例而言,适用于本公开之上方阻隔平板和下方阻隔平板之配置说明如下。上方阻隔平板之直径为4时,而下方阻隔平板之直径为7.5时,其中上方阻隔平板和下方阻隔平板之间隔为1.0时。下方阻隔平板之孔洞自中心向外呈放射状增大,从在4时半径范围之大约0.09时直径之孔洞到在7.5时半径范围(即下方阻隔平板之边缘)之大约0.15时直径之孔洞。此示范性之下方阻隔平板配置中,该下方阻隔平板于该平板最中心之区域也具有孔洞。上方阻隔平板具有半径1时之无孔洞中心区域,且于4时半径范围处(即上方阻隔平板之边缘)之孔洞直径为0.25时。
图5举出依据另一实施例之下方阻隔平板104之平面图。其中孔洞122的密度以幅射方向由下方阻隔平板104之中心点向外缘逐渐增加,而孔洞之尺寸则维持不变。本说明书提及之各个实施例中之下方阻隔平板最好由石英(SiO2)、涂覆蓝宝石(sapphire)之石英、蓝宝石、陶质材料(ceramic)、或是电镀铝所制成。
上方阻隔平板102中之孔洞120通常被配置成辐射状或多同心圆的形式。上方阻隔平板102由涂覆蓝宝石之熔合硅土(fusedsilica)、石英、蓝宝石、铝、或是陶质材料所构成。上方阻隔平板102之孔洞120最好比下方阻隔平板104内最大之孔洞122稍大。上方阻隔平板102之中心最好是不含孔洞之区域,其可额外包含蓝宝石、石英、或是陶质冲击平板144。冲击平板144可利用任何适当之方式固定于上方阻隔平板102上。例如,螺丝钉146可用来将冲击平板144固定于上方阻隔平板之上。具有或不具冲击平板144之上方阻隔平板102之中心部位将发自等离子体导管32之高能化气体沿辐射方向向外转移分散至上方阻隔平板102其余具有孔洞之区域,以防止处理中之晶圆110之幅射向内部份过热,而造成比该晶圆之其它部份以一个比例上较高之效率灰化。在另一实施例中,上方阻隔平板102可被配置成不含孔洞,此较适用于200毫米晶圆之处理。
前文曾简要提及,无氧无氮等离子体(诸如由氦氢混合形成者)之使用会造成在处理室14和处理室14下游区域如排气管线和其内部组件沉积已升华、已挥发、或已移除之光阻剂和副产物。处理室14可通过周期性地以高能产生之含氧等离子体通过该系统来“原处”清洁。然而,此清洁程序会导致上方阻隔平板102如图6所示之加热。应了解图6所示者仅为举例说明,本项技术领域之人士应能轻易地改变处理时间和处理晶圆数目(晶圆批次数量)以达到控制隔板温度之目的。
在图6中,包含具有双层阻隔平板组件之处理室之微波等离子体灰化器之阻隔平板温度被当成时间之函数量测。上方阻隔平板之温度于平板中心点(等离子体进入处理室之冲击点)附近被量测,并且被拿来与记录于该上方阻隔平板边缘之温度比较。十五片晶圆相继被暴露于无氧无氮等离子体总计约2250秒,接着使用含氧等离子体原处清洁约500秒,而后以无氧无氮等离子体再处理6片晶圆。在处理前15片晶圆期间,上方阻隔平板中心和边缘之温度介于大约150℃到大约200℃之间。然而,在原处清洁期间,上方隔板中心之温度增加到大约350℃到大约400℃之间,造成平板中心显著的温度上升而同时平板边缘之温度相对于正常处理时之温度并未有任何明显变化。该高能含氧清洁程序,其为处理室周期性地清洁所必需,导致上方阻隔平板冲击中心温度激烈上升。随后额外6片晶圆之处理显示平板中心之温度约在200到250℃。其已被发现冲击点温度之增加导致灰化之不均匀度(UN)随之增加。同时,使用实质上无氧无氮等离子体之光阻剂移除却减少。因此,虽然实质上无氧无氮等离子体较适用于灰化含低k材料之基板,却由于上方阻隔平板组件温度的不均匀会导致灰化不均匀度之增加及灰化率之降低。
为了减少肇因于原处含氧等离子体清洁程序的温度不均匀性,其意外地被发现于处理期间周期性地使气体(以下称为“冷却气体”)流过及/或流经上方阻隔平板之中心将能有效地降低温度不均匀性。在一实施例中,该冷却气体经由冷却气体导管160流过上方阻隔平板102之底面,该冷却气体导管160如图7之配置所示于上方阻隔平板之中心有一开口。该冷却气体朝等离子体进入之方向流去,其造成该冷却气体偏向冲击区域而冷却了上方阻隔平板之中心区域。
在另一实施例中,该冷却气体可于等离子体尚未于导管中被激发期间被导引流入上方阻隔平板之冲击中心。例如,在处理室14做晶圆抽换之时以及晶圆制程方法刚开始之时等离子体均尚未被激发,其中基板之温度和处理室14内之压力均可加以调整。尽管气体流通速率可视应用之不同而改变,一示范之实施例具有约每分钟100标准立方厘米(sccm)到大约每分钟100标准公升(slm)之冷却气体流通速率。同时应了解,本技术领域之人士可轻易地配置本公开以改变该冷却气体之温度和压力。
此外,冷却气体之流速、温度、压力、种类、及其类似者均可加以选择以冷却隔板之中心(冲击点),换言之,以将隔板之温度降至足够维持或增进光阻剂移除率之温度。例如,阻隔平板冲击点之温度可减少总计大于或等于25℃,最好在大约25℃至大约50℃之间。冷却气体之例子包括,但不限于,诸如氩、氦及其它惰性气体,以及诸如氢和氦氢混合之气体混合物。
此外,晶圆制程方法开始之时间可选择性地延长以辅助阻隔平板之冷却。若未使用公开于此之冷却气体方式,于一程序方法中增加平均之等离子体启动时间将会造成阻隔平板冲击中心温度之提高而导致前述之问题。例如,光阻剂移除率之下降导致晶圆产出能力之减少。维持高光阻剂移除率有利增进晶圆产出能力。例如,运用冷却气体并将晶圆制程方法调整开始之时间最佳化可将使用较长等离子体启动时间之方法导致之隔板增温之影响最小化,在一实施例中,其光阻剂移除率之增加系利用在晶圆制程方法调整之始加入冷却气体,气体冷却时间最好在5到60秒之间,在大约20到40秒之间则更佳。
在另一实施例中,使用于灰化器装置之隔板中心点冷却方法包含使冷却气体流过一或多个该灰化器装置上之质量流量控制器(massflowcontroller),并且选择性地将冷却时间与晶圆增温步骤重迭。
如图8所示之另一实施例中,上方阻隔平板102是由诸如铝之较佳热传导材料所制成,其更黏附至少一散热体。举例而言,通常见于处理室之水冷式外盖164为一适当之散热体,其可经由导热支座162耦接至上方阻隔平板。导热支座162可由诸如铝之热传导材料所构成。上方阻隔平板最好用普遍之高导热材料所制造。
本公开更进一步非局限性地举数例说明如下:
范例1
在此范例中,4组各具有一层约厚之ShipleyUV-6光阻剂于其上之晶圆受处理于一实质上无氧无氮之等离子体灰化方法,其使用可购自AxcelisTechnologies,Inc.之ES3Lk等离子体灰化器。该灰化器包含如2至4图所示之双层阻隔平板组件。具体而言,该等离子体由氢氦气体混合物和微量之氧所组成,其流速为10000sccm(每分钟标准立方厘米)之含4%氢之氦以及100μL/min(每分钟微公升)之氧。每一晶圆均于相同条件下被处理,例如,300℃,30秒等离子体启动时间,压力为1托(torr),功率1200瓦(W)。每一组晶圆之灰化率和跨晶圆之不均匀度百分比使用基于光阻剂Cauchy系数之标准厚度量测法量测并取平均值。其结果参见图9。
第1组为比对性之数据,其未使用冷却步骤。第2至第4组使用了冷却步骤,其中流速每分钟37标准公升之含4%氢之氦气被使用为冷却气体。更明确地说,第2组在晶圆增温期间进行了为时15秒之冷却动作;第3组在晶圆增温之前进行了为时15秒之冷却动作;而第4组在晶圆增温之前进行了为时30秒之冷却动作。
其结果清楚显示未使用冷却气体造成灰化率显著之降低。例如,第1组显示出其灰化率约为0.59μm/min(每分钟移除之微米数),而加入冷却步骤后灰化率于第2组增为0.62μm/min,第3组增为0.64μm/min,而第4组增为0.70μm/min。
本公开之冷却阻隔平板冲击区域之方法之优势在于,相对于未使用冷却步骤之装置设计和灰化方法而言,本方法能维持及/或增进原有之灰化率。并且,于各个实施例中,灰化装置的总产出能力并未受到影响,因为灰化率增加的程度比需要用来补偿冷却步骤的时间还大。
范例2
本范例使用具有互相分隔约0.5时之上方和下方平板之双层阻隔平板组件,其中之上方石英阻隔平板配置有如图7所示方式之冷却气体导管。如前所述,该冷却气体导管同时具有冷却阻隔平板组件中之上方阻隔平板以及提供相对于等离子体冲击上方阻隔平板相反方向之气流以防止其过热之功能。氦气被使用于冷却上方阻隔平板,并以介于0到440sccm之流速被导引而入。
晶圆被涂以约厚之ShipleyUV-6光阻剂,烘干,并量测其厚度。该被涂层之晶圆随之被置于如范例1之AxcelisTechnologies灰化器内处理。流速为10000sccm之含4%氢之氦以及100μL/min(每分钟微公升)之氧。此组例子仅在供予阻隔平板反向流入之冷却氦气之总量有所不同。在等离子体处理后之厚度减损(即光阻剂之移除量)随之被计算。无反向流入冷却氦气之厚度减损为此量于160sccm之反向流入冷却气体之情况增至而在440sccm反向流入冷却气体之情况增至明显地,冷却气体之反向流入有助于灰化率之增进。
范例3
本范例将以可购自AxcelisTechnologies,Inc.之ES3Lk等离子体灰化器对两种阻隔平板之设计做比较。此等离子体灰化器包含双层阻隔平板组件,其具有彼此分隔约0.5时之上下二平板。在一比较性之配置中,上方阻隔平板由石英所制成且由依附于下方阻隔平板之3支石英脚所支撑。第二种配置则采用热传导材料。如图8所示之此种配置,其上方阻隔平板由悬吊于距下方阻隔平板0.5时处之铝质平板所构成。该悬吊系利用热传导铝质支座构成之固定硬件以及将上方阻隔平板固定附着于等离子体灰化器水冷式外盖之连接栓来达成。
晶圆被涂以约厚之ShipleyUV-6光阻剂,烘干,并量测其厚度。被涂层之晶圆随之置于等离子体灰化器分别使用上述两种不同的阻隔平板组件于300℃,30秒等离子体启动时间,压力1托(torr),功率1200瓦(W)之条件下处理。流速为10000sccm之含4%氢之氦以及100μL/min(每分钟微公升)之氧。接着,等离子体处理之后相对于每一阻隔平板配置之厚度减损(即光阻剂之移除量)随之被计算。
各组在许多方面均有所差异。首先是上方阻隔平板之类型(石英或铝)和支撑之方式(依附于下方阻隔平板或悬吊于水冷式外盖)。其次是灰化器最近之经历-其之前是一直保持闲置而在“冷”机状态,抑或是晶圆批处理当中而在“暖”机状态。就阻隔平板组件之温度管理而言,器材之经历应为一控制因素t。
结果显示于表1。虽然石英上方隔板之平均光阻剂移除量较高,冷机和暖机起始条件之差异对石英上方隔板而言是对附着于水冷式外盖之铝质上方隔板而言是此表示铝质上方隔板对于晶圆之连续产出较有贡献,这一点是令人满意之特性且具有相当之商业优势。
表1
本公开之冷却阻隔平板冲击区域之方法之优势在于,相对于未使用冷却步骤之装置设计和灰化方法而言,本方法能维持及/或增进原有之灰化率。并且,于各个实施例中,灰化装置的总产出能力并未受到影响。
虽然本公开通过示范性之实施例加以说明,熟悉本项技术之人士应能了解,在不脱离本发明之范畴下,可进行各种改变,而此公开之组件可被替换。此外,于未脱离本公开之基本范畴下,许多修改可循本公开教示之方向进行以适于特定情况或材质。因此,本公开并未受限于实现本发明之最佳模式实施例,本公开包含所有落于权利要求范畴内之所有实施例。

Claims (5)

1.处理室,其设置成用以接收等离子体,该处理室包含:
有孔的阻隔平板组件,其包含平面的上方阻隔平板,该平面的上方阻隔平板位于平面的下方阻隔平板之上,该上方阻隔平板包含至少一热传导支座,该热传导支座和该处理室的一壁热连通,其中所述有孔的阻隔平板组件位于所述处理室内。
2.如权利要求第1项之处理室,其中该处理室的壁为水冷式。
3.如权利要求第1项之处理室,其中该上方阻隔平板由热传导材料所构成。
4.如权利要求第1项之处理室,其中该上方阻隔平板由铝所制成。
5.一种下游式等离子体灰化器,包含如权利要求第1项的处理室。
CN201210073651.4A 2004-09-01 2005-09-01 用于增加光阻移除率之装置及等离子体灰化方法 Expired - Fee Related CN102610481B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US60636004P 2004-09-01 2004-09-01
US60/606360 2004-09-01
CN2005800379286A CN101053063B (zh) 2004-09-01 2005-09-01 用于增加光阻移除率之装置及等离子体灰化方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2005800379286A Division CN101053063B (zh) 2004-09-01 2005-09-01 用于增加光阻移除率之装置及等离子体灰化方法

Publications (2)

Publication Number Publication Date
CN102610481A CN102610481A (zh) 2012-07-25
CN102610481B true CN102610481B (zh) 2016-04-13

Family

ID=35448183

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201210073651.4A Expired - Fee Related CN102610481B (zh) 2004-09-01 2005-09-01 用于增加光阻移除率之装置及等离子体灰化方法
CN2005800379286A Expired - Fee Related CN101053063B (zh) 2004-09-01 2005-09-01 用于增加光阻移除率之装置及等离子体灰化方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2005800379286A Expired - Fee Related CN101053063B (zh) 2004-09-01 2005-09-01 用于增加光阻移除率之装置及等离子体灰化方法

Country Status (7)

Country Link
US (1) US7449416B2 (zh)
EP (1) EP1784690A2 (zh)
JP (2) JP5115798B2 (zh)
KR (1) KR101170861B1 (zh)
CN (2) CN102610481B (zh)
TW (1) TWI376748B (zh)
WO (1) WO2006026765A2 (zh)

Families Citing this family (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US7381651B2 (en) * 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US7807579B2 (en) * 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
KR100911990B1 (ko) * 2007-07-04 2009-08-13 삼성모바일디스플레이주식회사 발광표시장치의 제조방법
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
JP5547878B2 (ja) * 2008-06-30 2014-07-16 株式会社日立ハイテクノロジーズ 半導体加工方法
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US8980751B2 (en) * 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012148370A1 (en) 2011-04-27 2012-11-01 Axcelis Technologies, Inc. Substantially non-oxidizing plasma treatment devices and processes
US20130298942A1 (en) * 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102187121B1 (ko) * 2019-04-30 2020-12-07 피에스케이 주식회사 기판 처리 장치
JP7340396B2 (ja) 2019-09-24 2023-09-07 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN111198482B (zh) * 2020-01-06 2023-10-24 长江存储科技有限责任公司 光刻胶去除装置及方法
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置
CN113053787A (zh) * 2021-03-07 2021-06-29 曹生们 一种等离子干法去胶用热盘装置
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590214A (ja) * 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
US6342135B1 (en) * 1995-11-02 2002-01-29 Taiwan Semiconductor Manufacturing Company Sputter etching chamber with improved uniformity
KR20020051670A (ko) * 2000-12-23 2002-06-29 윤종용 식각장치의 샤워헤드

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US5498308A (en) * 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
US5961851A (en) * 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5980638A (en) * 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6057645A (en) * 1997-12-31 2000-05-02 Eaton Corporation Plasma discharge device with dynamic tuning by a movable microwave trap
JP2000345348A (ja) * 1999-06-03 2000-12-12 Ulvac Japan Ltd 成膜方法
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6646223B2 (en) 1999-12-28 2003-11-11 Texas Instruments Incorporated Method for improving ash rate uniformity in photoresist ashing process equipment
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6964919B2 (en) * 2002-08-12 2005-11-15 Intel Corporation Low-k dielectric film with good mechanical strength
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590214A (ja) * 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
US6342135B1 (en) * 1995-11-02 2002-01-29 Taiwan Semiconductor Manufacturing Company Sputter etching chamber with improved uniformity
KR20020051670A (ko) * 2000-12-23 2002-06-29 윤종용 식각장치의 샤워헤드

Also Published As

Publication number Publication date
CN101053063A (zh) 2007-10-10
TW200611335A (en) 2006-04-01
TWI376748B (en) 2012-11-11
CN101053063B (zh) 2012-10-03
JP2008512004A (ja) 2008-04-17
CN102610481A (zh) 2012-07-25
WO2006026765A2 (en) 2006-03-09
EP1784690A2 (en) 2007-05-16
WO2006026765A3 (en) 2006-06-29
KR20070060104A (ko) 2007-06-12
JP5115798B2 (ja) 2013-01-09
US7449416B2 (en) 2008-11-11
US20060046470A1 (en) 2006-03-02
JP2012191242A (ja) 2012-10-04
KR101170861B1 (ko) 2012-08-03

Similar Documents

Publication Publication Date Title
CN102610481B (zh) 用于增加光阻移除率之装置及等离子体灰化方法
JP5122966B2 (ja) 表面波プラズマソース
JP5086083B2 (ja) 基板を処理するための方法
JP4861329B2 (ja) 基板を処理するためのプラズマ処理システム
US7977245B2 (en) Methods for etching a dielectric barrier layer with high selectivity
US6962879B2 (en) Method of plasma etching silicon nitride
KR101385346B1 (ko) 인-시추 기판 프로세싱을 위한 방법 및 장치
TWI404142B (zh) 於光阻剝除槽中自基板上移除光阻之方法
KR100760243B1 (ko) 플라즈마 리액터 내의 천공된 플라즈마 한정 링 및 이 한정 링을 구비하여 플라즈마로 기판을 처리하기 위한 디바이스 및 리액터 및 방법
JP4889640B2 (ja) 処理領域で基板に化学気相堆積を行うためのチャンバ
US20030022511A1 (en) Plasma ashing process
WO2002093634A1 (en) Plasma ashing process
EP2347439A2 (en) Front end of line plasma mediated ashing processes and apparatus
KR20010043324A (ko) 불화탄소 가스를 사용하는 이산화 실리콘막의 에칭방법
JP2008515160A (ja) 表面波プラズマソースと、プラズマ空間との間の結合を改良するための方法とシステム
US20040185674A1 (en) Nitrogen-free hard mask over low K dielectric
WO2020031224A1 (ja) プラズマ処理方法およびプラズマアッシング装置
US20090032192A1 (en) Method for Resist Strip in Presence of Low K Dielectric Material and Apparatus for Performing the Same
US6713380B2 (en) Methods for dry etching at low substrate temperatures using gas chemistry including a fluorocarbon gas and a gas including oxygen
JP2007081342A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: LAM RES CORP.

Free format text: FORMER OWNER: ESSELIS TECHNOLOGIES CO.

Effective date: 20131009

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20131009

Address after: American California

Applicant after: Lam Research Corp.

Address before: Massachusetts, USA

Applicant before: Esselis Technologies Co.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160413

Termination date: 20200901