JP5086083B2 - 基板を処理するための方法 - Google Patents

基板を処理するための方法 Download PDF

Info

Publication number
JP5086083B2
JP5086083B2 JP2007534588A JP2007534588A JP5086083B2 JP 5086083 B2 JP5086083 B2 JP 5086083B2 JP 2007534588 A JP2007534588 A JP 2007534588A JP 2007534588 A JP2007534588 A JP 2007534588A JP 5086083 B2 JP5086083 B2 JP 5086083B2
Authority
JP
Japan
Prior art keywords
gas
plasma
space
introducing
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007534588A
Other languages
English (en)
Other versions
JP2008515221A (ja
Inventor
チェン、リー
神原弘光
ティアン、カイズ・ホン
西塚哲也
野澤俊久
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2008515221A publication Critical patent/JP2008515221A/ja
Application granted granted Critical
Publication of JP5086083B2 publication Critical patent/JP5086083B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本出願は、同日に出願された同時係属中の米国特許出願シリアル番号10/XXX,XXXで代理人整理番号258536US6YAの名称「表面波プラズマ処理システムとその使用する方法」、同日に出願された同時係属中の米国特許出願シリアル番号10/XXX,XXXで代理人整理番号258470US6YAの名称「基板を処理するためのプラズマ処理システム」、および同日に出願された同時係属中の米国特許出願シリアル番号10/XXX,XXXで代理人整理番号258537US6YAの名称「表面波プラズマソースと、プラズマ空間との間の結合を改良するための方法およびシステム」に関連する。これらの出願全ての全体の内容は、これらの全体の引用によってここに取り入れられる。
本発明は、基板を処理するための方法と装置に関し、特に、プラズマ処理システムのプロセス化学の制御の方法に関する。
一般的に、半導体プロセス中、(ドライ)プラズマエッチングプロセスは、半導体基板上にパターニングされた微細線に沿った材料を、またはビアまたはコンタクト内の材料を除去するかまたはエッチングを行うために利用される。プラズマエッチングプロセスは、一般に処理チャンバ内に、上部にパターン化された、保護層、例えばフォトレジスト層を有する半導体基板を配置することを含む。一旦基板がチャンバ内に配置されると、真空ポンプが雰囲気処理圧力を達成するようにスロット調整される一方、イオン化可能な、解離性のガス混合は、予め指定の流量でチャンバ内に導入される。その後、存在する一部のガス種がエネルギを有する電子との衝突後にイオン化されるときに、プラズマは、形成される。さらに、加熱された電子は、混合ガス種のいくつかの種を解離させ、露出された表面のエッチング化学に適している反応物種(または複数の反応物種)を生成するのに役に立つ。一旦プラズマが形成されると、基板のいずれかの露出された表面は、プラズマによってエッチングされる。プロセスは、基板の露出領域のさまざまな形態(例えばトレンチ、ビア、コンタクトなど)をエッチングするために望ましい反応物、および、イオン集団の適切な濃度を含む最適の条件を達成するように調整される。たとえば、エッチングが必要とされる基板材料としては、二酸化珪素(SiO)、ポリシリコン、および窒化シリコンが含まれる。
従来は、上記の通りに、さまざまな技術は、半導体デバイス製造において、基板の処理のためにプラズマ内のガスを励起して実行されている。特に、(「平行平板」)容量結合型プラズマ(capacitively coupled plasma:CCP)処理システム、または誘導結合型プラズマ(inductively coupled plasma:ICP)処理システムは、一般にプラズマ励起のために利用されている。プラズマソースの他方式の中で、マイクロ波プラズマソース(電子サイクロトロン共鳴(electron−cyclotron resonance:ECR)を利用しているものを含む)、表面波プラズマ(SWP)ソース、およびヘリコンプラズマソースが、ある。これらの従来システムは、一般的に、単一の真空チャンバ空間を提供し、そこにおいて、プラズマを生成するためのイオン化可能ガスがプロセスのために使用される解離性のガスに混合される。しかしながら、本発明の発明者は、これら従来のプラズマ処理システムは、多くの不備に苦しんでいると認識した。
第一に、共通チャンバ内で、イオン化および解離性のガスを提供することは、プロセス化学の限定された制御(すなわち化学的な解離の制御)をもたらすことになる。さらに、共通のプラズマおよびプロセス空間は、プラズマソースを腐食するか材料を堆積し得るプロセスガスに、プラズマソースを曝し、このことによりプラズマソースの動作に影響を及ぼしている。同様に、共通のガス混合空間によって、プラズマの、エネルギを有する電子およびイオンによる基板のインタラクションのために、基板ダメージが生じ得る。またさらに、発明者は、従来システムが、基板のプロセス均一性を制御するための従来の技術、例えば、プラズマおよび/またはプロセスガスの不均一性を補うように基板の温度を制御することによって限定を受けると認識した。
したがって、本出願の発明の1つの目的は、上記の問題のいずれかまたは全てを低減すること、または取り除くことである。
本出願の発明の別の目的は、プラズマ処理システムのプロセス化学の制御を改良するための方法およびシステムを提供することである。
本出願の発明の別の目的は、プラズマソースのようなシステムコンポーネントに対するダメージを低減する方法およびシステムを提供することであり、および/または、被処理基板に対するダメージの可能性を減少することである。
本出願の発明のさらに他の目的は、基板に対するプロセス均一性の制御を改良する方法およびシステムを提供することである。
本発明のこれらのどれかの、および/またはその他の目的は、プラズマ処理システムの基板を処理する方法によって提供されることができる。本発明の一態様では、方法は、プラズマ空間を規定するように構成された第1のチャンバ部分と、処理空間を規定するように構成された第2のチャンバ部分とを有する処理チャンバに基板を配置することと、プラズマ空間に第1のガスを導入することと、処理空間に第2のガスを導入することとを具備する。プラズマは、上部チャンバ部分に結合されたプラズマソースを使用して第1のガスからプラズマ空間に形成され、プラズマがプラズマ空間から処理空間へと拡散することができるように、基板を処理するためのプロセス化学は、第1のチャンバ部分と、第2のチャンバ部分との間に配置されたグリッドを提供することによって、処理空間に形成される。
本発明の別の態様では、プラズマ処理システムの基板を処理する方法は、プラズマ空間を規定するように構成された第1のチャンバ部分と、処理空間を規定するように構成された第2のチャンバ部分とを有する処理チャンバに基板を配置することと、第1のチャンバ部分と、第2のチャンバ部分との間に配置されたガス注入グリッドを提供することとを含む。第1のガスは、ガス注入グリッドからプラズマ空間へと導入され、第2のガスは、ガス注入グリッドから処理空間へと導入される。プラズマは、上部チャンバ部分に結合されたプラズマソースを使用して第1のガスから、プラズマ空間に形成される。プラズマがプラズマ空間から処理空間へと拡散することができるように、第1のチャンバ部分と、第2のチャンバ部分との間に配置されたガス注入グリッドを提供することによって、基板を処理するためのプロセス化学は、処理空間に形成される。
以下の説明では、本発明の完全な理解を容易にするために、並びに説明のために、およびそれに限定されるものではない具体的な詳細の説明、例えばプラズマ処理システムの特定のジオメトリ、およびシステムコンポーネントのさまざまな説明は、記載される。しかしながら、本発明は、これらの具体的な詳細からは離れる他の実施形態によっても実施されることができると理解されるべきである。それでもなお、発明の本質が一般的な概念として説明されていたとしても、その発明の本質からなる形態が明細書内に含まれるのと理解されるべきである。
ここで図面を参照し、参照番号がいくつかの図の全体にわたって同一であるか対応する部品を示すように付され、図1は、実施形態に係るプラズマ処理システム100を示す。プラズマ処理システム100は、プラズマ空間116を規定するように構成された上部チャンバ部分112(すなわち第1のチャンバ部分)と、処理空間118を規定するように構成された下部チャンバ部分114(すなわち第2のチャンバ部分)とを有する処理チャンバ110を備える。下部チャンバ部分114において、処理チャンバ110は、基板125を支持するように構成された基板ホルダ120を備える。その中で、基板125は、処理空間118のプロセス化学に曝される。さらにまた、プラズマ処理システム100は、上部チャンバ部分112に結合され、プラズマ空間116にプラズマを形成するように構成されたプラズマソース130を具備する。
図1に示すように、プラズマ処理システム100は、上部チャンバ部分112と、下部チャンバ部分114とに結合され、プラズマ空間116と、処理空間118との間の位置づけられたグリッド140を含む。図1は、上部チャンバ部分112が、下部114とサイズにおいて実質的に等しいような処理チャンバを割けるように中央に配置されたガス注入グリッドを示すが、本発明は、この構成に限定されるものではない。たとえば、ガス注入グリッドは、基板の上面から200mm以内に位置づけられることができ、望ましくは、ガス注入グリッドは、基板の上面からほぼ10mm〜ほぼ150mmの範囲内に配置される。グリッドは、好ましくは、プラズマを形成するためのプラズマ空間116に第1のガス142を導入し、プロセス化学を形成するための処理空間118に第2のガス144を導入するように構成されたガス注入グリッド140である。しかしながら、第1および第2のガスがグリッド140を経由してそれらのそれぞれのチャンバ部分に導入されることは、必要ではない。たとえば、プラズマソース130は、プラズマ空間116に第1のガス142を供給するように構成されることができる。図1の実施形態において、第1のガス供給システム150は、ガス注入グリッド140に結合され、第1のガス142を供給するように構成されている。さらに、第2のガス供給システム160は、ガス注入グリッド140に結合され、第2のガス144を供給するように構成されている。ガス注入グリッド140の温度は、温度制御システム170を使用して制御されることができ、ガス注入グリッド140の電位は、電気バイアスコントロールシステム175を使用して制御されることができる。
さらにまた、プラズマ処理システム100は、処理チャンバ110に結合し、処理チャンバ110を排気するように、同じく処理チャンバ110内の圧力を制御するように構成された排気システム180を備えている。オプションとして、プラズマ処理システム100は、処理チャンバ110、基板ホルダ120、プラズマソース130、ガス注入グリッド140、第1のガス供給システム150、第2のガス供給システム160、温度制御システム170、電気バイアスコントロールシステム175、および排気システム180に接続されたコントロールシステム190を更に具備する。コントロールシステム190は、プラズマ処理システム100のエッチングプロセスおよび堆積プロセスのうちの少なくとも1つを達成するためのプロセスレシピを実行するように構成されることができる。
さらに図1を参照し、プラズマ処理システム100は、200mmの基板、300mmの基板、またはより大きいサイズの基板を処理するように構成されることができる。事実、当業者によって理解されるように、プラズマ処理システムが、基板、ウェハ、またはLCDのそれらのサイズを問わずに処理するように構成されることができることは、予想される。従って、本発明の態様は、半導体基板のプロセスと関連して記載されているが、本発明は、単にそれに限定されるものではない。
上述の通り、処理チャンバ110は、プラズマ空間116内でプラズマの生成を容易にするように構成され、基板125の表面に隣接する処理空間118のプロセス化学を生成する。プラズマ空間116に導入される第1のガス142は、プラズマ形成ガスまたはイオン化可能ガスまたはガスの混合物を含んでいる。第1のガス142は、不活性ガス、例えば希ガスを含むことができる。処理空間118に導入される第2のガス144は、プロセスガスまたはプロセスガスの混合物を含んでいる。エッチングプロセスにおいて、たとえば、プロセスガスは、解離するときに、基板表面で材料をエッチングする反応性を有する分子成分を含むことができる。一旦プラズマがプラズマ空間116にて形成されると、プラズマのいくらかは、ガス注入グリッド140を介して処理空間118に拡散することができる。処理空間118内に拡散される加熱された電子は、たとえば、エッチングプロセスを実行する解離および反応性のラジカルの形成を引き起こすプロセスガス内の分子と衝突することができる。本発明の発明者は、グリッドによる処理空間からプラズマ空間のこの分離が上で記載されている従来システムを超えるいくつかの利点を提供することができることを見いだした。
第一に、図1の典型的なプラズマ処理システムに示されているように、プラズマと、処理空間との分離は、従来システムを超える改良されたプロセス制御を提供することができる。特に、ガス注入グリッド140の使用は、上記の通り、たとえば、処理空間118に低い温度のプラズマを発生する一方、プラズマ空間116の高密度で、高い温度(電子温度、Te)のプラズマの形成に作用することができる。この際、第1および第2のガスに対する分割インジェクション方式は、プロセス化学を形成するために利用される第2のガスの分子組成の解離の減少に影響を及ぼし、それは、基板表面でのプロセスのより高い制御を提供する。
加えて、典型的な図1の構成は、プロセスガスがプラズマ空間116に侵入するのを防ぐことによって、プラズマソース130のようなチャンバコンポーネントへのダメージを低減することができる。例えば、アルゴン(Ar)のような不活性ガス(第1のガス)は、プラズマ空間116に導入され、プラズマは、生成され、中性のAr原子は、加熱される。加熱されたAr中性原子は、ガス注入グリッド140を介して下に拡散し、より冷えた、基板に隣接した処理空間に侵入する。中性ガスのこの拡散は、プロセスガス(第2のガス)の分子組成の逆拡散を減少または排除することができる処理空間118内へのガス流れを作る。
またさらに、図1の構成は、基板125とイオンおよび電子の相互作用によって生じる基板ダメージを低減することができる。特に、処理空間118への注入グリッド140を介した電子およびイオンの拡散は、上記の従来のチャンバに対して、より少ない電子およびイオンをこの空間に提供する。さらに、これらの電子およびイオンの多数は、それらのエネルギをプロセスガスの解離のために引き渡す。したがって、ほとんどの電子およびイオンは、基板と相互作用し、それにダメージを生じることの可能性はなく、これは、基板125に対するダメージが必要とされるプロセス温度によってアニールされることができない低温プロセスにとって特に重要である。
したがって、本発明の発明者は、グリッドによる処理空間からのプラズマ空間の分離が、混合チャンバ構成上の利点を提供することができることを見いだした。しかしながら、本発明は、上記の利点の全てまたはいずれかを提供することに限定されないことは、理解されている。たとえば、グリッド分離構造は、上記の利点のいずれかまたは全てをを排除して利用されることができる知られていない利点を提供することができる。
ここで図1のプラズマソース130を参照し、このソースは、平行平板容量結合型プラズマソース、誘導結合型プラズマソース、マイクロ波プラズマソース(たとえば、電子サイクロトロン共鳴(ECR)、ヘリコンプラズマソース、または表面波プラズマ(SWP)ソースを利用するそれらを含む)とすることができる。従来技術において当業者によって理解されるように、他の周知のプラズマソースは使用されることができる。図2は、図1のプラズマソース130として使用されることができるSWPソースを示す。ここで図2を参照して、プラズマソース230は、内部導体240、外部導体242、および絶縁部241を有する同軸フィード238を有するスロットアンテナ、例えばラジアルラインスロットアンテナ(radial line slot antenna:RLSA)を含んで示される。流体チャンネル256は、プラズマソース230の温度コントロールのために温度コントロール流体を流すのに使用されることができる。
加えて、プラズマソース230は、低速(slow)波長板(wave plate)244と、スロット248を有するスロットアンテナ246と、共振器プレート250とを備えている電磁気(EM)波ラウンチャ243を含んでいる。スロットの数、スロットのジオメトリ、スロットのサイズ、およびスロットの分布は、プラズマ空間116に形成されるプラズマの空間均一性に寄与することができる全てのファクタである。したがって、スロットアンテナ246のデザインは、プラズマ空間116のプラズマの空間均一性を制御するのに使用されることができる。さらに、共振器プレート250の正確なディメンション(すなわち厚さおよび直径)は、所望のマイクロ波振動数に対して数値的に算出されることができる。共振器プレート250のこれらの限界寸法は、このコンポーネントを製造するのを高額にする。
波ラウンチャ243は、プラズマ空間116にマイクロ波パワーを放射するように構成されたマイクロ波ラウンチャを含む。マイクロ波ラウンチャは、マイクロ波源、例えば2.45GHzのマイクロ波電源に結合されることができ、マイクロ波パワーは、同軸フィード238を介してマイクロ波ラウンチャに結合される。マイクロ波源によって生成されるマイクロ波エネルギーは、マイクロ波発振器へ反射されるマイクロ波エネルギーを吸収するためのアイソレータ(図示せず)に導波管(図示せず)を介して案内され、その後、それは同軸コンバータ(図示せず)を介して同軸TEMモードにコンバートされる。チューナは、インピーダンスマッチングのために、および改良されたパワー伝達のために使用されることができる。マイクロ波エネルギーは、同軸フィード238を介してマイクロ波ラウンチャに結合され、ここで、別のモード変化は、同軸フィード238内でTEMモードからTMモードへと生じる。同軸フィードおよび波ラウンチャのデザインに関する付加的な詳細は、米国特許番号5,024,716号、名称「エッチング、アッシング、および膜−形成のためのプラズマ処理装置」で見いだすことができ、その全体の内容は、参照によってここに取り入れられたものとする。
なお図2を参照して、プラズマソース230は、処理チャンバ110の上部チャンバ部分112に結合され、そこにおいて、真空シールは、シーリングデバイス254を使用して、上部チャンバ壁252と、プラズマソース230との間に形成されることができる。シーリングデバイス254は、エラストマOリングを含むことができるが、しかしながら、他の周知のシール機構が、使用されることができる。一般に、同軸フィード238の内部導体240および外部導体242は、導電材料、例えば金属を含み、さらに低速波長板244および共振器プレート250は、誘電材料を含む。後者において、低速波長板244および共振器プレート250は、好ましくは同一材料であるが、しかしながら異なる材料が、使用されることができる。低速波長板244および共振器プレート250の製造のために選ばれる材料は、自由空間波長に対して伝播する電磁(EM)波の波長を減少するように選択され、低速波長板244および共振器プレート250の寸法は、プラズマ空間116内にEMエネルギを放射するために効果的な定在波の形成を確実にするように選択される。1つの実施形態において、低速波長板244および共振器プレート250は、石英(二酸化珪素)から製造される。特に、プラズマ処理システムが、エッチングプロセスアプリケーションのために利用されるときに、石英は、多くの場合、エッチングプロセスとの互換性のために選ばれる。しかしながら、本発明の発明者は、低速波長板244および共振器プレート250の材料として石英を使用することに関するいくつかの問題を観測した。
定在波電界の開始(onset)は、低電力プラズマプロセスに対する石英−プラズマ界面に隣接して滞在しなければならない。本発明の発明者は、石英−プラズマ界面での定在波を有する石英共振器プレートの使用が、プラズマパラメータシフトとしてモードジャンプしやすくなり得ることを観測した。特に、プラズマパラメータのシフトは、石英共振器の減衰する電界に影響を及ぼす。もし誘電体共振器内の電界の強さが、プラズマパラメータのシフトによる電界の変化より十分に大きくないならば、このようなシフトによって電圧定在波比(voltage standing wave ratio:VSWR)ジャンプまたは定在波モードジャンプが生じ得る。共振器プレートおよび低速波長板の製造のための材料として石英を使用するときは、また、スロットアンテナのデザイン(多数のスロット、それらのサイズ、ジオメトリ、および分布)は、プラズマ空間116の空間的に均一なプラズマに影響を及ぼすことに対して効果的ではない。従って、特別な形状は、均一なプラズマを形成するために必要であり得る。図3は、プラズマ空間116のプラズマの空間均一性を改良するように構成された1つ以上の同一中心の溝260を更に含むことができるプラズマソース231である。しかしながら、この構成は、石英共振器プレートの費用を増加させ得る。
本発明の他の実施例において、低速波長板244および共振器プレート250は、高誘電率(high−k)材料から製造されることができる。ここで使用しているように、「高誘電率」および「high−k」材料は、二酸化珪素(ほぼ3.9の値)の値以上の誘電率を有する材料を指す。本発明の発明者は、high−k材料の使用が、たとえば、上記の石英のような他の材料に対して、プラズマパラメータのシフトによるモードジャンプのリスクの減少に至ることができると認識した。さらに、high−k材料の使用によって、スロットアンテナのデザインが、プラズマ空間116に形成されたプラズマの空間均一性を制御する際の有効性を改良する。またさらに、high−k材料の使用が、プロセス化学の分子成分の減少した解離を許容し、このことにより、図1に関する上記のようなより高いプロセス制御を許容する。この点に関しては、本発明の発明者は、低速波長板244および共振器プレート250に対するhigh−k材料の使用は、典型的な図1の分割されたチャンバ構成と同様に従来の単一のチャンバのプラズマチャンバのプロセス制御を改良することができることを認識した。
1つの実施形態において、high−k材料は、内在性の(intrinsic)結晶シリコン、アルミナセラミック、窒化アルミニウム、およびサファイヤを含むことができる。しかしながら、他のhigh−k材料は、本発明によって使用されることができる。さらに、特定のhigh−k材料は、特定のプロセスのパラメータに従って選ばれることができる。たとえば、共振器プレート250が、内在性の結晶シリコンから製造されるときに、プラズマ振動数は、45℃の温度で2.45GHzを上回る。従って、内在性の結晶シリコンは、低温過程(すなわち、45℃未満の)に対して適切である。より高温度プロセスに対して、共振器プレート250は、アルミナ(Al)またはサファイヤから製造されることができる。
上述の通り、プラズマソースの共振器プレートは、共振器プレートを高額にする限界寸法(critical dimensions)を有する。上記のhigh−k材料でできている共振器プレートと同様に、これは、石英共振器プレートに対しても、該当する。しかしながら、プラズマ空間116のプラズマの腐食性の性質によって、共振器プレート250がその限界寸法からの逸脱を生じ得て、このことにより費用のかかる共振器プレート250の頻繁な交換を必要とする。これは、特に、共振器プレートがプラズマと同様にプロセスガスに曝される従来の単一のチャンバプラズマシステムに対しても該当する。本発明の発明者は、腐食性のプラズマおよび共振器プレート250の限界寸法を維持する要件のために、カバープレートが、より費用のかかる共振器プレート250を保護するために消耗可能なコンポーネントとして使用されることができることを認識した。
したがって、さらにもう一つの実施例に係るカバープレート265は、図4にて図示したように、共振器プレート250の下面に結合する。カバープレートの厚さは、その中で定在波を維持することがないように、十分に薄いものが選ばれる(すなわち、厚さは、電磁気的に臨界ではない);しかしながら、それは、機械的安定度のために十分に厚い。たとえば、カバープレート265は、厚さ1〜5mm、または、望ましくは、厚さ2〜3mmの石英カバープレートを含むことができる。さらに、共振器プレート250の下面(または接触面)およびカバープレート265の上面(または接触面)は、共振器プレート250と、カバープレート265との間の良好なコンタクトを確実にするように磨かれていることができる。薄膜は、また、共振器プレート250の下面に堆積することができ、そして、良好なコンタクトを提供するために磨かれる。例えば、薄膜は、SiOの薄膜を含むことができ、それは、最高2ミクロンの熱(thermal)SiOまたは最高6ミクロンの物理蒸着(PVD)SiOを含むことができる。従来技術において当業者によって理解されるように、カバープレート265は、カバープレート265の除去および交換を許容する固定具またはいくつかの他の機構によって共振器プレートに、好ましくは結合される。
費用のかかる共振器プレートコンポーネントに対して腐食保護を提供するカバープレート265の利点にも関わらず、本発明の発明者は、カバープレートが、プラズマ処理システム、例えば図1のプラズマシステムまたは従来の単一のチャンバのプラズマシステムのプラズマの不安定性の一因となり得ることを見いだした。図9Aは、共振器プレート250およびカバープレート265に対する単純な幾何学的な界面を記載する無限の平板モデルである。電気および磁気フィールドの分析的表示は、均一なヘルムホルツ方程式(すなわち以下に示す)を使用して決定されることができる。
Figure 0005086083
Figure 0005086083
および
Figure 0005086083
ここでx、yおよびzは、図9Aで示すように直交座標系(Cartesian coordinates)であり、Ezは、z方向の電界であって、Exは、x方向の電界であって、Hyはy方向の磁場であって、k250は、共振器プレートのカットオフ波数であって、κ250は、共振器プレート材料の誘電率であって、Yは、真空波(vacuum wave)アドミタンス(Y=(ε/μ1/2=Z −1)である。また、上記の方程式で、βは、基本の分散関係β=k−k =κ250 −k250 =κ265 +hからの伝搬定数であり、ここで、κ265は、カバープレート材料の誘電率であり、kは、媒体波数(medium wave number)であり、kは、媒体カットオフ波数(medium cut−off wave number)であり、hは、フィールド減衰定数(field damping constant)であり、kは、真空波数(vacuum wave number)であり、および、k265=jhである。したがって、ここで共振器プレートは、内在性の結晶シリコンから製造され、カバープレートは、二酸化珪素から製造され、下付き添字「250」は、内在性の結晶シリコンの材料特性を指し、下付き添字「265」は、二酸化珪素の材料特性を指す。
図9Aに示すように、第1の表面波が、共振器プレートと、カバープレート界面との間に存在し、消え去っていく(evanescent)電界の開始は、この界面で生ずる。kおよびkによって表される電磁波は、図9Aの2つの典型的なモードとして記載される。波k1,2およびk2,2は、内在性の結晶シリコン(例えばκ〜12、およびλSi〜1cm)の位相速度と、二酸化珪素(κ〜4、およびλSiO2〜3cm)の位相速度との間の位相速度で進行するこの界面での表面波を表す。もしカバープレートの厚さが、EM波の波長より非常に大きいならば、x>sに対する無限の平板モデルフィールド解は、
Figure 0005086083
Figure 0005086083
および
Figure 0005086083
(ここで、真空中のとき、kY=k;および、カバープレート内の表面波に対しては、kY=κ265
しかしながら、カバープレートの厚さは、単なる波長の部分、従って、電界の減衰深さのより小さい部分であるので、解の上記セットは、不完全である。例えば、カバープレートと、プラズマとの間の界面での付加的な反射は、起こり、x>qに対する異なる崩壊定数が存在する。伝搬定数が、共振器プレート、カバープレート、およびプラズマに対して同じでなければならないという理由から、新しいβが存在する。さらに重要なことに、新しいβは、共振器プレート、カバープレート、およびプラズマにまたがっている新しい位相速度に至る。
従って、カバープレートの影響として、プラズマ不安定性が、プラズマパラメータのシフトの結果として起こり得るかもしれない。プラズマに対する誘電率は、プラズマパラメータの広範囲にわたりほぼ単一の値であるが、EM波分散は、電子密度(n)、電子温度(T)などに強く影響を受ける。分散関係がプラズマ内で変化するとき、表面波伝播定数は変化する。その結果として、フィールド解は、変化する。直接の影響は、プラズマ処理チャンバの安定性を減少させ、これによりプラズマ処理システムで実行されるプロセスの再現性を減少させるVSWRおよび可能なモードジャンプの増加を含み得る。このようなモードホップが、カバープレートなしで存在し得る一方、増加したプラズマの不安定の可能性は、表面波プラズマソース上の消耗可能なカバープレートを使用する現在の不良に関与するファクタであるかもしれない。
しかしながら、本発明の発明者は、モードスクランブラが、モードジャンプの影響を軽減するためにプラズマソースに結合することができることを見いだした。特に、波ラウンチャ243のキャビティモードは、プラズマパラメータと同様に、波ラウンチャキャビティのジオメトリおよび波ラウンチャキャビティの材料特性に依存し得る。モードスクランブラの使用は、その結果としてキャビティモードのプラズマパラメータの変化の影響を低減する。この点に関しては、モードスクランブラは、防護カバー265の使用を容易にするように提供されることが出来るが、一方では、上記のようにモードホップが生じる可能性のために使用されることができない。上記で議論した図1のシステムと同様に、これは、従来のプラズマシステムに対して該当する。さらに、モードスクランブラは、カバープレートを有する、もしくはカバープレートを有しないSWPソースのためのモードホッピングを抑制するように使用されることができる。
図5は、プラズマソースの1つの実施形態に係る共振器プレートの下面に結合されたモードスクランブラを示す。この図に示すように、モードスクランブラ270は、1つ以上のガスプレナム272に結合された1つ以上のガス穴274を備える。図5の実施形態において、ガス、例えば第1のガスすなわち不活性ガスがプラズマ空間116に導入されるように、ガスプレナム272は、ガス穴274によってプラズマ空間116に結合される。図5において、各々のガス穴274は、ガスプレナム272に結合されていることを示すが、一つ以上のガス穴274の1つ以上は、ガスプレナム272に結合されることができない。更に、1つの実施の形態において、一つ以上のガス穴274の1つ以上は、排気されることができる。
なお図5を参照し、プラズマソース233は、処理チャンバ110の上部チャンバ部分116に結合され、そこにおいて、真空シールは、シーリングデバイス254を使用して、上部チャンバ壁252と、プラズマソース230との間に形成されることができる。シーリングデバイス254は、エラストマOリングを含むことができるが、しかしながら、他の周知のシーリングデバイスも、使用されることができる。さらにまた、第2および第3のシーリングデバイス、255Aおよび255Bは、同軸フィード238の真空シールを提供するように利用されることができる。
図6は、さらにもう一つの実施例に係るプラズマソースを示す。この図に示すように、モードスクランブラ270は、プラズマソース233の共振器プレート250の下面に結合される。ここで、モードスクランブラ270は、カバープレート265と、共振器プレート250の界面とに形成される1つ以上の止まり穴276を備える。図9Bは、共振器プレート250と、カバープレート265との間に形成された止まり穴276に対して単純な幾何学的な界面を示している無限の平板モデルである。図9Bに示すように、k、k、およびkによって表される電磁波は、3つの典型的なモードとして記載される。表面波k1,2(k1,3)およびk2,2(k2,3)は、プラズマ空間116のプラズマにエネルギの効果的な結合を表す。しかしながら、波kは、プラズマ加熱の一因とならず、それは、プラズマによって反射される。本発明の発明者は、電磁波の特定のモードのこの反射が、SWPソースに起こり得るモードホッピングの可能性を低減し、カバープレート265によって増強されることができることを確定した。
1つ以上の止まり穴276の数、ジオメトリ、分布、およびサイズは、また、プラズマ空間116の均一なプラズマを提供するために選ばれることができる。したがって、モードスクランブラは、プラズマ均一性の付加的な制御を提供するように使用されることができる。加えて、1つ以上の止まり穴276は、図6に示すようにプラズマからシールするよりはむしろ、プラズマ空間116に開放されることができる。しかしながら、このような構成において、各々の止まり穴のサイズ、例えば各々の穴の幅または直径は、モードホッピングの一因となり得る穴内のプラズマの形成を回避するために、十分に小さくされるべきである。もしプラズマが、1つ以上の穴内で形成を可能にされるならば、それで、1つ以上の穴は、プラズマによる腐食に曝されることができ、それ故、穴に損害を与え、穴の寸法を変えてしまう。穴寸法のこれらの変化は、プラズマソースと、プラズマとの間のパワーを結合させる際の変化につながり得る。たとえば、各々の止まり穴の幅または直径は、100mTorr未満の処理圧力に対して2mm以下であることができる。圧力が増加するに従って、止まり穴のサイズは、その中のプラズマの形成を防止するために減少されるべきである(例えば、p=200mTorrのとき、直径は1mm以下であるべきである)。加えて、たとえば、1mm未満の止まり穴径は、λSi〜1cmに対するキャビティモードを攪乱するために効果的であることができる。
1つ以上の止まり穴は、穿孔(drilling)またはミリング技術、ソニックミリング、レーザーミリングもしくはエッチングプロセス、またはそれらの何らかの組合せを使用して製造されることができる。エッチングプロセスは、共振器プレート250に止まり穴を形成するために利用されることができるドライまたは湿式のエッチングプロセスを含むことができる。1つの実施形態において、薄いSiO層、例えば熱SiOの2ミクロン層または物理蒸着(PVD)SiOの6ミクロン層は、共振器プレート250の下面に堆積されることができる。その後、薄いSiO層は、たとえば、エッチングプロセスを使用してパターニングされることができ、そのパターンとは、エッチングプロセスを使用して共振器プレート250に転写することができ、それによって薄いSiO層は、ハードマスクをとしての機能を果たし、1つ以上の止まり穴のジオメトリを規定する。さらにまた、維持されている薄いSiO層は、共振器プレート250と、カバープレート265との間の良好な界面を確実にするのに役に立つことができる。
プラズマソースのさらにもう一つの実施例に係る図6に記載されたモードスクランブラの止まり穴276は、穴の中でのプラズマの形成を予防するために、材料で埋められることができる。たとえば、図7に記載の、コーティング278は、1つ以上の止まり穴276を埋めるために、共振器プレート250の下面に適用されることができる。コーティング278は、好ましくは、共振器プレート250の誘電率より低い誘電率を有する材料である。たとえば、共振器プレートがhigh−k材料であるところ、コーティング278は、ほぼ4の誘電率を有するSiOを含むことができる。別の形態として、コーティングは、SiOの誘電率より低い誘電率を有する低誘電率(low−k)材料を含むことができる。さらに、コーティング278は、好ましくは、共振器プレート250と、カバープレート265との間の界面の確実性を改良するために共振器プレートに対する膨張率とコンパチブルである熱膨張係数を有するlow−k材料を含むことができる。たとえば、low−k材料は、有機、無機、および無機−有機ハイブリッド材料の少なくとも1つを含むことができる。さらにまた、low−k材料は、多孔質または無孔質のコーティングを含むことができる。
加えて、たとえば、コーティング278は、無機の、ケイ酸塩ベースの材料、例えば、CVD技術を使用して堆積された酸化されたオルガノシラン(またはオルガノシロキサン)を含むことができる。このようなコーティングの実施例は、Applied Materials社から市販されているブラックダイヤモンド(登録商標)CVD有機珪酸塩ガラス(OSG)膜、またはノベラスシステムから市販されているコーラル(登録商標)CVD膜を含む。コーティング278は、また、小さいボイド(または孔)を作成するために硬化(curing)プロセスの間に壊されるCH結合を有する酸化シリコンベースのマトリックスのようなシングルフェーズ材料、および/または硬化プロセスの間に蒸発する有機材料(例えばポロゲン(porogen))の孔を有する酸化シリコンベースのマトリックスのようなデュアルフェーズ材料を含むことができる。別の形態として、コーティング278は、無機の、ケイ酸塩ベースの材料、例えば水素シルセスキオキサン(HSQ)またはメチルシルセスキオキサン(MSQ)を含むことができ、それは、SOD技術を使用して堆積される。このようなコーティングの実施例は、ダウコーニングから市販されているFOx HSQと、ダウコーニングから市販されているXLK多孔質HSQと、JSRマイクロエレクトロニクスから市販されているJSR LKD−5109とを含む。なお、あるいは、コーティング278は、SOD技術を使用して堆積される有機材料を含むことができる。このようなコーティングの実施例は、ダウケミカルから市販されているSiLK―I、SiLK−J、SiLK−H、SiLK−D、および多孔質SiLK半導体誘電体樹脂と、ハネウェルから市販されているFLARE(登録商標)およびナノ−ガラス(Nano−glass)を含む。
コーティング278は、たとえば、化学気相成長(CVD)技術、または、東京エレクトロン株式会社(TEL)から市販されているクリーントラックACT 8 SODおよびACT 12 SODコーティングシステムで提供されるようなスピンオン誘電体(SOD)技術を使用して形成されることができる。クリーントラックACT 8(200mm)およびACT 12(300mm)コーティングシステムは、SOD材料に対して、コーティングし、ベーキングし、そして硬化させるツールを提供する。トラックシステムは、100mm、200mm、300mm、およびより大きい基板サイズを処理するために構成されることができる。基板上にコーティングを形成するための他のシステムと方法は、スピンオン技術および蒸着技術の当業者にとって周知である。一旦、コーティング278が塗布されると、それは、たとえば、カバープレート265との界面を改良されるされた界面を提供するために研磨されることができる。研磨工程は、例えば、化学機械研磨(CMP)を含むことができる。
プラズマソースのさらにもう一つの実施例によれば、1つ以上の止まり穴276を有するモードスクランブラ270は、止まり穴内のプラズマの形成を防止するために、プラズマアレスタで埋められることができる。たとえば、図8に記載の、1つ以上の止まり穴276は、球状ペレット280で埋められる。球状ペレット280は、たとえば、上記の通り、SiOまたはlow−k材料であることができる。一旦、1つ以上の止まり穴が、球状ペレット280を積み込まれる(load)と、各々のペレットは、各々のペレットを各々の止まり穴に圧入することによって、またはカバープレート265を共振器プレート250に結合させることによって止まり穴の中で各々のペレットを保持することによって固定される。ペレットの物理的存在は、加速された自由電子の経路を減少させ(電子は、ペレットに衝突する)、したがって、雪崩イオン化を抑制する。一つの実施例において、各々の止まり穴276は、2mm直径×2mm深さを含むことができ、各々のペレット280は、2mmおよびより少ないの直径を有することができる(止まり穴内にフィットするように十分に小さいように)。加えて、共振器プレート250と、カバープレート265との間の良好な界面を確実にするために、薄いSiO層、たとえば熱SiOの2ミクロン層または物理蒸着(PVD)SiOの6ミクロン層は、共振器プレート250の接触面に堆積されることができる。
上記のように、図1のグリッド140は、プラズマ処理システムのプロセス均一性を制御するように構成されることができる。特に、グリッド140は、第1および第2のガスを導入するためのガス注入グリッドとして使用されることができる。図10は、1つの実施形態に係るガス注入グリッドの詳細を示す処理システムの一部である。処理チャンバ310は、プラズマ空間316を囲う上部チャンバ部分312と、プロセス領域318を囲う下部チャンバ部分314とを含んで記載される。プラズマソース330、例えば上記の通りの表面波プラズマソースは、上部チャンバ部分312に結合され、プラズマ空間316にプラズマを形成するように構成される。ガス注入グリッド340は、上部チャンバ部分312と、下部チャンバ部分314に結合され、プラズマ空間316と、処理空間318との間に位置づけられる。図10にて図示したように、ガス注入グリッド340は、上部チャンバ部分312に結合され、そして、それは、上部シーリングデバイス344、例えばエラストマOリングを使用して真空で使用するために、シールされる。また、図10にて図示したように、ガス注入グリッド340は、下部チャンバ部分314に結合され、それは、下部シーリングデバイス346、例えばエラストマOリングを使用して真空で使用するために、シールされる。
ガス注入グリッド340は、プラズマ空間316をプラズマが処理空間318に拡散することができる処理空間318に結合させる1つ以上の通路342を備えている。図10の実施形態において、ガス注入グリッド340は、第1のガスチャンネルアレイ356に結合された1つ以上のガス注入オリフィス(図示せず)を介してプラズマ空間316に第1のガスを導入するように構成される。第1のガスチャンネルアレイ356は、第1のガス供給システムに結合される1つのガスチャンネルまたは第1のガス供給システムに独立して結合されるガス注入グリッド340に複数のゾーンを形成する複数のガスチャンネルを含むことができる。後者の場合、第1のガスの組成、もしくは第1のガス流量、または両方は、1つのガスチャンネルから次へと変化することができる。このような変化によって、プラズマ空間316のプラズマの条件は、要求されるように空間均一性または不均一性を達成するように空間的に制御されることができる。たとえば、プラズマの空間均一性は、プロセス均一性を維持するように使用されることができ、プラズマの不均一性は、処理システムの他の条件を補うように使用されることができる。
また、図10の実施形態に示すように、ガス注入グリッド340は、第2のガスチャンネルアレイ366に結合される1つ以上のガス注入オリフィス(図示せず)を介して処理空間318に第2のガスを導入するように構成される。第2のガスチャンネルアレイ366は、第2のガス供給システムに結合される1つのガスチャンネルを、または、第2のガス供給システムに独立して結合される複数のガスチャンネルを含むことができる。後者において、第2のガスの組成、もしくは第2のガス流量、または両方は、1つのガスチャンネルから次へと変化することができる。第1のガスと同様に、このような変化は、要求されるように空間均一性または不均一性を達成するようにプロセスガス空間の空間制御を提供するように使用されることができる。
また、図10の実施形態において、ガス注入グリッド340の温度は、冷却するときにガス注入グリッド340から熱交換器(図示せず)まで熱を移送するために、または、加熱するときに熱交換器からガス注入グリッド340まで熱を移送するために、流体チャンネルアレイ376を介して熱伝達流体を回して制御されることができる。流体チャンネルアレイ376は、温度制御システムに結合される1つの流体チャンネルを、または独立して温度制御システムに結合される複数の流体チャンネルを含むことができる。後者において、熱伝達流体の組成、もしくは熱伝達流体の温度、もしくは熱伝達流体の流量、またはそれらの何らかの組合せは、1つの流体チャンネルから次へと変化することができる。したがって、流体チャンネルアレイ376は、また、プラズマおよび処理空間の空間制御を提供するように使用されることができる。
図10にて図示したように、第1のガスは、ガスライン354の第1のアレイを介して、第1のガスチャンネルアレイ356に結合される。第1のガスは、図1に記載された第1のガス供給システム150のような第1のガス供給システムを結合するためのポイントを可能にするガスフィッティング352の第1のアレイを介してガスライン354の第1のアレイに結合されている。たとえば、ガスフィッティング352の第1のアレイは、上部チャンバ部分312に位置づけられることができ、ガスライン354の第1のアレイは、ガス注入グリッド340内に、上部チャンバ部分312を介して通過することができ、そして、それによって、ガスシーリングデバイス358の第1のアレイは、第1のガスのリークを防止するように利用される。たとえば、ガスシーリングデバイス358の第1のアレイは、1つ以上のエラストマOリングを含むことができる。
図11にて図示したように、第2のガスは、ガスライン364の第2のアレイを介して、第2のガスチャンネルアレイ366に結合される。第2のガスは、図1に記載された第2のガス供給システム160のような第2のガス供給システムを結合するためのポイントを可能にするガスフィッティング362の第2のアレイを介してガスライン364の第2のアレイに結合されている。たとえば、ガスフィッティング362の第2のアレイは、上部チャンバ部分312に位置づけられることができ、ガスライン364の第2のアレイは、ガス注入グリッド340内に、上部チャンバ部分312を介して通過することができ、そして、それによって、ガスシーリングデバイス368の第2のアレイは、第2のガスのリークを防止するように利用される。たとえば、ガスシーリングデバイス368の第2のアレイは、1つ以上のエラストマOリングを含むことができる。
加えて、図12にて図示したように、熱伝達流体は、流体ライン374のアレイを介して、流体チャンネルアレイ376に結合される。熱伝達流体は、図1に記載された温度制御システム170のような温度制御システムを結合するためのポイントを可能にする流体フィッティング372のアレイを介して流体ライン374のアレイに結合されている。たとえば、流体フィッティング372のアレイは、上部チャンバ部分312に位置づけられることができ、流体ライン374のアレイは、ガス注入グリッド340内に、上部チャンバ部分312を介して通過することができ、それによって、流体シーリングデバイス378のアレイは、熱伝達流体のリークを防止するように利用される。たとえば、流体シーリングデバイス378のアレイは、1つ以上のエラストマOリングを含むことができる。
したがって、上記のように、ガス注入グリッドの1つの実施形態によって、第1のガスチャンネルアレイ、第2のガスチャンネルアレイ、および/または第3のガスチャンネルアレイが、処理チャンバの条件の空間制御を提供するために使用されることができる。この空間制御は、基板表面でのプロセスの空間制御を改良するために上で記載されている従来の処理チャンバの制御技術を置き換えるかまたは増大させるように使用されることができる。図13および図14は、本発明の2つの実施形態に係る改良された空間制御を提供するためのガス注入グリッドのトップダウンの図を示す。
図13において、ガス注入グリッド340Aは、記載され、そこにおいて、ガス注入グリッドは、通路342Aの矩形分布を含み、しかしながら、異なった通路が使用されることもできる。図13の実施例において、第1のガスは、3つの分離したガスライン354A、354B、および354Cを介して、3つのガスチャンネル356A、356B、および356Cに独立して接続される。同様に、図14で、ガス注入グリッド340Bは、記載され、そこにおいて、ガス注入グリッドは、通路342Bの円形分配を含む。たとえば、図14に示すように、第1のガスは、3つの分離したガスライン354A、354B、および354Cを介して、3つのガスチャンネル356A、356B、および356Cに独立して接続される。図示はしていないが、分離したマスフローコントローラ、または分離したマスフローコントローラのアレイは、ガス注入グリッド340Aにわたって異なったガス組成および/または流量の使用を許容するように、図13及び図14の各々のガスラインに接続されることができる。図13は、閉じられた矩形ループを示し、図14は、同心で間隔を置かれ、ガス供給によって各々提供される閉じられた円形ループを示す一方、本発明は、この構成に制限される。たとえば、図13の矩形のガスチャンネルの各辺は、空間制御のより高い度合いを提供するために、分離したガス供給で提供されることができる。さらに、図13および図14は、第1のガスのガスチャンネルおよびガスラインを示しているガス注入グリッド340の上部表示であり、図13および図14の空間構成は、第2のガスチャンネルアレイまたは流体チャンネルアレイのために使用されることができる。加えて、異なった空間制御構成は、必要に応じて、これらのチャネルアレイの各々のために使用されることができる。
図10〜図14に記載されたガス注入グリッド340(340A、340B)は、アルミニウムのような金属、またはアルミナのようなセラミックから作られることができる。別の形態として、ガス注入グリッド340は、石英、シリコン、炭化珪素、窒化シリコン、窒化アルミニウム、またはカーボンで製作されることができる。加えて、ガス注入グリッド340は、コーティングによって保護されていることができる。例えば、コーティングは、表面陽極酸化、プラズマ電解酸化を使用して形成されたコーティング、または熱溶射皮膜(thermal spray coating)のようなスプレーコーティングのうちの1つを含むことができる。実施例において、コーティングは、Al、およびYのうちの少なくとも1つを含むことができる。他の例では、コーティングは、第III列元素(周期表の列III)、および、ランタニド系元素のうちの少なくとも1つを含む。他の例では、III列元素は、イットリウム、スカンジウム、およびランタンのうちの少なくとも1つを含む。他の例では、ランタニド系元素は、セリウム、ジスプロシウム、およびユウロピウムのうちの少なくとも1つを含む。別の実施形態において、コーティングを形成する複合物は、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、およびDyOのうちの少なくとも1つを含む。他の例では、コーティングは、Keronite(Keronite株式会社(Advanced Surface Technology, PO Box 700, Granta Park, Great Abington, Cambridge CB1 6ZY, UK)から市販されている表面コーティング処理)を含むことができる。他の例では、コーティングは、シリコン、炭化珪素、アルミナ、テフロン(登録商標)、ベスペル、またはカプトンのうちの少なくとも1つを含むことができる。
再び図1を参照して、基板125は、機械的なクランピングシステムまたは電気的なクランピングシステム、例えば静電クランピングシステムを介して基板ホルダ120に固定されることができる。さらにまた、基板ホルダ120は、基板ホルダ120から熱を受け、そして、熱を熱交換器システム(図示せず)に移送し、または、加熱するときには、熱交換器システムから熱を移送する再循環クーラントフローを含む冷却システムを更に含むことができる。さらに、ガスは、基板125と、基板ホルダ120との間のガスギャップ熱伝導を改良するために、裏面ガスシステムを介して基板125の裏面に供給されることができる。基板の温度コントロールが上昇するか減少する温度で必要なときに、このようなシステムは利用されることができる。たとえば、裏面ガスシステムは、2ゾーンガス分配システムを含むことができ、そこにおいて、ヘリウム気体ギャップ圧力は、基板125の中心と、エッジとの間を独立して変化させることができる。他の実施の形態において、加熱/冷却素子、例えば抵抗加熱部材または熱電気ヒータ/冷却装置は、基板ホルダ120、同じく処理チャンバ110のチャンバ壁、および、プラズマ処理システム100内の他のいかなるコンポーネントにも含まれることができる。
さらにまた、基板ホルダ120は、ラジオ周波数(RF)パワーが処理空間118のプロセスプラズマに結合される電極を含むことができる。たとえば、基板ホルダ120は、RF発振器(図示せず)から、インピーダンスマッチングネットワーク(図示せず)を介して、基板ホルダ120にRF電力の伝達を介したRF電圧で電気的にバイアスをかけられることができる。RFバイアスのための典型的な周波数は、約0.1MHzから約100MHzまでの範囲である。プラズマ処理のためのRFバイアスシステムは、当業者にとって周知である。代わりとして、RF電力は、複数の周波数で基板ホルダ電極に印加される。さらにまた、インピーダンスマッチングネットワークは、反射パワーを減少することによってプラズマ処理チャンバ10のプラズマへのRF電力の移送を改良するために役に立つ。マッチングネットワークトポロジ(例えばL−タイプ、π−タイプ、T−タイプなど)、および自動制御法(automatic control methods)は、当業者にとって周知である。
典型的な図1の温度制御システム170は、ガス注入グリッド130の温度を制御するために必要なコンポーネントを含むことができる。たとえば、温度制御システム170は、熱伝達流体の温度を制御するための熱交換器と、ガス注入グリッド130の1つ以上のチャネルに熱伝達流体の流量を供給し制御するためのポンプおよびマスフローコントローラと、温度感知装置と、コントローラなどとを含むことができる。
電気バイアスコントロールシステム175は、ガス注入グリッド130に電気的にバイアスをかけるために必要なコンポーネントを含むことができる。電気バイアスは、直流(DC)電気バイアス、もしくは交流(AC)電気バイアス、またはそれらの組合せを含むことができる。たとえば、電気バイアスは、ラジオ周波数(RF)電気バイアスを含むことができる。電気バイアスコントロールシステム175は、電圧/電流源またはパワーソース、電圧または電流またはインピーダンスの測定デバイス、コントローラなどを含むことができる。
典型的な図1の排気システム180は、1秒あたり約5000リットル(および、より高い)までの排気速度が可能なターボ分子真空ポンプ(TMP)と、チャンバ圧力をスロット調整するためのゲートバルブとを含むことができる。ドライプラズマエッチングのために利用される従来のプラズマ処理装置において、1秒あたり1000〜3000リットルのTMPは、一般に使用される。さらに、チャンバ圧力をモニタリング(図示せず)するためのデバイスは、処理チャンバ110に結合されることができる。圧力測定デバイスは、たとえば、MKS Instruments社(アンドーバー、MA)から市販されているタイプ628B Baratron絶対キャパシタンスマノメータであることができる。
なお図1を参照し、コントロールシステム190は、マイクロプロセッサ、メモリ、および、プラズマ処理システム100と通信し、プラズマ処理システム100からの出力をモニターするのと同様に、プラズマ処理システム100への入力をアクティブにするために十分な制御電圧を生成することが可能なデジタル入出力ポートを含むことができる。さらに、コントローラ190は、処理チャンバ110、基板ホルダ120、プラズマソース130、ガス注入グリッド140、第1のガス供給150、第2のガス供給160、温度制御システム170、電気バイアスコントロールシステム175、および、排気システム180に結合されることができ、それらと情報を交換することができる。たとえば、メモリに格納されたプログラムは、エッチングプロセスまたは堆積プロセスを実行するために、プロセスレシピによってプラズマ処理システム100の上記コンポーネントへの入力をアクティブにするために利用されることができる。コントローラ190の1つの実施例は、テキサス州、オースティンのデル社から入手可能な、デルプレシジョンワークステーション610(登録商標)である。
コントローラ190は、プラズマ処理システム100に対して近くに位置づけられることができ、または、それは、プラズマ処理システム100に対して離れて位置づけられることができる。たとえば、コントローラ190は、直接接続、イントラネット、インターネット、および、ワイヤレス接続のうちの少なくとも1つを使用してプラズマ処理システム100とデータを交換することができる。コントローラ190は、たとえば、顧客サイト(すなわちデバイスメーカーなど)でイントラネットに、接続されることができ、または、それは、たとえば、ベンダーサイト(すなわち装置製造業者)でイントラネットに、接続されることができる。加えて、たとえば、コントローラ190は、インターネットに接続されることができる。さらにまた、別のコンピュータ(すなわちコントローラ、サーバなど)は、直接接続、イントラネット、およびインターネットのうちの少なくとも1つを介して、データを交換するために、コントローラ190にアクセスすることができる。また、当業者によって理解されるように、コントローラ190は、ワイヤレス接続を介してプラズマ処理システム100とデータを交換することができる。
ここで図15を参照して、プラズマ処理システムを操作する方法は、記載されている。方法は、プラズマ処理システム、例えば、図1〜図14に記載されたプラズマ処理システムに、基板を配置することに関する510で開始するフローチャート500を含む。たとえば、基板は、プラズマ空間を規定するように構成された上部チャンバ部分と、処理空間を規定するように構成された下部チャンバ部分とを有する処理チャンバ内に配置されることができる。
520において、第1のガスは、上記の通りの、上部チャンバ部分と、下部チャンバ部分との間に配置されたガス注入グリッドから、または代わりのガス注入方式によって、プラズマ空間へ導入される。第1のガスは、プラズマ形成ガスまたはイオン化可能ガスを含んでいる。たとえば、第1のガスは、不活性ガス、例えば希ガス(すなわちヘリウム、アルゴン、キセノン、クリプトン、ネオン)を含むことができる。530において、第2のガスは、ガス注入グリッドまたは他のいかなるガス注入方式からも処理空間へ導入される。第2のガスは、プロセスガスを含んでいる。たとえば、第2のガスは、ハロゲン含有ガス、例えばCl、HBr、SF、NFなどを含むことができる。加えて、たとえば、第2のガスは、C含有ガス、例えばCF、C、C、Cを含むことができ、ここで、xおよびyは、1以上の整数である。第1または第2のガスは、上記したような空間コントロール技術を使用して注入されることができる。
540において、プラズマは、上部チャンバ部分に結合されたプラズマソースを使用して第1のガスから、プラズマ空間内に形成される。プラズマソースは、上記のいずれかのソースである。550において、ガス注入グリッドのようなグリッドを介して処理空間をプラズマ空間に結合させることによって、プロセス化学は、基板を処理するための処理空間内に形成される。それは、上記の通り、処理空間へのプラズマの拡散を許容する。
ここで図16を参照して、プラズマ処理システムの均一性を制御する方法は、実施形態によって提供される。方法は、基板をプラズマ処理システム、例えば図1〜図14のいずれかに記載されたプラズマ処理システムに配置することに関する610で開始するフローチャート600を含む。たとえば、基板は、プラズマ空間を規定するように構成された上部チャンバ部分と、処理空間を規定するように構成された下部チャンバ部分とを有する処理チャンバに配置されることができる。
620において、第1のガスは、上部チャンバ部分と、下部チャンバ部分との間に配置されたガス注入グリッドから、プラズマ空間へ導入される。任意に、プラズマ空間への第1のガスの導入は、上記の通りに、ガス注入グリッドに形成された複数のゾーンから起こる。第1のガスを導入するための各々のゾーンは、1つ以上の注入オリフィスを有するガス注入グリッドに形成されたガスチャンネルを含み、ガスチャンネルは、第1のガス供給システムに独立して接続される。図にて図13および図14に示したように、各々のゾーンは、たとえば、径方向に形成されることができる。しかしながら、他の空間的分布も、上記の通りに使用されることができる。このような構成は、グリッドにわたって、異なった流量および/またはガス組成を許容する。
630において、第2のガスは、ガス注入グリッドから処理空間へ導入される。任意に、プラズマ空間への第2のガスの導入は、上記の通りに、ガス注入グリッドに形成された複数のゾーンから起こる。第1のガスが、空間制御なしで導入される所で、第2のガスは、空間制御されて導入される。第1および第2のガスの1つは、空間制御を提供する方法によって注入されることが、単に必要なだけであって、しかしながら、このような方法で両方のガスが、注入されることもできる。さらに、空間温度コントロールは、上記のように提供されることができる。第2のガスを導入するための各々のゾーンは、1つ以上の注入オリフィスを有するガス注入グリッドに形成されたガスチャンネルを含み、ガスチャンネルは、第2のガス供給システムに独立して接続される。各々のゾーンは、たとえば、径方向に形成されることができ、図13および図14の第1のガスのために示される方式と同様である。
640において、プラズマ空間への第1のガスのフローは、基板のプロセスの空間制御を提供するために調整される。好ましい実施態様において、空間的に均一なプロセスは、基板で達成される。プラズマ空間への第1のガスの局所的な流量は、局所的なプラズマの密度を上げるか、または下げるかする。たとえば、プラズマソースの高出力動作の間、ホットプラズマは、非常に加熱され、したがって、流量の増加は、第1のガスのイオン化の過剰に至ることができる(すなわちプラズマ密度の増加)。別の形態として、たとえば、プラズマソースの低出力動作の間、ホットプラズマは、それ自体を維持し、流量の増加は、電子温度の消失(quenching)に導くことができる(すなわち中性と電子との間の衝突の消失)。したがって、プラズマ密度の減少に至る。一つの実施例において、ガス注入グリッドは、上記の通り、プラズマ空間に第1のガスを導入するための複数のゾーンを含むことができる。各々のゾーンに対する流量は、プロセスレシピの範囲内で、エッチングまたは堆積プロセスの対して、特定のプロセスのためのプラズマ空間のプラズマ密度の最適の空間的分布を達成するために、利用されることができる。例えば、実験計画法(DOE)は、最適の結果を生ずるように各々のゾーンに対する流量の最適のセットを決定するために実行されることができる。
任意に、処理空間への第2のガスのフローは、基板の空間的に均一なプロセスを提供するために調整される。
650において、上部チャンバ部分に結合されたプラズマソースを使用して第1のガスから、プラズマは、プラズマ空間に形成される。650において、プロセス化学は、ガス注入グリッドを介して処理空間をプラズマ空間に結合させることによって基板を処理するための処理空間に形成される。
本発明の特定の典型的な実施形態だけが上で詳述されたが、当業者は、本発明の新規進歩の事項から逸脱することなく多数の変更態様が可能であることを容易に理解する。したがって、全てのこのような変更態様は、本発明の範囲内に含まれることを目的とする。
本発明の実施形態に係るプラズマ処理システムの簡略化された概略表現を示す図である。 1つの実施形態に係る図1に記載されたプラズマ処理システムのために使用されることができるプラズマソースの簡略化された概略表現を示す図である。 別の実施形態に係る図1に記載されたプラズマ処理システムのために使用されることができるプラズマソースの別の簡略化された概略表現を示す図である。 別の実施形態に係る図1に記載されたプラズマ処理システムのために使用されることができるプラズマソースの別の簡略化された概略表現を示す図である。 さらにもう1つの実施形態に係る図1に記載されたプラズマ処理システムのために使用されることができるプラズマソースの別の簡略化された概略表現を示す図である。 別の実施形態に係る図1に記載されたプラズマ処理システムのために使用されることができるプラズマソースの別の簡略化された概略表現を示す図である。 更なる実施形態に係る図1に記載されたプラズマ処理システムのために使用されることができるプラズマソースの別の簡略化された概略表現を示す図である。 さらに別の実施形態に係る図1に記載されたプラズマ処理システムのために使用されることができるプラズマソースの別の簡略化された概略表現を示す図である。 媒体の電磁波伝搬の概略表現を提供する図である。 媒体の電磁波伝搬の概略表現を提供する図である。 実施形態に係る処理チャンバの一部の分解図を提供する図である。 実施形態に係る処理チャンバの一部の分解図を提供する図である。 別の実施形態に係る処理チャンバの一部の分解図を提供する図である。 実施形態に係るガス注入グリッドの上面図を示す図である。 別の実施形態に係るガス注入グリッドの上面図を示す図である。 実施形態に係るプラズマ処理システムを操作する方法を提供する図である。 実施形態に係るプラズマ処理システムの均一性を制御する方法を提供する図である。

Claims (36)

  1. プラズマ処理システムの基板を処理する方法であって、
    プラズマ空間を規定するように構成された第1のチャンバ部分を、処理空間を規定するように構成された第2のチャンバ部分から分離するガス注入グリッドを有する処理チャンバに前記基板を配置することと;
    前記プラズマ空間に1つ以上のガス注入オリフィスを介して第1のガスを導入することと;
    前記処理空間に1つ以上の第2のガス注入オリフィスを介して第2のガスを導入することと;
    前記第1のチャンバ部分に結合されたプラズマソースを使用して前記第1のガスから前記プラズマ空間にプラズマを形成することと;
    前記プラズマが前記プラズマ空間から前記処理空間へと拡散することができるように、前記第1のチャンバ部分と、前記第2のチャンバ部分との間に配置された前記ガス注入グリッドを提供することによって、前記処理空間の前記基板を処理するためのプロセス化学を形成することとを具備し、
    前記ガス注入グリッドは、前記第1のガスおよび前記第2のガスを供給するためのガス注入オリフィスを備えたガス注入グリッドである、方法。
  2. 前記プロセス化学を前記形成することは、エッチングプロセスを実行するためのプロセス化学を形成することを含んでいる請求項1の方法。
  3. 前記プロセス化学を前記形成することは、堆積プロセスを実行するためのプロセス化学を形成することを含んでいる請求項1の方法。
  4. 前記プラズマソースを使用して前記プラズマを前記形成することは、表面波プラズマ(SWP)ソースを使用してプラズマを形成することを含んでいる請求項1の方法。
  5. 前記第1のガスを前記導入することは、プラズマ形成ガスを導入することを含んでいる請求項1の方法。
  6. 前記第1のガスを前記導入することは、不活性ガスを導入することを含んでいる請求項1の方法。
  7. 前記第1のガスを前記導入することは、希ガスを導入することを含んでいる請求項1の方法。
  8. 前記第2のガスを前記導入することは、前記プロセス化学を形成するためのプロセスガスを導入することを含んでいる請求項1の方法。
  9. 前記第2のガスを前記導入することは、ハロゲン含有ガスを導入することを含んでいる請求項1の方法。
  10. 前記第2のガスを前記導入することは、xおよびyが1以上の整数であるC含有ガスを導入することを含んでいる請求項1の方法。
  11. 前記第2のガスを前記導入することは、不活性ガスを導入することを更に含んでいる請求項10の方法。
  12. 前記グリッドを提供することは、前記ガス注入グリッド内に1つ以上の通路を提供することによって前記プラズマ空間を前記処理空間に結合させることを含んでいる請求項1の方法。
  13. 前記第1のガスを前記注入することは、前記プラズマを空間的に制御するために、前記ガス注入グリッドの複数のゾーンを介して前記第1のガスを注入することを含んでいる請求項の方法。
  14. 前記プラズマを前記空間的に制御することは、前記プラズマを空間的に制御するために、前記ガス注入グリッドの前記複数のゾーンを介して異なった前記第1のガスの組成を注入することを含んでいる請求項13の方法。
  15. 前記プラズマを前記空間的に制御することは、前記プラズマを空間的に制御するために、前記ガス注入グリッドの前記複数のゾーンを介して異なったガス流量で前記第1のガスを注入することを含んでいる請求項13の方法。
  16. 前記プラズマを前記空間的に制御することは、前記ガス注入グリッドの複数のプラズマゾーンの同一中心のパターンから、前記第1のガスを導入することを含んでいる請求項13の方法。
  17. 前記第1のガスを前記同一中心のゾーンから前記導入することは、前記第1のガスを同一中心の矩形のゾーンから導入することを含んでいる請求項16の方法。
  18. 前記第1のガスを前記同一中心のゾーンから前記導入することは、前記第1のガスを同心円状ゾーンから導入することを含んでいる請求項16の方法。
  19. 異なったガス流量で前記第1のガスを前記注入することは、各々のプラズマゾーンに対する流量を、前記基板上に実質的に均一なプロセスを発生するように構成されたプロセスレシピでセットすることを含んでいる請求項1の方法。
  20. 各々のプラズマゾーンに対する前記流量の前記セットは、前記基板上に前記実質的に均一なプロセスを達成するための流量の最適のセットを決定するために実験計画法(DOE)を実行することを含んでいる請求項19の方法。
  21. 前記第2のガスを前記注入することは、前記処理空間を空間的に制御するために、前記ガス注入グリッドの複数のゾーンを介して前記第2のガスを注入することを含んでいる請求項の方法。
  22. 前記処理空間を前記空間的に制御することは、前記処理空間を空間的に制御するために、前記ガス注入グリッドの前記複数のゾーンを介して異なった前記第2のガスの組成を注入することを含んでいる請求項21の方法。
  23. 前記処理空間を前記空間的に制御することは、前記処理空間を空間的に制御するために、前記ガス注入グリッドの前記複数のゾーンを介して異なったガス流量で前記第2のガスを注入することを含んでいる請求項21の方法。
  24. 前記処理空間を前記空間的に制御することは、前記ガス注入グリッドの複数のプラズマゾーンの同一中心のパターンから、前記第2のガスを導入することを含んでいる請求項21の方法。
  25. 前記第2のガスを前記同一中心のゾーンから前記導入することは、前記第2のガスを同一中心の矩形のゾーンから導入することを含んでいる請求項24の方法。
  26. 前記第2のガスを前記同一中心のゾーンから前記導入することは、前記第2のガスを同心円状ゾーンから導入することを含んでいる請求項24の方法。
  27. 異なったガス流量で前記第1のガスを前記注入することは、各々のプラズマゾーンに対する流量を、前記基板上の実質的に均一なプロセスを発生するように構成されたプロセスレシピでセットすることを含んでいる請求項23の方法。
  28. 各々のプラズマゾーンに対する前記流量の前記セットは、前記基板上の前記実質的に均一なプロセスを達成するための流量の最適のセットを決定するために実験計画法(DOE)を実行することを含んでいる請求項27の方法。
  29. 前記グリッドの温度を制御するために、前記グリッドを通って温度コントロール流体を流すことを更に具備する請求項28の方法。
  30. 前記温度コントロール流体を流すことは、前記プラズマ空間または前記処理空間のうちの少なくとも1つを空間的に制御するために、前記グリッドの複数のゾーンを介して前記流体を流すことを含んでいる請求項29の方法。
  31. 前記プラズマ空間にプラズマを前記形成することは、前記第1のガスから前記プラズマを形成するように表面波プラズマSWPソースを使用することを含んでいる請求項1の方法。
  32. 前記SWPソースを使用することは、前記プラズマ空間の前記プラズマの空間均一性を制御するために、前記SWPソースのスロットアンテナのスロットの数、分配、またはサイズのうちの少なくとも1つを調整することを含んでいる請求項31の方法。
  33. 前記SWPソースを前記プラズマから保護するために、カバープレートを提供することを更に具備する請求項31の方法。
  34. 前記プラズマのモードホップを抑制するために、前記SWPソースに結合されたモードスクランブラを提供することを更に具備する請求項31の方法。
  35. 前記プラズマ空間の前記プラズマの空間均一性を制御するために、前記モードスクランブラの止まり穴のサイズ、ジオメトリ、数、または分配のうちの少なくとも1つを調整することを更に具備する請求項34の方法。
  36. プラズマ処理システムの基板を処理する方法であって、
    プラズマ空間を規定するように構成された第1のチャンバ部分と、処理空間を規定するように構成された第2のチャンバ部分とを有する処理チャンバに前記基板を配置することと;
    前記第1のチャンバ部分と、前記第2のチャンバ部分との間に配置されたガス注入グリッドを提供することと;
    前記ガス注入グリッドから前記プラズマ空間へと前記第1のガスを導入することと;
    前記ガス注入グリッドから前記処理空間へと前記第2のガスを導入すること;
    前記第1のチャンバ部分に結合されたプラズマソースを使用して、前記第1のガスから前記プラズマ空間にプラズマを形成することと;
    前記プラズマが前記プラズマ空間から前記処理空間まで拡散することができるように、前記第1のチャンバ部分と、前記第2のチャンバ部分との間に配置された前記ガス注入グリッドを提供することによって、前記処理空間の前記基板を処理するためのプロセス化学を形成することとを具備する方法。
JP2007534588A 2004-09-30 2005-08-15 基板を処理するための方法 Expired - Fee Related JP5086083B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/954,086 US7268084B2 (en) 2004-09-30 2004-09-30 Method for treating a substrate
US10/954,086 2004-09-30
PCT/US2005/029093 WO2006038990A2 (en) 2004-09-30 2005-08-15 Method for treating a substrate

Publications (2)

Publication Number Publication Date
JP2008515221A JP2008515221A (ja) 2008-05-08
JP5086083B2 true JP5086083B2 (ja) 2012-11-28

Family

ID=36097835

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007534588A Expired - Fee Related JP5086083B2 (ja) 2004-09-30 2005-08-15 基板を処理するための方法

Country Status (4)

Country Link
US (1) US7268084B2 (ja)
JP (1) JP5086083B2 (ja)
TW (1) TWI283019B (ja)
WO (1) WO2006038990A2 (ja)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
TWI349310B (en) * 2007-07-09 2011-09-21 Nanya Technology Corp Method of fabricating a semiconductor device
JP2010118549A (ja) * 2008-11-13 2010-05-27 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8968588B2 (en) 2012-03-30 2015-03-03 Tokyo Electron Limited Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) * 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
KR102074856B1 (ko) * 2013-08-21 2020-02-07 엘지이노텍 주식회사 유리기판 및 이의 제조방법
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7301075B2 (ja) 2018-06-14 2023-06-30 エムケーエス インストゥルメンツ,インコーポレイテッド リモートプラズマ源用のラジカル出力モニタ及びその使用方法
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109935519B (zh) * 2019-03-26 2020-11-03 深圳市华星光电技术有限公司 提高栅极绝缘层成膜均匀性的方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1229855C (zh) * 2001-03-28 2005-11-30 大见忠弘 等离子体处理装置
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
TW551782U (en) * 2002-10-09 2003-09-01 Ind Tech Res Inst Microwave plasma processing device
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
JP2004175927A (ja) * 2002-11-27 2004-06-24 Canon Inc 表面改質方法
JP3865692B2 (ja) * 2002-12-16 2007-01-10 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法

Also Published As

Publication number Publication date
WO2006038990A3 (en) 2006-08-17
WO2006038990A2 (en) 2006-04-13
US20060065629A1 (en) 2006-03-30
US7268084B2 (en) 2007-09-11
JP2008515221A (ja) 2008-05-08
TWI283019B (en) 2007-06-21
TW200629389A (en) 2006-08-16

Similar Documents

Publication Publication Date Title
JP5086083B2 (ja) 基板を処理するための方法
JP4861329B2 (ja) 基板を処理するためのプラズマ処理システム
JP5122966B2 (ja) 表面波プラズマソース
JP5242162B2 (ja) 表面波プラズマソース
US7998307B2 (en) Electron beam enhanced surface wave plasma source
US7416677B2 (en) Exhaust assembly for plasma processing system and method
US20110174778A1 (en) Plasma processing apparatus and method
TW200408316A (en) Method and device for plasma treatment
US9263283B2 (en) Etching method and apparatus
US7938081B2 (en) Radial line slot antenna having a conductive layer
WO2008033928A2 (en) Electron beam enhanced surface wave plasma source
US20050150863A1 (en) Etching method and etching apparatus
JP5442871B2 (ja) 半導体デバイスの製造方法および半導体デバイスの製造装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080219

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110315

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110516

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120321

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120521

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120807

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120906

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150914

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees