KR20070060104A - 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치 - Google Patents

포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치 Download PDF

Info

Publication number
KR20070060104A
KR20070060104A KR1020077007512A KR20077007512A KR20070060104A KR 20070060104 A KR20070060104 A KR 20070060104A KR 1020077007512 A KR1020077007512 A KR 1020077007512A KR 20077007512 A KR20077007512 A KR 20077007512A KR 20070060104 A KR20070060104 A KR 20070060104A
Authority
KR
South Korea
Prior art keywords
baffle plate
plasma
process chamber
hydrogen
gas
Prior art date
Application number
KR1020077007512A
Other languages
English (en)
Other versions
KR101170861B1 (ko
Inventor
데이비드 페리스
필립 해머
알랜 벡넬
Original Assignee
액셀리스 테크놀로지스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 액셀리스 테크놀로지스, 인크. filed Critical 액셀리스 테크놀로지스, 인크.
Publication of KR20070060104A publication Critical patent/KR20070060104A/ko
Application granted granted Critical
Publication of KR101170861B1 publication Critical patent/KR101170861B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판으로부터 포토레지스트 재료 및 에칭 후 잔여물을 제거하는 플라즈마 애싱 프로세스는 본질적으로 산소가 없고 질소가 없는 가스 혼합물로부터 플라즈마를 형성하는 단계; 프로세스 챔버 내로 상기 플라즈마를 도입하는 단계로서, 상기 프로세스 챔버는 상기 플라즈마와 유체 연통되어 있는 배플 판 어셈블리를 포함하는 도입 단계; 상기 배플 판 어셈블리를 통하여 상기 플라즈마를 흐르게 하고 상기 기판으로부터 포토레지스트 재료, 에칭 후 잔여물 및 휘발성 부산물을 제거하는 단계; 산소 플라즈마를 상기 프로세스 챔버 내로 도입함으로써 상기 프로세스 챔버를 주기적으로 클리닝하는 단계; 및 상기 배플 판 어셈블리를 통해 냉각 가스를 흐르게 함으로써 상기 배플 판 어셈블리를 냉각시키는 단계를 포함한다. 다운스트림 플라즈마를 수용하도록 적응되는 프로세스 챔버는 상기 프로세스 챔버의 벽과 열적으로 연통되어 있는 적어도 하나의 열 전도성 스탠드오프를 포함하는 상부 배플 판; 및 상기 상부 배플 판으로부터 이격되어 떨어진 하부 배플 판을 포함한다.
플라즈마 애싱 프로세스, 프로세스 챔버, 배플 판 어셈블리, 열 전도성 스탠드오프, 기판.

Description

포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱 프로세스 및 냉각 수단을 갖는 장치{PLASMA ASHING PROCESS FOR INCREASING PHOTORESIST REMOVAL RATE AND PLASMA APPARATUS WITH COOLING MEANS}
관련 출원과의 교차 참조
본 출원은 그 전체가 본원에 통합되어 있는 2004년 9월 1일자로 출원된 미국 가출원 번호 제60/603,360호에 관한 것이며, 상기 제60/603,360호에 대한 우선권을 청구한다.
본 명세서는 반도체 제조에 관한 것이며, 특히, 기판으로부터 포토레지스터 및 에칭 후 잔여물을 제거하는 플라즈마 애싱 프로세스에 관한 것이다.
최근에, 다음 세대의 마이크로전자장치에서 사용하기 위한 저 k 유전체 박막을 개발하는데 많은 관심이 집중되고 있다. 집적된 장치가 더 작아짐에 따라, 상호접속부에 따른 신호 전파의 RC-지연 시간은 전체의 칩 속도를 제한하는 지배적인 팩터 중 하나가 된다. 구리 기술의 출현에 의해, R(저항)은 자신의 실제적인 최소 한도로 억제되었다. 이와 같이, 전체 칩 속도를 증가시키기 위하여 C(커패시턴스)를 감소시키는데 관심이 집중된다. 이 태스크를 성취하는 하나의 방법은 상호접속부를 둘러싸는 얇은 절연막의 유전 상수(종종 "k"라 칭해짐)를 감소시킴으로써, C 를 감소시키고 전체 칩 속도를 개선시키는 것이다.
통상적으로, 이산화 실리콘(SiO2)이 절연막 재료로서 사용되었다. 본원에서 사용되는 바와 같은 용어 저 k 및 고 k는 이산화 실리콘(SiO2)의 유전 상수(k)에 관련되는데, 즉, 저 k 재료는 일반적으로 이산화 실리콘보다 적은(예를 들어, 약 3.9보다 적은) 유전 상수를 갖는 재료를 칭하고, 고 k 재료는 일반적으로 이산화 실리콘보다 큰(예를 들어, 약 3.9보다 큰) 유전 상수를 갖는 재료를 칭한다. 저 k 재료는 일반적으로 유기 폴리머, 비정질 플루오르화 탄소, 나노폼, 유기 폴리머를 포함하는 실리콘계 인슐레이터, 실리콘의 탄소 도핑된 산화물, 실리콘의 플루오르 도핑된 산화물, 등을 포함하지만, 이에 국한되지 않는다.
기판(예를 들어, 웨이퍼) 상에 집적 회로를 제조 시에, 기판은 일반적으로 완성된 집적 회로가 제조되기 전, 많은 프로세스 단계를 겪게 된다. 저 k 유전체 재료, 특히, 탄소 함유 저 k 유전체 재료는 이러한 프로세스 단계 중 일부에 민감할 수 있다. 예를 들어, "애싱" 단계 동안 사용되는 플라즈마는 포토레지스트 재료를 스트립하고 탄소 함유 저 k 유전체 막의 일부를 제거할 수 있다. 애싱은 일반적으로 잔여 포토레지스트 및 에칭 후 잔여물이 플라즈마에 노출될 시에 기판으로부터 스트립되거나 제거되는 플라즈마에 의해 조정되는 스트립핑 프로세스를 칭한다. 애싱 프로세스는 일반적으로 포토레지스터 재료가 언더라잉 기판 내로 패턴을 에칭하고 기판의 노출된 에어리어 내로 이온을 선택적으로 주입하기 위한 마스크로서 사용되는 에칭 또는 주입 프로세스가 수행된 이후에 발생된다. 애싱 단계는 전형적 으로 에칭 잔여물의 흔적을 제거하기 위한 화학적인 습식 처리보다 선행된다. 그러나, 화학적인 습식 처리는 저 k 유전체의 부가적인 저하, 재료의 손실을 초래할 수 있고, 유전 상수의 증가를 또한 초래할 수 있다.
애싱 프로세스가 에칭 프로세스와 상당히 상이하다는 점에 유의하는 것이 중요하다. 양 프로세스가 플라즈마에 의해 조정될 수 있을지라도, 에칭 프로세스는 플라즈마 화학제가 포토레지스트 마스크 내의 오프닝을 통하여 기판 표면 부분을 제거함으로써 기판 내로 이미지를 영구적으로 전달하도록 선택된다는 점에서 상당히 상이하다. 플라즈마는 일반적으로 기판의 부분을 제거하기 위하여 저온(예를 들어, 약 실온(약 21℃) 내지 약 140℃) 및 (밀리토르 정도의) 저압에서 고-에너지 이온 충격을 포함한다. 더구나, 이온에 노출된 기판의 부분은 일반적으로 포토레지스트 마스크의 제거 레이트와 동일하거나 이보다 큰 레이트로 제거된다.
에칭 프로세스와 대조적으로, 애싱 프로세스는 일반적으로 포토레지스트 마스크 및 에칭 동안 형성되는 임의의 폴리머 또는 잔여물을 선택적으로 제거하는 것을 칭한다. 애싱 플라즈마 화학제는 에칭 화학제보다 훨씬 더 활동적이며, 일반적으로 언더라잉 기판의 제거 레이트보다 휠신 더 큰 레이트로 포토레지스트 마스크 층을 제거하도록 선택된다. 더구나, 대부분의 애싱 프로세스는 플라즈마 반응성을 증가시키기 위하여 기판을 200℃보다 높은 온도로 가열하고, (토르 정도의) 비교적 높은 압력에서 수행된다. 그러므로, 에칭 및 애싱 프로세스는 상당히 상이한 재료의 제거에 관한 것이며, 이와 같이, 완전히 상이한 플라즈마 화학제 및 프로세스를 사용한다. 이미지를 기판 내로 영구적으로 전달하는데 연속적인 애싱 프로세스는 사용되지 않는다. 오히려, 연속적인 애싱 프로세스는 언더라잉 층, 예를 들어, 저 k 유전체 층에 영향을 주거나 이 층을 제거함이 없이 포토레지스터, 폴리머, 및 잔여물 제거 레이트에 의해 규정된다.
애싱 플라즈마를 생성하기 위해 산소, 질소, 및/또는 플루오르 함유 가스 소스를 사용하는 것이 포토레지스트 제거 프로세스 동안 저 k 유전체의 저하에 상당히 기여한다는 것이 연구를 통해 제안되었다. 이들 소스를 함유하는 가스 혼합물이 기판으로부터 포토레지스트를 효율적으로 애싱할지라도, 이들 가스 소스를 사용하는 것이 저 k 유전체를 포함하는 기판에 해롭다고 판명되었다. 예를 들어, 산소-함유 플라즈마는 플라즈마 프로세싱 동안 저 k 유전체 하층의 유전 상수를 높일 수 있다. 유전 상수의 증가는 특히, 장치 성능에 직접적으로 영향을 주는 상호접속 커패시턴스에 영향을 준다. 더구나, 산소-함유 플라즈마를 사용하는 것은 구리 금속이 산화되기 쉽기 때문에, 구리 금속 층을 사용하는 발전된 장치 제조에 일반적으로 덜 바람직하다.
이상적으로, 애싱 플라즈마는 언더라잉 저 k 유전체 층에 영향을 주지 않아야 하고 우선적으로 포토레지스트 재료만을 제거해야 한다. Si2와 같은 종래의 유전체를 사용하는 것은 이들 가스 소스(예를 들어, 산소, 질소, 및/또는 플루오르 함유 가스 소스)에 높은 선택도를 제공하며, 이전의 장치 세대에 적합하다. 저 k 유전체에 의한 문제점은 산화 플라즈마 종에 의한 공격에 대한 이 유전체들의 감도이다. 저 k 유전체 재료에 대한 손상을 최소화하기 위하여, 본질적으로 산소가 없고 (예를 들어, 약 20보다 적은 ppm(parts per millon)의 산소(O2)를 포함함) 본질적으로 질소가 없는(예를 들어, 약 20보다 적은 ppm의 질소(N2)를 포함함) 플라즈마 프로세스가 개발되었다. 하나의 이와 같은 프로세스는 Waldfried 등에 의한 미국 특허 제6,630,406에 게시되어 있고, 여기서 상기 프로세스는 희 가스(예를 들어, 헬륨) 및 수소를 함유하는 가스 혼합물로부터 플라즈마를 생성하는 것을 포함한다. 헬륨 및 수소로부터 형성되는 상술된 플라즈마와 같은 산소가 없고 질소가 없는 플라즈마는 덜 활동적이며, 통상적인 의미로 포토레지스트와 완전히 반응하는 것은 아니다. 오히려, 플라즈마가 포토레지스트의 부분이 승화 및 휘발에 의하여 제거될 수 있도록 한다라고 여겨진다. 결과적으로, 본질적으로 산소 및 질소가 없는 플라즈마가 기판으로부터 포토레지스트 재료를 제거하는데 효율적이지만, 플라즈마 노출은 프로세싱 챔버 내에서, 그리고 배기 라인 및 임의의 내부 컴포넌트에서와 같이 플라즈마 프로세스 챔버로부터 다운스트림 에어리어에서의 부산물 및 승화되거나(증발되거나) 제거된 포토레지스트의 더 큰 본체를 증착시키는 경향이 있다. 결과적으로, 프로세스 챔버의 주기적인 클리닝이 필요로 되는데, 이는 일반적으로 산화 플라즈마를 사용하는 것을 필요로 한다. 산화 플라즈마는 챔버의 인 시추 클리닝(in situ cleaning)을 위한 수단을 제공할 수 있다. 그러나, 산화 플라즈마는 (애싱 플라즈마를 기판에 균일하게 분배하기 위하여 프로세스 챔버에서 통상적으로 사용되는) 배플 판 어셈플리가 특히, 플라즈마가 처음으로 배플 판 어셈블리에 충돌하는 충돌 중심에서 온도가 증가하도록 한다는 것이 발견되었다. 그 다음의 웨이 퍼 처리 동안의 온도 증가는 애싱 레이트를 감소시키고 웨이퍼 표면에 걸친 에칭 프로세스의 균일성, 특히 웨이퍼의 중심 및 에지 사이의 제거 레이트 차에 악영향을 준다는 것이 발견되었다.
산소가 없고 질소가 없는 플라즈마에 의한 부가적인 문제점은 플라즈마 노출의 불균일성이다. 이들 플라즈마가 덜 활동적이기 때문에, 불균일성은 상당한 문제점이다. 일부 다운스트림 플라즈마 애셔는 플라즈마가 생성되는 좁은 직경 구멍 플라즈마 튜브를 갖는다. 기판의 직경은 일반적으로 플라즈마 튜브 구멍의 직경보다 훨신 크다. 이와 같이, 배플 판(들)은 전형적으로 플라즈마 튜브 출구 부근에 위치되어 플라즈마가 프로세스 챔버에 들어가서 플라즈마 내의 종이 기판에 걸쳐 불균일하게 분산될 때 플라즈마를 편향시킨다. 배플 판 어셈블리의 온도는 웨이퍼 당 플라즈마 노출의 지속기간, 1군으로 프로세싱되는 웨이퍼의 수 및 1군들 사이의 시간 길이에 따라서 정상적인 기계 동작 동안 바람직하기 않게 가변될 수 있다. 결과적으로, 애싱 레이트 및 불균일성은 산화 플라즈마 클리닝 프로세스에 대해 상술된 것과 (비록 정도가 줄어들었을지라도) 실질적으로 동일한 방식으로 영향을 받을 수 있다.
따라서, 포토레지스터 제거 레이트를 유지하거나 강화시키면서, 배플 판의 충돌 부분, 즉, 중심 부분에서 온도를 감소시키는 프로세스가 필요하다.
기판으로부터 포토레지스트, 에칭 후 잔여물 및 휘발성 부산물을 제거하는 플라즈마 애싱 프로세스가 본원에 게시되어 있다. 하나의 실시예에서, 탄소, 수소 또는 탄소 및 수소의 화합물을 함유하고 저 k 유전체 재료를 포함하는 기판으로부터 포토레지스트 재료 및 에칭 후 잔여물을 제거하는 플라즈마 애싱 프로세스는 본질적으로 산소가 없고 질소가 없는 가스 혼합물로부터 플라즈마를 형성하는 단계; 상기 플라즈마를 배플 판 어셈블리를 통하여, 그리고 기판 상으로 흐르게 해서 상기 기판으로부터 포토레지스트 재료, 에칭 후 잔여물, 및 휘발성 부산물을 제거하는 단계; 플라즈마 흐름에 역 방향으로, 그리고 상부 배플 판의 온도를 감소시키는데 효율적인 양으로 배플 판 어셈블리를 통해 냉각 가스를 흐르게 하는 단계를 포함한다.
또 다른 실시예에서, 탄소, 수소, 또는 탄소 및 수소의 화합물을 함유하고 저 k 유전체 층을 포함하는 기판으로부터 포토레지스트 재료 및 에칭 후 잔여물을 제거하는 플라즈마 애싱 프로세스는 본질적으로 산소가 없고 질소가 없는 가스 혼합물로부터 수소 및 헬륨을 포함하는 플라즈마를 형성하는 단계; 상기 플라즈마와 유체 연통되어 있는 배플 판 어셈블리를 포함하는 프로세스 챔버 내로 상기 플라즈마를 흐르게 하는 단계로서, 상기 배플 판 어셈블리는 일반적으로 평면의 하부 배플 판 위에 고정적으로 위치되는 일반적으로 평면의 상부 배플 판을 포함하고, 상기 하부 배플 판은 중심 축을 중심으로 배치되는 다수의 개구를 포함하며, 상기 다수의 개구는 상기 중심 축으로부터 상기 하부 배플 판의 외부 에지로 밀도가 증가하는, 상기 플라즈마를 흐르게 하는 단계; 상기 프로세스 챔버 내로 산소 플라즈마를 도입함으로써 상기 프로세스 챔버를 주기적으로 클리닝하는 단계; 및 상기 상부 배플 판의 중심 충돌 영역에서, 그리고 상기 영역을 중심으로 냉각 가스를 흐르게 함으로써 상기 배플 판 어셈블리를 냉각하는 단계를 포함한다.
또 다른 실시예에서, 플라즈마를 수용하도록 적응되는 프로세스 챔버는 일반적으로 평면의 하부 배플 판 위에 위치되는 일반적으로 평면의 상부 배플 판, 하부 배플 판을 포함하는 배플 판 어셈블리를 포함하며, 상기 상부 배플 판은 상기 프로세스 챔버의 벽과 열적으로 연통되어 있는 적어도 하나의 열 전도성 스탠드오프(standoff)를 포함한다.
상술된 특징 및 다른 특징은 다음의 상세한 설명, 도면, 및 첨부된 청구항으로부터 당업자들에 의해 인식 및 이해될 것이다.
여러 도면에서 동일한 요소에는 동일한 참조 번호가 병기되어 있는 예시적인 도면이 참조된다.
도1은 예시적인 플라즈마 애싱 장치의 사시도.
도2는 가스 분배 시스템이 설치되는 포토레지스트 애셔 프로세스 챔버의 부분 절단 사시도.
도3은 하나의 실시예에 따른 가스 분배 시스템의 평면도.
도4는 라인 4-4를 따라 취해진 도3의 배플 판 어셈블리의 단면도.
도5는 또 다른 실시예를 따른 가스 분배 시스템의 평면도.
도6은 프로세싱 상태 동안 배플 온도의 도식적인 표현.
도7은 배플 판의 중심 부분을 냉각시키기 위한 개별적인 가스 도관을 갖는 상부 배플 판의 단면도.
도8은 열 전도성 스탠드오프를 사용하여 챔버 리드에 부착되는 상부 배플 판의 단면도.
도9는 배플 냉각의 함수로서의 애싱 레이트의 도식적인 표현.
저 k 절연 재료와 함께 사용하기 위한 산소가 없고 질소가 없는 플라즈마를 사용한 플라즈마 애싱 프로세스가 게시되어 있는데, 여기서 애싱 장치는 애싱 플라즈마를 균일하게 분배하는 신규한 배플 어셈블리를 포함한다. 또한, 배플 어셈블리의 충돌 지점을 냉각시키는 프로세스 및 상기 냉각을 위한 바람직한 하드웨어 구성이 게시되어 있다. 상기 프로세스가 저 k 재료 및 예시적인 플라즈마 애셔 장치와 관련하여 설명되어 있지만, 상기 프로세스 및 배플 어셈블리가 더 높은 k 절연 재료 및 변화하는 플라즈마 애셔 장치 디자인에 용이하게 적응될 수 있다는 것이 이해되어야 한다.
용어 "산소가 없는" 및 "질소가 없는"은 본 명세서 전체에 걸쳐서 소정의 산소 또는 질소 성분의 약 20보다 적은 ppm, 더 바람직하게는 약 5보다 적은 ppm, 가장 바람직하게는 약 100보다 적은 ppb(parts per billion)을 함유하는 플라즈마를 나타내는데 사용된다. 소정의 애플리케이션의 경우에, 최적의 레벨이 종종 더 높은 레벨의 산소 및/또는 질소로부터 이익을 얻을 수 있는 애싱 레이트, 및 일반적으로 더 낮은 레벨의 산소 및/또는 질소로부터 이익을 얻을 수 있는 저 k 특성의 유전체 층의 보존 사이에서 절충된다는 것을 당업자들은 이해할 것이다. 용어 "저 k"는 약 3.9의 유전 상수를 갖는 이산화 실리콘보다 더 낮은 유전 상수를 갖는 재료와 관련 된다. 예를 들어, 저 k 재료는 약 3.5보다 적은, 바람직하게는 약 1.5 내지 약 3.0의 유전 상수를 가질 수 있다. 본 명세서가 의도하는 저 k 유전체는 탄소 함유 유전체, 수소 함유 유전체, 실리콘의 플루오르 도핑된 산화물, 유기 폴리머를 포함하는 실리콘계 유전체, 등을 포함하지만, 이에 국한되지 않는다.
본원에 사용되는 바와 같은 탄소 함유 저 k 유전체는 약 3.9보다 적고, 더 바람직하게는 3.5보다 적은 유전 상수를 포함하는 집적 회로 등의 제조에서 사용하기 적합한 탄소 함유 절연 재료이다. 탄소-함유 저 k 유전체 재료는 탄소를 포함하거나 탄소계일 수 있는 팬던트 그룹(pendant group)을 포함할 수 있고, 여기서 유전체 재료의 백본(backbone)은 주로 탄소의 상호연결 네트워크로 이루어진다. 탄소 함유 저 k 유전체는 일반적으로 두 개의 유형: 유기 산화물 및 도핑된 산화물 중 하나로서 분류될 수 있다. 유기 저 k 유전체 재료의 예로는 폴리이미드, 벤조클로로부텐, 파릴렌, 다이아몬드-형 탄소, 폴리(아릴렌 에테르), 시클로텐, 탄화플루오로, 상표 SiLK 또는 BCB 하에서 상업적으로 입수 가능한 유전체와 같은 것 등이 있다. 도핑된 산화물 저 k 유전체 재료의 예로는 메틸 실세스퀴옥산, 수소 실세스퀴옥산, 나노다공성 산화물, 탄소 도핑된 이산화 실리콘, 예를 들어, 상표 CORAL, BLACK DIAMOND 및 AURORA 하에서 상업적으로 입수 가능한 유전체와 같은 것 등이 있다. 탄소 함유 저-k 재료의 유형 둘 모두는 조밀하고 다공성 버전으로 입수 가능하다. 다공성 버전은 LKD, ORION, BOSS 또는 다공성 SiLK와 같은 상표 하에서 상업적으로 입수 가능하다.
마찬가지로, 수소 함유 저 k 유전체는 약 3.9보다 적은, 더 바람직하게는 약 3.5보다 적은 유전 상수를 포함하는 집적 회로 등의 제조에서 사용하기 적합한 수소 함유 절연 재료이다. 상술된 많은 탄소 함유 저 k 유전체는 자신의 화학 구조 내에 탄소 원자에 부착된 수소 원자(들)를 포함한다. 이와 같이, 본 명세서의 적합한 수소 함유 저 k 유전체 재료는 탄소-함유 구조를 배제하고자 하는 것이 아니며, 그 반대도 마찬가지이다.
플라즈마 가스 혼합물의 특정 성분은 플라즈마 형성 조건 하에서 가스 및 플라스마를 형성하는 이들의 성능에 의해 선택된다. 가스 혼합물은 플라즈마 형성 조건 하에서 반응성 산소 종 및 반응성 질소 종을 생성하는 성분이 본질적으로 없다. 더 바람직하게는, 가스 혼합물은 산소-함유 화합물 및 질소-함유 화합물이 본질적으로 없다. 가스 혼합물은 수소 및 탄화수소와 같은 수소를 지니고 있는 다수의 반응성 가스를 포함할 수 있다. 가스 혼합물은 아르곤, 헬륨, 네온, 등과 같은 불활성 희가스를 더 포함할 수 있다. 가스 혼합물로부터 생성되는 플라즈마는 주로 포토레지스트 내의 탄소 및 다른 원자, 폴리머, 및 잔여물과 반응하여 기판의 온도 및 압력 조건 하에서 휘발되는 화합물을 형성하고/하거나 제거 가능한 화합물을 린싱(rinsing)한다. 상기 프로세스에서 사용하기 적합한 수소를 지니는 가스는 수소를 함유하는 화합물을 포함한다. 수소를 지니는 가스는 탄화 수소, 소소 가스, 또는 이의 혼합물을 포함한다. 바람직하게는, 수소를 지니는 가스는 플라즈마 형성 조건 하에서 가스 상태로 존재하고, 수소를 릴리스(release)하여 플라즈마 형성 조건 하에서 원자 수소 종 및 다른 수소 기와 같은 반응성 수소를 형성한다. 탄화수소는 일반적으로 치환되지 않는다. 수소를 지니는 탄화수소 가스의 예로는 메탄, 에탄, 및 프로판이 있다.
예시적인 실시예에서, 수소를 지니는 가스는 수소를 지니는 가스 및 희가스의 혼합물이다. 상기 프로세스에서 사용하기 적합한 희가스의 예로는 아르곤, 네온, 헬륨, 등과 같은 주기율표의 VIII족의 가스가 있다. 종래 기술의 산소가 없는 플라즈마가 일반적으로 수소 및 질소 가스 혼합물을 포함하는 형성 가스 조성을 사용할지라도, 게시된 프로세스에서 질소 가스의 사용은 명백하게 배제된다. 바람직하게는, 가스 혼합물은 수소 및 헬륨 가스를 포함한다. 헬륨 가스 원자는 가볍고 기판으로 쉽게 확산되어, 플라즈마 생성된 반응성 수소 종에 대한 캐리어 특성이 우수하다.
안전성을 위하여, 가스 혼합물에서의 수소 가스의 비율은 가스 혼합물의 약 5 용량 퍼센트(vol.%)를 초과하지 않는다. 그러나, 더 높은 양의 수소가 수용 가능하며, 종종 포토레지스트 제거 레이트 및 선택도를 증가시키는데 바람직하다. 바람직하게는, 가스 혼합물에서의 수소의 량은 약 1 vol.% 내지 약 99 vol.%이며, 여기서 용량 퍼센트는 가스 혼합물의 총 용량에 기초한다. 더 바람직하게는, 가스 혼합물에서의 수소의 량은 약 10 vol.%에서 약 30 vol.%까지이다.
상기 프로세스는 플라즈마 소스 및 프로세싱 챔버를 포함하는 플라즈마 애셔에서 실행될 수 있다. 간략히 상술된 바와 같이, 본 명세서는 임의의 특정 플라즈마 애셔에 국한하고자 하지 않는다. 특히 본 명세서를 실행하는데 적합한 플라즈마 애셔는 예를 들어, 매사추세스주, 비버리 소재의 Axcelis Technologies, Inc로부터 상업적으로 입수 가능한 상표 명칭 Axcelis RadiantStrip 320Lk® 하에서 입수 가능한 마이크로파 플라즈마 애셔와 같은 다운스트림 플라즈마 애셔이다. 마이크로파 애셔의 부분들은 미국 특허 번호 제5,591,439호, 제5,498,308호, 제6,082,347호, 제5,980,638호, 제5,961,851, 제6,057,645호, 제6,225,745호 및 제4,341,592호, 및 PCT 국제 출원 번호 제WO/97/37055호에 게시되어 있고, 이들 모두는 그 전체가 본원에 참조되어 있다. 본 명세서에 따라 사용될 수 있는 플라즈마 생성 및 배출 장치의 다른 예로는 플라즈마를 생성하기 위하여 무선 주파수(RF) 에너지를 사용하는 애셔가 있다.
이제 도1을 참조하면, 일반적으로 10으로 지정된 예시적인 플라즈마 애싱 장치가 도시되어 있다. 플라즈마 애싱 장치(10)은 일반적으로 마이크로파 플라즈마 생성 컴포넌트(12) 및 프로세스 챔버(14)를 포함한다. 마이크로파 플라즈마 생성 컴포넌트(12)는 마이크로파 인클로저(16)를 포함한다. 일반적으로, 마이크로파 인클로저(16)는 플라즈마 튜브가 통과하는 세로의 섹션으로 분할되는 직사각형 박스이다. 각 섹션은 동작 중에 마이크로파 에너지를 공급받는다. 이와 같이, 각 섹션은 방위각방향 및 축방향 균일성을 갖는 모드의 형성을 촉진하는 입력 마이크로파 에너지에 대한 비교적 짭은 캐비티인 것처럼 보인다. 바람직하게는, 마이크로파 인클로저(16)는 직사각형 TM(110)을 지원하도록 치수조정되고 정사각형 단면을 가질 수 있다. 단면의 치수는 TM(100) 모드가 공진하게 하도록 한다. 각 단면의 길이는 λg/2이며, 여기서 λg는 TE(101) 모드의 캐비티 내의 가이드 길이이다.
마이크로파 트랩(38 및 40)이 마이크로파 투과를 방지하기 위하여 마이크로파 플라즈마 생성 컴포넌트(12)의 단부에서 제공된다. 이와 같은 트랩은 미국 특허 번호 제5,498,308호에 게시된 유형으로 이루어질 수 있다.
마그네트론(48)은 서로 수직인 섹션(52 및 54)을 갖는, TM(101) 모드를 지원하는 도파관에 커플러(50)를 통하여 공급되는 마이크로파를 제공한다. 도파관 섹션(54)의 길이는 조정 가능하다. 도파관 섹션(54)의 판은 마이크로파 에너지를 분할된 마이크로파 구조(16) 내로 결합하는데, 이 구조를 통해 플라즈마 튜브가 신장되므로; 플라즈마가 플라즈마 튜브를 통해 흐르는 가스 혼합물에서 여기될 수 있다.
도1을 다시 참조하면, 단부 캡(58)은 마이크로파 트랩(38) 및 피팅(60)과 물리적으로 연통되어 있다. 가스 입구(70)는 단부 캡(58) 내의 O-링에 의하여 이 단부에서 지지되는 플라즈마 튜브와 유체 연통되어 있다. 플라즈마 튜브의 다른 단부는 단부 부재(66) 내에 위치되며, 플라즈마/가스를 프로세스 챔버(14) 내로 방출하는 오프닝을 가지고 있다. 선택적으로, 오프닝을 형성하는 도관은 플라즈마 튜브 및 프로세싱 챔버(14) 사이의 압력 차를 생성하도록 좁은 개구 피팅(fitting)에 피팅되며, 여기서 상기 압력은 플라즈마 튜브에서 더 크다. 동작 중에, 플라즈마 튜브 내의 압력은 바람직하게는, 약 1 토르 내지 약 1 대기압(약 760 토르)이다. 대조적으로, 동작 중에 프로세스 챔버(14) 내의 압력은 약 100 밀리토르 내지 약 대기압(760 토르)이다.
플라즈마 튜브의 오프닝은 프로세스 챔버(14)의 내부 영역과 유체 연통되어 있다. 플라즈마가 (프로세싱된 기판의 치수에 비하여) 비교적 좁은 구멍으로부터 프로세스 챔버(14)의 내부로 배출되기 때문에, 기판 상으로 균일한 플라즈마 노출을 촉진시키는 가스 분배 시스템(100)(도2 참조)이 프로세스 챔버(14) 내에 배치된다. 가스 분배 시스템(100)은 플라즈마 튜브의 오프닝 및 기판의 중간에 배치된다.
예시적인 실시예에서, 가스 분배 시스템(100)은 기판 표면에 플라즈마의 균일한 분배를 촉진하기 위하여 기판 위에, 그리고 기판과 동축에 배치되는 배플 판(들)을 포함한다. 배플 판(들)은 바람직하게는, 다수의 적층된 배플 판으로 이루어지며, 여기서 각각의 판은 다수의 개구를 포함한다. 예로서, 도2 내지 5는 개구(10)에 사용하기 적합한 가스 분배 시스템을 도시한다. 도시된 실시예에서, 가스 분배 시스템(100)은 이중 배플 판 어셈블리로서 도시되어 있다. 그러나, 당업자들은 본원에 제공된 개념을 포함하고 단지 가스 분배 시스템을 최적화하는 부가적인 배플 판이 사용될 수 있다는 것을 이해할 것이다. 이와 같이, 본 명세서는 이중 배플 판 구조에 국한하고자 하는 것이 아니다.
도1은 가스 분배 시스템(배플 판 어셈블리)(100)의 실시예가 통합되는 프로세스 챔버(14)를 도시한다. 내부에 설치되는 도2-5의 가스 분배 시스템(100)을 포함하는 애셔 프로세스 챔버(14)는 300 밀리미터(mm) 기판 (웨이퍼) 프로세싱 시스템에서 사용하기 적합하다. 가스 분배 시스템(100)은 또한 본 명세서를 검토 시에 당업자에 의해 인식되는 바와 같이, 200 mm 웨이퍼와 함께 사용하는데 적합할 수 있다. 더구나, 본원에 설명된 가스 분배 시스템(100)은 다운스트림 플라즈마 애셔 장치 내에 구현되는 것으로 도시되어 있을지라도, 잔여물 제거, 스트립핑, 및 등방 성 에칭 장비와 같은 다른 반도체 제조 장비에서 또한 사용될 수 있다.
이제 도2-4를 참조하면, 가스 분배 시스템(100)은 일반적으로 서로 평행하게 위치되고 서로로부터 분리되는(예를 들어, 그들 사이에 공간을 가지는) 상부 개구된 배플 판(102) 및 비교적 큰 개구된 하부 배플 판(104)을 포함한다. 도2에 더 분명히 도시된 바와 같이, 가스 분배 시스템(100)은 프로세싱될 기판(웨이퍼(110))이 배치되는 캐비티(108)를 포함하는 프로세스 챔버(14)의 하부(106)와 물리적으로 연통되어 있다. 서로 평행하게 방향이 맞춰지는 것 이외에 배플 판(102 및 104)은 또한 프로세싱되는 웨이퍼(110)에 평행하게 방향이 맞춰진다.
시일(112)이 가스 분배 시스템(100) 및 프로세스 챔버(14)의 하부(106) 사이의 인터페이스에서 제공되며, 하부 배플 판(104)(도2 및 4 참조)의 그루브(114) 내에 존재한다. 웨이퍼는 입구/출구 통로(116)를 경유하여 로드 록 메커니즘(도시되지 않음)을 통해 프로세스 챔버로 도입되고 상기 프로세스 챔버로부터 제거된다. 프로세스 챔버의 하부(106) 아래에 위치되는 히터 어셈블리(도시되지 않음)는 프로세싱 동안 웨이퍼(110)의 하측을 희망하는 온도로 가열한다.
프로세스 챔버(14)는 일반적으로 홀(118)의 위치에서 플라즈마-생성 컴포넌트(12) 및 히터 어셈블리(도시되지 않음) 중간에서 플라즈마 애싱 장치(10) 내에 설치된다. 동작 중에, 플라즈마 튜브의 비교적 좁은 구멍을 떠나는 에어자이징된 플라즈마(가스)가 가스 분배 시스템(100)과 마주치게 된다. 예를 들어, 플라즈마 튜브로부터 흐르는 에너자이징된 플라즈마는 우선 실질적으로 개구가 없는 상부 배플 판(102)의 중심 에어리어(예를 들어, 충돌 지점)에 부딪치게 된다. 이 중심 에 어리어는 하부 배플 판(104) 및 프로세스 챔버(14)의 리드 사이에 형성된 플레넘의 적절한 동작을 달성하기 위하여 플라즈마 튜브로부터 나오고 가스/플라즈마 종을 가속하는 에너자이징된 가스를 반경 방향으로 향하게 할 수 있다. 그 후, 플라즈마는 상기 배플 판(102)의 개구(120) 및 하부 배플 판(104)의 개구(122)를 통하여 프로세스 챔버 내로 분배된다. 일 실시예에서, 하부 배플 판(104)은 입구(126) 및 출구(128)를 경유하여 내부 냉각 통로(124)를 통해 흐르는 냉각 매체로 활발히 냉각될 수 있다. 프로세스 챔버(14)의 하부(106)의 벽(130)은 또한 입구(134) 및 출구(136)를 경유하여 내부 냉각 통로(132)를 통해 흐르는 냉각 매체로 활발히 냉각될 수 있다.
도3 및 4에 더 명백하게 도시된 바와 같은 하부 배플 판(104)은 외부 플랜지(138) 및 개구(122)를 포함한 일반적으로 평면 부분(140)을 포함한다. 설치 홀(도시되지 않음)은 스탠드오프(142)에 의하여 자신에 접속되는 상부 배플 판(102)을 설치하기 위하여 하부 배플 판(104)에 제공될 수 있다. 상부 배플 판(102) 및 하부 배플 판(104) 사이의 거리는 부분적으로 가스 분배 시스템(100)을 통한 가스 프름의 패턴을 결정한다. 200mm 또는 300mm 플라즈마 애셔의 경우에, 상부 및 하부 배플 판(102 및 104) 사이의 거리는 바람직하게는 약 0.25인치(약 0.6cm) 내지 약 2.0인치(약 5.1cm)이며, 더 바람직하게는, 약 0.5인치(약 1.3cm) 내지 약 1.5인치(약 3.8cm)이다. 200mm 구성의 경우에, 상부 배플 판의 개구 밀도는 300mm 구성의 개구 밀도에 비하여 감소될 수 있다.
도3은 도2에 도시된 300mm 가스 분배 시스템(100)의 평면도이며, 도4는 가스 분배 시스템(100)의 이 실시예의 단면도이다. 이들 도면에 도시된 바와 같이, 가스 분배 시스템(100)은 하부 배플 판 플랜지(138) 내의 설치 홀(146)을 통하여 프로세스 챔버(14)와 상부(106)와 물리적으로 연통되어 있다(예를 들어, 프로세스 챔버의 상부에 설치됨). 개구(122)는 하부 배플 판(104)에서 제공된다. 개구부(122)의 표면적은 자신의 하부에 존재하는 웨이퍼(110)를 커버한다. 이 실시예에서, 개구(122)의 크기는 하부 배플 판(104)의 중심 영역에서 외부 에지로 갈수록 증가한다. 하부 배플 판의 개구(122)의 증가하는 크기가 탄소 함유 및/또는 수소 함유 저 k 유전체와 함께 사용하기 위한 것과 같은 산소가 없고 질소가 없는 플라즈마에 대하 플라즈마 균일성을 개선시킨다는 것이 밝혀졌다. 대조적으로, 상부판의 개구의 크기는 일정하며, 개구가 없는 중앙 충돌 영역을 더 포함한다.
하부 배플 판의 경우에, 개구의 직경은 약 0.05인치 내지 약 0.25인치이다. 또 다른 실시예에서, 직경은 약 0.075인치 내지 약 0.175인치이다. 상부 배플 판의 경우에, 개구 직경은 약 0.1인치 내지 약 0.5인치이다. 또 다른 실시예에서, 개구 직경은 0.2인치 내지 0.3인치이다.
예로서, 본 명세서에서 사용하기 적합한 상부 및 하부 배플 판 구성은 다음과 같다. 상부 배플 판은 직경이 4인치이고 하부 배플 판은 직경이 7.5인치이며, 여기서 상부 및 하부 배플 판은 1.0인치만큼 이격되어 떨어진다. 하부 배플 판의 직경은 약 0.09인치 직경의 중심에서부터 4인치 반경까지, 그리고 약 0.15인치 직경에서부터 7.5인치 반경까지, 즉, 하부 배플 판의 에지까지 반경방향으로 증가한다. 이 예시적인 하부 배플 판 구성에서, 하부 배플 판은 판의 가장 중심 영역에서 개구를 가질 수 있다. 상부 배플 판은 1-인치 반경까지의 중심 영역에서는 개구가 없고, 4 인치 반경, 즉, 판의 에지까지는 직경이 0.25인치의 개구를 가질 수 있다.
도5는 또 다른 실시예에 다른 하부 배플 판(104)의 평면도를 도시한다. 여기서, 개구(122)의 밀도는 하부 배플판(104)의 중심 지점으로부터 외부 에지로 갈수록 증가하며, 여기서 개구의 크기는 동일하다. 본원에 설명된 다양한 실시예에서 하부 배플 판은 바람직하게는, 석영(SiO2), 사파이어 코팅된 석영, 사파이어, 세라믹, 또는 양극처리된 알루미늄으로 제조된다.
상부 배플 판(102)의 개구(120)는 일반적으로 반경방향 또는 동심의 여러 원형 패턴으로 배열된다. 상부 배플 판(102)은 사파이어-코팅된 용융되는 이산화규소, 석영, 사파이어, 알루미늄, 또는 세라믹 재료로 이루어진다. 상부 배플 판(102)의 개구(120)는 바람직하게는, 하부 배플 판(104)의 가장 큰 개구(122)보다 다소 더 크다. 사파이어, 석영 또는 세라믹 충돌 판(144)을 더 포함할 수 있는 개구가 없는 영역이 상부 배플 판(102)의 중심에 위치되는 것이 바람직하다. 충돌 판(144)은 임의의 적절한 수단을 사용하여 상부 배플 판(102)에 설치될 수 있다. 예를 들어, 충돌 판(144)을 상부 배플 판에 설치하기 위하여 스크류(들)(146)가 사용될 수 있다. 충돌 판(144)을 가지거나 가지지 않는 상부 배플 판(102) 중심부는 프로세싱되는 웨이퍼(110)의 반경방향 내부가 과열되지 않도록 하기 위하여 플라즈마 튜브(32)로부터 나오는 에너자이징된 가스를 상부 배플 판(102)의 나머지 개구된 에어리어로 반경방향 외부로 향하게 함으로서, 웨이퍼의 나머지보다 비율적으로 더 높은 레이트로 애싱한다. 대안적인 실시예에서, 상부 배플 판(102)은 바람직하게는, 200mm 웨이퍼를 프로세싱하기 위해 개구가 없도록 구성될 수 있다.
간략히 상술된 바와 같이, 헬륨 및 수소로부터 형성된 플라즈마와 같은 산소가 없고 수소가 없는 플라즈마를 사용하면 프로세싱 챔버(14) 내에, 그리고 배기 라인 및 내부의 임의의 컴포넌트 내에서와 같은 플라즈마 프로세스 챔버(14)로부터 아래의 에어리어에서 승화되고, 기화되거나 제거된 포토레지스트 및 부산물이 증착될 수 있다. 프로세스 챔버(14)는 시스템을 통해서 고 전력으로 생성된 산소-함유 플라즈마를 주기적으로 흐르게 함으로써 인 시추 클리닝될 수 있다. 그러나, 이 클리닝 프로세스는 도6에 도시된 바와 같이 상부 배플 판(102)의 가열을 초래할 수 있다. 도6이 단지 설명을 위해 제공되며 당업자들이 배플 온도를 제어하기 위한 노력에서 예를 들어, 프로세스 시간 및 프로세싱되는 웨이퍼의 수(웨이퍼 군 크기)를 용이하게 변경시킬 수 있다는 점을 주의해야 한다.
도6에서, 시간의 함수로서의 배플 판 온도가 이중 배플 판 어셈블리를 갖는 프로세스 챔버를 포함하는 마이크로파 플라즈마 애셔에 대해 측정되었다. 상부 배플 판 온도는 거의 판 중심(플라즈마가 프로세스 챔버에 들어가는 플라즈마의 충돌 지점)에서 측정되었고, 판 에지에서 기록된 상부 배플 판 온도와 비교되었다. 15개의 웨이퍼가 약 총 2,250초 동안 산소 및 질소가 없는 플라즈마에 순차적으로 노출되고 나서, 약 500초 동안 산소 플라즈마를 사용하여 인 시추 클리닝이 수행되고, 그 후에, 산소 및 질소가 없는 플라즈마로 6개의 부가적인 웨이퍼를 프로세싱하였다. 처음의 15개의 웨이퍼의 프로세싱 동안, 상부 배플 판의 중심 및 에지의 온도 는 약 150℃ 내지 약 200℃였다. 그러나, 인 시추 클리닝 동안, 상부 배플의 중심의 온도는 약 350℃ 내지 약 400℃로 증가되어, 판의 중심에서 상당한 온도 증가를 초래한 반면, 판 에지 온도는 프로세싱 온도로부터 어떤 상당한 온도로 변화하지 않았다. 챔버를 주기적으로 클리닝하기 위하여 필요로 되는 고전력 산소 클리닝 프로세스는 상부 배플 판 충돌 중심에서 과도한 열을 초래하였다. 부가적인 6개의 웨이퍼의 그 다음 프로세싱은 약 200 내지 250℃였다. 충돌 지점에서의 온도 증가가 애싱 불균일성(NU)의 증가를 초래한다는 것이 밝혀졌다. 더구나. 본질적으로 산소 및 질소가 없는 플라즈마에 의한 포토레지스트 제거가 감소되었다. 그러므로, 본질적으로 산소 및 질소가 없는 플라즈마는 저 k 유전체를 포함하는 애싱 기판에 바람직하지만, 상부 배플 판 어셈블리의 온도 불균일성의 결과로서 불균일성의 증가 및 애싱 레이트의 감소를 초래할 수 있다.
인 시추 플라즈마 클린 프로세스에 기인하는 온도 불균일성을 감소시키기 위하여, 프로세싱 중에 상부 배플 판의 중심 상에 및/또는 상기 중심을 통하여 가스를 주기적으로 흐르게 하는 것이 온도 불균일성을 효율적으로 감소시킨다는 것이 예상외로 발견되었다. 일 실시예에서, 냉각 가스는 도7의 구성을 사용하여 도시된 바와 같이 상부 배플 판의 중심에서 오프닝을 갖는 냉각 가스 도관(160)을 경유하여 상부 배플 판(102)의 하측을 통해 흐른다. 냉각 가스는 들어오는 플라즈마의 방향으로 흐르는데, 이는 냉각 가스가 충돌 영역 상으로 편향되어 상부 배플 판의 중심 영역을 냉각시키도록 한다.
또 다른 실시예에서, 냉각 가스는 플라즈마가 튜브에서 연소되지 않는 동작 기간 동안 상부 배플 판의 충돌 중심 상으로 흐르도록 지향될 수 있다. 예를 들어, 플라즈마는 프로세스 챔버(14)의 내외로 웨이퍼를 교환하는 동안 및 웨이퍼 프로세스 레서피(wafer process recipe)의 시작 동안 연소되지 않고, 여기서 기판의 온도 뿐만 아니라, 프로세스 챔버(14) 내의 압력이 조정될 수 있다. 가스 흐름 레이트가 애플리케이션에 따라 변할 수 있지만, 예시적인 실시예는 약 100sccm(standard cubic centimeter per minute) 내지 약 100slm(standard liter per minute)의 냉각 가스 흐름 레이트를 포함한다. 더구나, 당업자들이 냉각 가스의 온도 및 압력을 변경시키도록 본 명세서를 용이하게 적응시킬 수 있다는 점에 주의하라.
또한, 냉각 가스의 흐름 레이트, 온도, 압력, 유형, 등이 배플의 중심(충돌 지점)을 냉각시키기 위하여, 즉, 포토레지스트 제거 레이트를 유지하거나 증가시키는데 충분한 온도로 배플의 온도를 감소시키기 위하여 선택될 수 있다는 점에 주의하라. 예를 들어, 배플 판의 충돌 지점의 온도는 약 25℃보다 크거나 이와 동일하고, 바람직하게는, 약 25℃ 내지 50℃의 양으로 감소할 수 있다. 예시적인 냉각 가스는 아르곤, 헬륨과 같은 불활성 가스, 다른 가스, 그리고 수소와 수소 및 헬륨의 혼합물과 같은 가스 혼합물을 포함하지만, 이에 국한되지 않는다.
부가적으로, 웨이퍼 프로세스 레서피의 시작 시에 시간은 선택적으로 배플 판을 냉각시키는 것을 돕도록 증가될 수 있다. 본원에 게시된 바와 같은 냉각 가스를 사용하지 않았다면, 레서피에서 평균 플라즈마-온 시간을 증가시키는 것은 배플 판의 충돌 중심의 온도가 증가하도록 해서 상술된 문제를 초래할 수 있다. 예를 들어, 프로레지스트 제거 레이트가 감소가 감소하여 웨이퍼 처리량의 감소를 초래한 다. 높은 포토레지스트 제거 레이트를 유지하면 유용하게도 웨이퍼 처리량이 증가된다. 웨이퍼 프로세스 레서피의 시작 시에 냉각 가스를 사용하고 시간을 최적화시키면 예를 들어, 더 긴 플라즈마-온 시간이 더 긴 레서피에 기인하는 배플 가열의 충격이 감소될 수 있다. 일 실시예에서, 포토레지스트 제거 레이트는 바람직하게는, 5 내지 60초, 더 바람직하게는 20 내지 40초의 냉각 시간을 갖는 웨이퍼 프로세스 레서피의 시작 시에 냉각 가스를 사용함으로써 증가된다.
또 다른 실시예에서, 애셔 장치에서 사용되는 배플의 중심 지점을 냉각시키기 위한 프로세스는 애셔 장치 상의 하나 이상의 질량 흐름 제어기를 통해 냉각 가스를 흐르게 하는 단계, 및 선택적으로, 냉각 시간을 웨이퍼 가열 단계와 중첩시키는 단계를 포함한다.
도8에 도시된 또 다른 실시예에서, 상부 배플 판(102)은 알루미늄과 같은 비교적 열 전도성 재료로 이루어지며, 적어도 하나의 히트 싱크 몸체에 또한 부착된다. 예를 들어, 적절한 히트 싱크 몸체는 열 전도성 스탠드오프(162)를 갖는 상부 배플 판에 결합될 수 있는, 프로세스 챔버 내에서 통상적으로 발견되는 수-냉 리드(164)이다. 열 전도성 스탠드오프는 알루미늄과 같은 임의의 열 전도성 재료로 이루어질 수 있다. 바람직하게는, 상부 배플 판은 일반적으로 더 높은 열 전도성을 가진 재료로 구성된다.
본 명세서는 다음의 비-제한적인 예에 의해 더 설명된다.
예 1
이 예에서, 상부에 약 8,300 옹스트롬 Shipley UV-6 포토레지스트의 층을 갖 는 4 세트의 웨이퍼가 Axcelis Technologies, Inc로부터 상업적으로 입수 가능한 ES3Lk 플라즈마 애셔를 사용하여 본질적으로 산소 및 질소가 없는 플라즈마 애싱 프로세스에 노출되었다. 플라즈마 애셔는 도2-4에 도시된 바와 같은 이중 배플 판 어셈블리를 포함하였다. 특히, 플라즈마는 헬륨 내의 4% 수소의 10,000sccm(standard cubic centimeters per minute) 및 산소의 100μL/초(microliters per minute)의 흐름 레이트에서 수소, 헬륨의 가스 혼합물, 및 미량의 산소로부터 형성되었다. 각각의 웨이퍼는 동일한 조건, 예를 들어, 300℃, 30초 플라즈마-온, 1 토르 압력, 및 1,200W 전력 하에서 프로세싱되었다. 웨이퍼에 걸친 퍼센트 불균일성 및 애싱 레이트가 측정되었고, 포토레지스트 Cauchy 계수에 기초한 표준 두께 측정을 사용하여 설정된 각각에 대해 평균화되었다. 그 결과가 도9에 도시되어 있다.
실행 1은 비교 예이며, 여기서 냉각 단계는 사용되지 않는다. 실행 2-4는 냉각 단계를 사용했고, 여기서, 헬륨 내의 4% 수소가 37slm의 냉각 가스 흐름 레이트에서 사용되었다. 더 구체적으로는, :Rnu 2"는 웨이퍼 가열 동안 15초 냉각 퍼지(purge)를 사용하였고; "실행 3"은 웨이퍼 가열이 시작되기 전에 15초 냉각 퍼지를 사용하였으며; "실행 4"는 웨이퍼 가열을 시작하기 전에 30초 퍼지를 사용하였다.
그 결과는 냉각 가스를 사용하지 않은 경우에 애싱 레이트의 상당한 감소가 발생된다는 것을 명백하게 제시한다. 예를 들어, 실행 1은 약 0.59μm/초(레지스트 제거의 분당 마이크론)의 애싱 레이트를 나타내지만, 냉각 단계를 추가하면 애싱 레이트가 실행 2의 경우에 0.62μm/분, 실행 3의 경우에 0.64μm/분, 및 실행 4의 경우에 0.62μm/분까지 증가되었다.
유용하게도, 배플 판의 충돌부를 냉각시키는 게시된 방법은 냉각 단계를 사용하지 않는 장치 디자인 및 애싱 프로세스에 비하여 애싱 레이트가 유지되고 및/또는 증가되도록 한다. 더구나, 다양한 실시예에서, 애셔 장치의 처리량은 영향을 받지 않는데, 그 이유는 애싱 레이트의 증가가 냉각 단계에 필요로 되는 시간을 오프셋시키기 때문이다.
예 2
이 예에서, 약 0.5 인치만큼 떨어져 이격된 상부 및 하부 판을 갖는 이중 배플 판 어셈블리가 사용되었고, 여기서, 상부 석영 배플 판은 도7에 도시된 방식으로 냉각 가스 도관으로 구성되었다. 상술된 바와 같이, 냉각 가스 도관은 배플 판 어셈블리의 상부 배플 판을 냉각시키고 과도한 가열을 방지하기 위하여 상부로부터 상부 배플 판 상에 충돌하는 플라즈마에 역-흐름을 제공하는 두 가지 역할을 한다. 헬륨 가스가 상부 배플을 냉각시키는데 사용되었고, 0에서 440sccm의 범위의 흐름으로 도입되었다.
웨이퍼는 약 8,200 옹스트롬의 Shipley UV-6 포토레지스트로 코팅되었고, 베이킹(baking)되었으며, 두께가 측정되었다. 그 후, 코팅된 웨이퍼가 예 1에서와 같이 Axcelis Technologies 애셔에서 프로세싱되었다. 흐름 레이트는 헬륨 내의 4% 수소의 10,000sccm 및 산소의 100μL/분(microliters per minute)이었다. 그 실행은 배플 판에 제공된 역-흐름 냉각 헬륨의 양에서만 상이하였다. 그 후, 플라즈마 프로세싱 후의 두께 손실(즉, 포토레지스트의 제거)이 계산되었다. 역-흐름 냉각 헬륨이 없는 경우의 두께 손실은 2,700 옹스트롬이었고, 이는 160sccm의 역-흐름에서 2,775 옹스트롬으로, 그리고 440sccm의 역-흐름에서 2,850 옹스트롬으로 증가되었다. 명백하게, 냉각 가스 역-흐름의 존재는 애셔 레이트를 증가시키는 것을 도왔다.
예 3
이 예에서, 두 개의 배플 판 디자인의 비교는 Axcelis Technologies, Inc로부터 상업적으로 입수 가능한 ES3Lk 플라즈마 애셔에서 수행되었다. 플라즈마 애셔는 약 0.5 인치만큼 분리된 상부 및 하부 판을 갖는 이중 배플 판 어셈블리를 포함하였다. 하나의 비교적인 구성에서, 상부 배플 판은 석영으로 구성되었고 하부 배플 판 상에 존재하는 3개의 석영 레그에 의해 지지되었다. 제2 구성은 열 전도성 재료를 사용하였다. 도8에 도시된 바와 같이 이 구성에서, 상부 배플 판은 하부 배플 판으로부터 0.5 인치 현수된 알루미늄 판으로 형성된다. 현수는 플라즈마 애셔의 수-냉 리드에 상부 배플판을 고정적으로 부착하기 위하여 열 전도성 알루미늄 스탠드오프 및 적절한 연결 볼트로 형성된 설치 하드웨어를 사용함으로써 달성된다.
웨이퍼는 약 8,200 옹스트롬의 Shipley UV-6 포토레지스트로 코팅되었고, 베이킹되었으며 두께 측정되었다. 그 후, 코팅된 플라즈마는 상술된 두 개의 상이한 배플 판 어셈블리를 사용하여 300℃, 30초 플라즈마-온, 1 토르 압력, 및 1,200W 전력의 플라즈마 애셔에서 프로세싱되었다. 흐름 레이트는 헬륨 내의 4% 수소의 10,000sccm 및 산소의 100μL/분(microliter per minute)이었다. 그리고 나서, 각 배플 판 구성에 의한 플라즈마 프로세싱 후의 두께 손실(즉, 포토레지스트의 제거)가 계산되었다.
그 실행은 여러 면에서 상이하다. 첫 번째는 상부 배플 판의 유형(석영 또는 알루미늄) 및 지지 방법(하부 배플 판 상에 존재하는지 또는 수-냉 리드로부터 현수되는지)이었다. 두 번째는 애셔 기계의 즉시 이력-이 기계가 유휴로 되어서 "콜드"였는지, 또는 웨이퍼 군의 중간에 있어서 "웜"이었는지의 여부였다. 툴 이력은 배플 판 어셈블리에 대한 온도 관리 면에서의 팩터(t)일 수 있다.
그 결과가 테이블 1에 도시되어 있다. 평균 포토레지스트가 석영 상부 배플의 경우에 더 높을지라도, 콜드 및 웜 조건 사이의 차는 석영 상부 배플의 경우에 850 옹스트롬이고 수-냉 챔버 리드에 부착된 알루미늄 상부 배플의 경우에 450 옹스트롬이다. 이는 알루미늄 상부 배플이 웨이퍼간 재현성에 더 바람직하게 기여한다는 것을 의미하고, 이것은 매우 바람직한 특성이며 상당한 상업적인 장점을 제공한다.
테이블 1.
배플 판 유형 애셔 시작 조건 두께 제거
석영 콜드 3,950 옹스트롬
석영 3,100 옹스트롬
알루미늄 콜드 3,200 옹스트롬
알루미늄 2,750 옹스트롬
유용하게도, 배플 판의 충돌부를 냉각시키는 게시된 방법은 냉각 단계를 사용하지 않는 장치 디자인 및 애싱 프로세스에 비하여 애싱 레이트가 유지되고 및/또는 증가되도록 한다. 더구나, 다양한 실시예에서, 애셔 장치의 처리량이 영향을 받지 않는다.
본 명세서가 예시적인 실시예와 관련하여 설명되었지만, 당업자들은 본 발명의 정신을 벗어남이 없이 다양한 변경이 행해질 수 있고 명세서에서 요소들이 대체될 수 있다는 것을 이해할 것이다. 게다가, 본 명세서의 본질적인 범위를 벗어남이 없이 본 명세서의 지침에 특정 상황 또는 재료를 채택하도록 많은 수정이 행해질 수 있다. 그러므로, 본 명세서는 상기 본 명세서를 실행하기 위해 고려되는 최선의 모드로서 설명된 특정 실시예에 국한되는 것이 아니라, 첨부된 청구항의 범위 내에 존재하는 모든 실시예를 포함할 것이다.

Claims (22)

  1. 탄소, 수소, 또는 탄소 및 수소의 조합을 함유하는 저 k 유전체 재료를 포함하는 기판으로부터 포토레지스트 재료 및 에칭 후 잔여물을 제거하는 플라즈마 애싱 프로세스에 있어서:
    본질적으로 산소가 없고 수소가 없는 가스 혼합물로부터 플라즈마를 형성하는 단계;
    배플 판 어셈블리를 통하여, 그리고 상기 기판 상에 상기 플라즈마를 흐르게 하고 상기 기판으로부터 포토레지스트 재료, 에칭 후 잔여물 및 휘발성 부산물을 제거하는 단계;
    상기 플라즈마 흐름에 역 반향으로, 그리고 상부 배플 판의 온도를 감소시키는데 효율적인 양으로 배플 판 어셈블리를 통해 냉각 가스를 흐르게 하는 단계를 포함하는 플라즈마 애싱 프로세스.
  2. 제1항에 있어서,
    상기 배플 판은 상부 배플 판 및 상기 상부 배플 판으로부터 떨어져 이격되고 상기 상부 배플 판과 동일평면인 적어도 하나의 부가적인 배플 판을 포함하는 것을 특징으로 하는 플라즈마 애싱 프로세스.
  3. 제1항에 있어서,
    상기 플라즈마는 수소 및 희가스를 포함하는 것을 특징으로 하는 플라즈마 애싱 프로세스.
  4. 제3항에 있어서,
    상기 희가스는 헬륨인 것을 특징으로 하는 플라즈마 애싱 프로세스.
  5. 제1항에 있어서,
    상기 플라즈마 흐름에 역 방향으로 냉각 가스를 흐르게 하는 상기 단계는 표준 온도 및 압력 조건에서 분당 15리터 내지 분당 약 100리터의 흐름 레이트인 것을 특징으로 하는 플라즈마 애싱 프로세스.
  6. 제1항에 있어서,
    상기 냉각 가스를 흐르게 하는 단계는 동시적으로 상기 기판을 가열하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 애싱 프로세스.
  7. 제1항에 있어서,
    상기 냉각 가스는 불활성 가스인 것을 특징으로 하는 플라즈마 애싱 프로세스.
  8. 제1항에 있어서,
    상기 냉각 가스는 헬륨, 아르곤, 수소 및 이의 혼합물로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 플라즈마 애싱 프로세스.
  9. 제2항에 있어서,
    상기 상부 배플 판으로부터 떨어져 이격되고 상기 상부 배플 판과 동일평면인 적어도 하나의 부가적인 배플 판은 중심 축으로부터 자신의 외부 에지로 갈수록 밀도가 증가하는 중심 축을 중심으로 배치된 다수의 개구를 포함하는 것을 특징으로 하는 플라즈마 애싱 프로세스.
  10. 제2항에 있어서,
    상기 상부 배플 판 어셈블리는 자신의 중심부와 물리적으로 연통되도록 배치되는 충돌 판을 더 포함하는 것을 특징으로 하는 플라즈마 애싱 프로세스.
  11. 제1항에 있어서,
    상기 기판으로부터 포토레지스트, 에칭 후 잔여물, 및 휘발성 부산물을 제거하는 상기 단계는 냉각 가스 흐름의 존재 시에 애싱 레이트보다 더 큰 애싱 레이트인 것을 특징으로 하는 플라즈마 애싱 프로세스.
  12. 제1항에 있어서,
    상기 상부 배플 판으로부터 떨어져 이격되고 상기 상부 배플 판과 동일평면 인 상기 적어도 하나의 부가적인 배플 판은 중심 축으로부터 자신의 외부 에지로 갈수록 직경이 증가하는 중심 축을 중심으로 배치된 다수의 개구를 포함하는 것을 특징으로 하는 플라즈마 애싱 프로세스.
  13. 탄소, 수소, 또는 탄소 및 수소의 조합을 함유하고 저 k 유전체 재료를 포함하는 기판으로부터 포토레지스트 재료 및 에칭 후 잔여물을 제거하는 플라즈마 애싱 프로세스에 있어서:
    본질적으로 산소가 없고 수소가 없는 가스 혼합물로부터 소소 및 헬륨을 포함하는 플라즈마를 형성하는 단계;
    프로세스 챔버 내로 상기 플라즈마를 흐르게 하는 단계로서, 상기 프로세스 챔버는 상기 플라즈마와 유체 연통되어 있는 배플 판 어셈블리를 포함하고, 상기 배플 판 어셈블리는 일반적으로 평면의 하부 배플 판 위에 고정적으로 위치되는 일반적으로 평면의 상부 배플 판을 포함하며, 상기 하부 배플 판은 중심 축을 중심으로 배치된 다수의 개구를 포함하고, 상기 다수의 개구는 중심 축으로부터 상기 하부 배플 판의 외부 에지로 갈수록 밀도가 증가하는, 상기 플라즈마를 흐르게 하는 단계;
    산소 플라즈마를 상기 프로세스 챔버 내로 도입함으로써 상기 프로세스 챔버를 주기적으로 클리닝하는 단계; 및
    상기 상부 배플 판의 중심 충돌 영역에서, 그리고 상기 중심 충돌 영역을 중심으로 냉각 가스를 흐르게 함으로써 상기 배플 판 어셈블리를 냉각시키는 단계를 포함하는 플라즈마 애싱 프로세스.
  14. 제13항에 있어서,
    상기 배플 판 어셈블리를 통해 냉각 가스를 흐르게 하는 단계는 표준 온도 조건에서 분당 15리터 내지 분당 100리터의 흐름 레이트인 것을 특징으로 하는 플라즈마 애싱 프로세스.
  15. 제13항에 있어서,
    상기 배플 판 어셈블리를 통해 냉각 가스를 흐르게 하는 단계는 플라즈마 흐름에 역 방향인 것을 특징으로 하는 플라즈마 애싱 프로세스.
  16. 제13항에 있어서,
    상기 냉각 가스는 불활성 가스인 것을 특징으로 하는 플라즈마 애싱 프로세스.
  17. 제13항에 있어서,
    상기 냉각 가스는 헬륨, 아르곤, 수소 및 이의 혼합물로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 플라즈마 애싱 프로세스.
  18. 플라즈마를 수용하도록 적응되는 프로세스 챔버에 있어서:
    일반적으로 평면의 하부 배플 판 상에 위치되는 일반적으로 평면의 상부 배플 판을 포함한 배플 판 어셈블리를 포함하며, 상기 하부 배플 판 및 상기 하부 배플 판은 상기 프로세스 챔버의 벽과 열적으로 연통되어 있는 적어도 하나의 열 전도성 스탠드오프를 포함하는 플라즈마를 수용하도록 적응되는 프로세스 챔버.
  19. 제18항에 있어서,
    상기 프로세스 챔버의 벽은 수-냉되는 것을 특징으로 하는 플라즈마를 수용하도록 적응되는 프로세스 챔버.
  20. 제18항에 있어서,
    상기 상부 배플 판은 열 전도성 재료로 형성되는 것을 특징으로 하는 플라즈마를 수용하도록 적응되는 프로세스 챔버.
  21. 제18항에 있어서,
    상기 상부 배플 판은 알루미늄으로 형성되는 것을 특징으로 하는 플라즈마를 수용하도록 적응되는 프로세스 챔버.
  22. 제18항에 따른 프로세스 챔버를 포함하는 다운스트림 플라즈마 애셔.
KR1020077007512A 2004-09-01 2005-09-01 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치 KR101170861B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US60636004P 2004-09-01 2004-09-01
US60/606,360 2004-09-01
PCT/US2005/031492 WO2006026765A2 (en) 2004-09-01 2005-09-01 Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means

Publications (2)

Publication Number Publication Date
KR20070060104A true KR20070060104A (ko) 2007-06-12
KR101170861B1 KR101170861B1 (ko) 2012-08-03

Family

ID=35448183

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077007512A KR101170861B1 (ko) 2004-09-01 2005-09-01 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치

Country Status (7)

Country Link
US (1) US7449416B2 (ko)
EP (1) EP1784690A2 (ko)
JP (2) JP5115798B2 (ko)
KR (1) KR101170861B1 (ko)
CN (2) CN101053063B (ko)
TW (1) TWI376748B (ko)
WO (1) WO2006026765A2 (ko)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100911990B1 (ko) * 2007-07-04 2009-08-13 삼성모바일디스플레이주식회사 발광표시장치의 제조방법
KR20140071402A (ko) * 2011-09-01 2014-06-11 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US12040181B2 (en) 2019-07-03 2024-07-16 Lam Research Corporation Modulated atomic layer deposition

Families Citing this family (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US7381651B2 (en) * 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US7807579B2 (en) * 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
JP5547878B2 (ja) * 2008-06-30 2014-07-16 株式会社日立ハイテクノロジーズ 半導体加工方法
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US8980751B2 (en) * 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012148370A1 (en) 2011-04-27 2012-11-01 Axcelis Technologies, Inc. Substantially non-oxidizing plasma treatment devices and processes
US20130298942A1 (en) * 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102187121B1 (ko) * 2019-04-30 2020-12-07 피에스케이 주식회사 기판 처리 장치
JP7340396B2 (ja) * 2019-09-24 2023-09-07 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN111198482B (zh) * 2020-01-06 2023-10-24 长江存储科技有限责任公司 光刻胶去除装置及方法
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置
CN113053787A (zh) * 2021-03-07 2021-06-29 曹生们 一种等离子干法去胶用热盘装置
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
JPH0590214A (ja) * 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
US5498308A (en) 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
US6342135B1 (en) * 1995-11-02 2002-01-29 Taiwan Semiconductor Manufacturing Company Sputter etching chamber with improved uniformity
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5980638A (en) 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6057645A (en) 1997-12-31 2000-05-02 Eaton Corporation Plasma discharge device with dynamic tuning by a movable microwave trap
JP2000345348A (ja) * 1999-06-03 2000-12-12 Ulvac Japan Ltd 成膜方法
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6646223B2 (en) 1999-12-28 2003-11-11 Texas Instruments Incorporated Method for improving ash rate uniformity in photoresist ashing process equipment
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR20020051670A (ko) * 2000-12-23 2002-06-29 윤종용 식각장치의 샤워헤드
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6964919B2 (en) 2002-08-12 2005-11-15 Intel Corporation Low-k dielectric film with good mechanical strength
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100911990B1 (ko) * 2007-07-04 2009-08-13 삼성모바일디스플레이주식회사 발광표시장치의 제조방법
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR20140071402A (ko) * 2011-09-01 2014-06-11 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US12040181B2 (en) 2019-07-03 2024-07-16 Lam Research Corporation Modulated atomic layer deposition

Also Published As

Publication number Publication date
US7449416B2 (en) 2008-11-11
JP2008512004A (ja) 2008-04-17
EP1784690A2 (en) 2007-05-16
JP2012191242A (ja) 2012-10-04
WO2006026765A2 (en) 2006-03-09
CN102610481A (zh) 2012-07-25
KR101170861B1 (ko) 2012-08-03
TW200611335A (en) 2006-04-01
WO2006026765A3 (en) 2006-06-29
CN101053063A (zh) 2007-10-10
TWI376748B (en) 2012-11-11
US20060046470A1 (en) 2006-03-02
JP5115798B2 (ja) 2013-01-09
CN102610481B (zh) 2016-04-13
CN101053063B (zh) 2012-10-03

Similar Documents

Publication Publication Date Title
KR101170861B1 (ko) 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치
US20060228889A1 (en) Methods of removing resist from substrates in resist stripping chambers
KR100849707B1 (ko) 탄소-도우핑된 저유전체들의 선택적 식각
US7202176B1 (en) Enhanced stripping of low-k films using downstream gas mixing
US6617257B2 (en) Method of plasma etching organic antireflective coating
JP5086083B2 (ja) 基板を処理するための方法
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
JP5122966B2 (ja) 表面波プラズマソース
JP4861329B2 (ja) 基板を処理するためのプラズマ処理システム
KR101127714B1 (ko) 플라즈마 처리 장치 및 플라즈마 애싱 방법
US20090053895A1 (en) Film forming method of porous film and computer-readable recording medium
KR20040028717A (ko) 오가노실리케이트 글래스 저유전율 에칭용 o₂및nh₃함유 후기-에칭 포토레지스트 스트립
US9263283B2 (en) Etching method and apparatus
US8404596B2 (en) Plasma ashing method
US20050106875A1 (en) Plasma ashing method
US7584714B2 (en) Method and system for improving coupling between a surface wave plasma source and a plasma space
JPH05275326A (ja) レジストのアッシング方法
JPH05347282A (ja) アッシング装置及びその処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150708

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160714

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170713

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180713

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190712

Year of fee payment: 8