KR20040028717A - 오가노실리케이트 글래스 저유전율 에칭용 o₂및nh₃함유 후기-에칭 포토레지스트 스트립 - Google Patents

오가노실리케이트 글래스 저유전율 에칭용 o₂및nh₃함유 후기-에칭 포토레지스트 스트립 Download PDF

Info

Publication number
KR20040028717A
KR20040028717A KR10-2003-7010533A KR20037010533A KR20040028717A KR 20040028717 A KR20040028717 A KR 20040028717A KR 20037010533 A KR20037010533 A KR 20037010533A KR 20040028717 A KR20040028717 A KR 20040028717A
Authority
KR
South Korea
Prior art keywords
strip
wafer
photoresist
dielectric
plasma
Prior art date
Application number
KR10-2003-7010533A
Other languages
English (en)
Other versions
KR100880134B1 (ko
Inventor
라오브이. 안나프라가다
이안제이. 모리
척더블유. 호
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20040028717A publication Critical patent/KR20040028717A/ko
Application granted granted Critical
Publication of KR100880134B1 publication Critical patent/KR100880134B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Glass Compositions (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 에칭 장치내에 형성되는 플라즈마에 의해 구현되는 반응을 이용하여, 한개 이상의 유전체층으로 형성되는 반도체 웨이퍼로부터 포토레지스트를 벗겨내는 프로세스(strip process)를 소개한다. 이 스트립 프로세스는 다른 집적 회로 제작 프로세스에 비해 제자리에서(in situ) 또는 반응기를 바꾸어가며(ex situ) 형성될 수 있다. 이 반응은 산화적 속성을 띨 수도 있고, 환원적 속성을 띨 수도 있다. 본 발명에 의해 고려되는 산화적 반응은 산소 플라즈마를 이용한다. 환원적 반응은 암모니아 플라즈마를 이용한다. 여기서 소개되는 방법은 공지된 스트립 방법에 비해 OSG 유전체에 손상을 덜 일으키면서 애시 속도(ash rate)가 더 빠른 특징을 가진다.

Description

오가노실리케이트 글래스 저유전율 에칭용 O₂및 NH₃함유 후기-에칭 포토레지스트 스트립{POST-ETCH PHOTORESIST STRIP WITH 02 AND NH3 FOR ORGANOSILICATE GLASS LOW-K DIELECTRIC ETCH APPLICATIONS}
집적 회로는 반도체 구조물의 여러 층 위의 전도 라인들을 절연시키기 위해 이산화규소(SiO2)로부터 형성되는 유전층들을 이용한다. 반도체 회로가 점점 고속화되고 소형화됨에 따라, 동작 주파수가 증가하고 반도체 소자 내 전도 라인간 거리가 감소하고 있다. 이로 인해, 회로에 대한 결합 커패시턴스가 증가하며, 이는 반도체 소자의 동작 속도를 저하시키는 단점을 보인다. 따라서, 이러한 결합 커패시턴스 증가에 대하여 전도 라인들을 효과적으로 절연시킬 수 있는 유전층들을 이용하는 것이 중요해지고 있다.
일반적으로, 집적 회로의 결합 커패시턴스는 유전층을 형성하는 데 사용되는 물질의 유전율 k에 정비례한다. 상술한 바와 같이, 기존 집적 회로의 유전층들은 통상적으로 SiO2로 만들어지며, 그 유전율은 4.0이다. 반도체 소자에서 선밀도와 동작 주파수를 증가시킨 결과, SiO2로 만들어지는 유전층들은 결합 커패시턴스 증가를 방지하기 위해 요구되는 요건까지 전도 라인을 효과적으로 절연시키지 못할 수 있다.
집적 회로에서 결합 커패시턴스 크기를 감소시키려는 노력에서, 반도체 산업은 SiO2보다 더 낮은 유전율을 가지는 물질들을 개발하려고 시도하고 있고, 이 물질들은 물론 집적 회로의 유전층 형성에 사용하기 적합하여야 할 것이다. 오늘날까지, 저유전율 물질이라 불리는 수많은 물질들이 제시되었다. 이 새로운 유전체 중 한가지가 유기화합물이다. 명세서 및 청구범위에서 저유전율 물질은 유전상수 k가 3보다 작은 물질로 정의된다.
저유전율 물질은 벤조시클로부텐(BCB)(1), 미국, 미네소타, Minneapolis 소재 Honeywell, Inc.의 자회사인 뉴저지, Morristown 소재 Allied Signal 사가 제작한 Flare(2), 미국, 코네티컷, Danbury 소재 Union Carbide Corporation 사의 Parylene dimers(3), 폴리테트라플루로에틸렌(PTFE)(4), 그리고 SiLK(5)를 포함한다. 그러나 이에 한정되지는 않는다. 집적 회로 유전체로 사용하기 적합한 한가지 PTFE는 미국, 델라웨어, Newark 소재 W.L.Gore&Associates,Inc. 사의 SPEEDFILM이 있고, 미국, 미시간, Midland 소재 Dow Chemical Company 사의 SiLK는 실리콘이 없는 BCB이다.
유기질 저유전율 물질 중 한가지 관심을 모으는 종류는 오가노실리케이트 글래스(organosilicate glass; OSG)를 포함하는 화합물이다. 예를 들어, 이러한 오가노실리케이트 유전체는 미국, 캘리포니아, San Jose 소재 Novellus 사의 CORAL(1), 미국, 캘리포니아, Santa Clara 소재 Applied Materials 사의 Black Diamond(2), 미국, 캘리포니아, Santa Clara 소재 Sumitomo Chemical America, Inc. 사의 Sumika Film(3), 그리고 미국, 뉴저지, Morristown 소재 Allied Signal 사의 HOSP(4)를 포함한다. 오가노실리케이트 글래스 물질은 이산화규소 격자에 탄소 및 수소 원자가 들어간 것으로 재료의 유전율을 낮춘다.
반도체 웨이퍼 처리 중, 반도체 소자의 특징부들은 공지된 패턴처리 및 에칭 절차를 이용하여 웨이퍼에 형성된다. 이 공정들에서, 포토레지스트(PR) 물질이 웨이퍼 상에 증착되고 레티클(reticle)에 의해 필터링되도록 노출된다. 이 레티클은 일례의 특징 형태들을 가지며 패턴처리되는 글래스판으로서, 레티클을 통한 광의 투과를 차단시킨다.
레티클 투과 후, 광은 포토레지스트 물질의 표면과 접촉한다. 광은 포토레지스트 물질의 화학적 조성을 변화시켜서, 현상액(developer)이 포토레지스트 물질의 일부를 제거할 수 있도록 한다. 양성 포토레지스트 물질의 경우 노출된 영역들이 제거되며, 음성 포토레지스트 물질의 경우 노출되지 않은 영역들이 제거된다. 그후 웨이퍼는 더 이상 포토레지스트 물질에 의해 보호되지 않은 영역으로부터 하부 물질을 제거하도록 에칭되며, 따라서 웨이퍼에 요망 특징부들을 형성한다. 저유전율유기질 폴리머는 일반적으로 산화(가령, 산소-기반)나 환원(가령, 수소-기반) 화학 처리에 의해 에칭될 수 있다. OSG 유전체는 산화물 에칭 화학물질과 일부 유사한 화학물질을 이용하여 에칭되는 것이 바람직할 수 있다.
유전체의 에칭은 DFC(dual-frequency capacitively-coupled) 유전체 에칭 시스템에서 실현되는 것이 바람직할 수 있다. 이러한 시스템 중 한가지로, 미국, 캘리포니아, Fremont 소재 Lam Research Corporation 사의 Lam Research model Exelan HP가 있다. Exelan HP 시스템은 매우 포괄적인 유전체 에칭 포트폴리오를 처리한다. 이 절차는 접점 및 바이어(contacts and vias), 양방향 접점(bi-level contacts), 무경계 접점(borderless contacts), 질화물 및 산화물 스페이서(nitride and oxide spacers), 그리고 부동태부(passivation)를 포함한다.
4520XLe같은 진보된 에칭 시스템은 동일한 시스템에서 여러 처리과정을 수행한다. 단일 시스템에서 여러 다른 반도체 제작 단계들을 수행함으로서, 웨이퍼 생산성이 증가될 수 있다. 좀더 개량된 시스템들은 동일한 장비 내에서 추가적 단계들을 수행할 수 있다. 또다시 예를 들자면, Lam Research Corporation 사의 Exelan 시스템은 단일 장치에서 여러 프로세스 단계들을 실행할 수 있는 건식 에칭 시스템이다. Exelan은 하드마스크 오픈, 무기 및 유기 반사방지 코팅 에칭, 그리고 포토레지스트 스트립을 단일 챔버 내에서 "그 자리에서(in situ)" 실행할 수 있다. 이 시스템의 확장형 프로세스 포트폴리오는 0.18 미크론 이하의 환경에서 요구되는 저유전율 유전체와 도핑 및 도핑되지 않은 산화물에서의 부동태 에칭, 스페이서, 바이어, 접점, 등 모든 듀얼 다마신 구조물(dual damascene structures)을 포함한다.물론, 여기서 열거된 원리들은 다양한 종류의 반도체 제작 시스템에서 구현될 수 있고, 이 원리들은 모든 이러한 대안들을 구체적으로 고려한다.
여기서 사용되는 "그 자리에서(in situ)"라는 용어는 반도체 제작 장비로부터 기판을 제거하지 않으면서 제작 장비의 동일한 조각에서 주어진 기판, 가령, 실리콘 웨이퍼 상에 수행되는 한개 이상의 프로세스를 의미한다.
반도체 소자 제작 중에는, 소자를 구성하는 여러 필름층의 반복된 패턴처리, 에칭, 그리고 증착 동안, 에칭이나 증착 단계에 이어지는 패턴처리된 포토레지스트를 제거할 필요가 있다. 현대의 반도체 제작자에게 필요한 높은 생산성을 얻기 위해 수많은 포토레지스트 제거 기술 및 방법들이 실현되었으나, 에칭 장비 내에서 반도체 웨이퍼로부터 포토레지스트를 벗겨내는(strip)하는 것이 상당히 필요하다.
OSG 물질이 기본적으로 유기질로 도핑된 산화물이기 때문에, 대부분의 현 포토레지스트 물질은 OSG 물질의 유기 성분과 유사한 화학적 특성을 가지려 한다. 추가적으로, O2스트립(strip)을 이용한 자리를 벗어난(ex situ) 현재의 프로세스들은 섭씨 200도 이상의 온도로 기판이 가열될 수 있는 다운스트림 플라즈마를 이용할 수 있다. 따라서, 웨이퍼 표면의 상판(cap plate)으로부터 포토레지스트같은 유기 물질을 제거하기 위해 공지된 산소-기반 방법을 이용할 때, 섭씨 200도 이상에서의 공지된 O2스트립 프로세스는 웨이퍼 표면으로부터 유기 물질 및 수소를 제거할 수 있는 능력을 가질 뿐 아니라, 에칭된 특징부의 측벽이나 그 외 다른 노출면으로부터 유기 물질을 제거(이롭지 못한 해로운 과정)할 가능성이 있다. 더욱이, OSG 물질은 고온에서 산소 플라즈마에 노출될 때 산화를 일으키기 쉽다. 산소는 OSG 필름으로부터 탄소 및 수소를 제거하여, 필름을 불안정하게 하고 필름의 유전율을 증가시키게 한다. 물론, O2는 대부분의 다른 스트립 화학물질보다 유기질 포토레지스트에 대하여 더 우수한 스트립 속도를 제공한다.
따라서, 산소-기반 스트립 프로세스를 이용하여 OSG 물질의 건식 포토레지스트 스트립 공정을 실행하면서도 이 스트립 화학무질에 관련하여 앞서 설명한 해로운 효과가 없는 방법이 요구된다.
다운스트림 마이크로웨이브 플라즈마 애셔(downstream microwave plasma ashers)에 의해 이용되는 한가지 방법은 상기 장비들을 OSG와 호환시키기 위해 N2/H2를 이용한다. 이 방법에 대한 주된 제약은 스트립 속도가 낮고 스트립을 제자리에서 실행하지 못한다는 점이다(즉, ex situ).
따라서, 산소 스트립 방법에 관련된 구리 손상 문제점없이, 상술한 산소-기반 스트립 프로세스와 유사한 스트립 속도의 구리-함유 장치와 함께 이용하기 위한 포스트-에치 스트립 방법이 추가적으로 요구된다. 이 방법은 오늘날의 높은 생산성에 부합하도록 높은 스트립 속도를 보여야할 것이며, 따라서, 최종 사용자에 의한 장비 구매의 총비용을 낮추어야 한다.
높은 웨이퍼 생산량을 유지하기 위해, 웨이퍼 제작에 사용되는 제작 장비 내에서 "그 자리에서(in situ)", 실행될 수 있는 방법이 또한 바람직하다.
마지막으로, 필름 표면에 남아있는 어떤 포스트-스트립 잔류물이 없거나 최소한으로만 남도록 프로세스가 실행될 수 있는 것이 바람직하다.
본 발명은 반도체나 집적 회로 제작에 관한 것이다. 특히, 본 발명은 집적 회로 제작 중 웨이퍼로부터 포토레지스트를 벗겨내는 것에 관한 것이다. 좀더 자세히 말하자면, 본 발명은 웨이퍼로부터 오가노실리케이트 글래스 유전체를 벗겨냄으로서 상기 오가노실리케이트 글래스 유전체의 한개 이상의 층을 포함하는 반도체 장치의 제작에 관한 것이다.
도 1은 본 발명의 순서도.
도 2는 본 발명의 선호되는 실시예에 사용될 수 있는 플라즈마 공정 챔버의 도식적 도면.
본 발명은 에칭 장치내에 형성되는 플라즈마에 의해 구현되는 반응을 이용하여, 한개 이상의 유전체층으로 형성되는 반도체 웨이퍼로부터 포토레지스트를 벗겨내는 프로세스(strip process)를 소개한다. 이 스트립 프로세스는 다른 집적 회로 제작 프로세스에 비해 제자리에서(in situ) 또는 반응기를 바꾸어가며(ex situ) 형성될 수 있다. 이 반응은 산화적 속성을 띨 수도 있고, 환원적 속성을 띨 수도 있다. 본 발명에 의해 고려되는 산화적 반응은 산소 플라즈마를 이용한다. 환원적 반응은 암모니아 플라즈마를 이용한다. 여기서 소개되는 방법은 공지된 스트립 방법에 비해 OSG 유전체에 손상을 덜 일으키면서 애시 속도(ash rate)가 더 빠른 특징을 가진다. 이러한 프로세스에서, 반도체 웨이퍼의 온도는 섭씨 -10도에서 +60도 사이로 유지될 수 있다.
본 발명은 에칭 장치 내에 형성되는 플라즈마에 의해 실현되는 반응을 이용하여, 한개 이상의 OSG 유전체층과 함께 형성되는 반도체 웨이퍼로부터 포토레지스트를 벗겨내는(stripping) 제자리 프로세스(in situ process), 또는 자리바꿈식 프로세스(ex situ process)를 소개한다. 스트립 프로세스는 다른 집적 회로 제작 프로세스에 대해 제자리에서, 또는 자리바꿈식으로 형성될 수 있다. 이 반응은 산화적 속성일 수도 있고 환원적 속성을 띨 수도 있다. 본 발명에 의해 고려되는 산화 반응은 산소 플라즈마를 이용한다. 환원 반응은 암모니아 플라즈마를 이용한다.
도 1에서 발명의 한 실시예에 따르면, 한개 이상의 오가노실리케이트 유전체층을 포함하는 반도체 웨이퍼로부터 포토레지스트를 벗겨내는 방법(100)이 제시된다. 한개 이상의 OSG층과 함께 형성되는 반도체 웨이퍼는 DFC(Dual-Frequency Capacitively-Coupled) 유전체 에칭 시스템의 반응 용기 내에 위치한다. 설명을 돕기 위해 도 2는 발명의 선호되는 실시예에 사용될 수 있는 플라즈마 공정 챔버(200)의 도식적 도면이다. 플라즈마 공정 챔버(200)는 한정 고리(202), 상부 전극(204), 하부 전극(208), 기체 소스(216), 배기 펌프(220)를 포함한다. 플라즈마 공정 챔버(200) 내에는 반도체 웨이퍼(224)가 하부 전극(208) 위에 놓인다. 하부 전극(208)은 반도체 웨이퍼(224)를 보지하기 위해 적절한 기판 척 메커니즘을 포함한다(가령, 정전 척, 기계적 조임식 척, 등). 반응 탑(reaction top)(228)은 하부 전극(208)에 직접 마주보도록 배치되는 상부 전극(204)을 포함한다. 상부 전극(204), 하부 전극(208), 그리고 한정 고리(202)는 한정된 플라즈마 공간(240)을 형성한다. 기체가 기체 소스(216)에 의해 한정된 플라즈마 공간(240)에 공급되고, 한정된 플라즈마 공간(240)으로부터 한정 고리(202)와 배기 포트를 통해 배기 펌프(220)에 의해 배출된다. 제 1 RF 소스(244)가 상부 전극(204)에 전기적 연결된다. 제 2 RF 소스(248)는 하부 전극(208)에 전기적 연결된다. RF 전력을 전극에 연결하는 데 있어 여러 다른 조합들이 가능하다. 미국, 캘리포니아, Fremont 소재 Lam Research Corporation 사의 Lam Research model Exelan HP의 경우에, 두 RF 소스가 모두 하부 전극에 연결되고 상부 전극은 접지된다. 챔버 벽(252)은 한정 고리(202), 상부 전극(204), 하부 전극(208)을 둘러싼다. 제 1 RF 소스(244)와 제 2 RF 소스(248)는 27MHz 전원과 2MHz 전원을 포함할 수 있다. 상부 전극(204)과 하부 전극(208)은 1.35cm만큼 이격되는 것이 바람직하며, 2.0cm 까지의 간격을 가질 수 있다. 냉각기(chiller)(260)가 하부 전극(208)에 연결될 수 있다. 냉각기(cooler)(264)는 웨이퍼(224)의 후면에 연결될 수 있다. 냉각기(cooler)(264)는 냉각을 위해 헬륨을 이용할 수 있다. 웨이퍼(224)는 포토레지스트 마스크(270) 하에서 한개 이상의 OSG 유전체층(268)으로 덮히는 기판(266)일 수 있다. 웨이퍼(224)는 구리 접점(272)일 수 있다.
단계 102에서, 포토레지스트 마스크(270)를 이용한 한개 이상의 반도체 제작 단계가 웨이퍼(224) 상에서 실행된다. 예를 들어, 이러한 제작 단계는 포토레지스트 패턴처리, 에칭, 그리고 증착을 포함한다. 이 제작 단계에 이어 단계 104에서는 반응 용기 내에 포토레지스트 스트립 기체가 유입된다. 제 1 RF 소스(244)와 제 2 RF 소스(248)에 의해 에너지가 제공될 수 있다. 이들은 웨이퍼(224) 위에서 스트립 기체로부터 플라즈마를 형성하는 기체를 여기시키고 이온화한다(단계 106). 플라즈마는 웨이퍼(224) 상에서 한정된 플라즈마 공간(240)에 부분적으로 한정된다. 이곳에서, 오가노실리케이트 유전체에 손상을 입히지 않고 오가노실리케이트의 유전체 성질을 저하시키지도 않으면서 플라즈마가 웨이퍼 위의 포토레지스트를 벗겨낼 수있다(단계 108). 플라즈마는 그후 한정 고리(202)를 지나 배기 펌프(220)로 빠져나간다. 한정 고리(202)는 챔버 벽(252)과의 플라즈마 상호작용을 감소시킨다. 포토레지스트 스트립 기체는 비활성의 희석제(가령, 질소)와 활성 스트립 에이전트를 포함하는 기체 혼합물이다. 본 발명에 따르면, 이 활성 스트립 에이전트는 산소나 암모니아 중 하나이다. 같은 기능을 가지는 대안의 희석제도 물론 가능하다. 스트립 기체 내 스트립 에이전트의 농도는 장치에 따라 다르다. 이에 대하여는 나중에 설명한다. 냉각기(chiller)(260)와 냉각기(cooler)는 웨이퍼 온도는 섭씨 -10도 내지 섭씨 60도로 유지하는 데 사용될 수 있다. 바람직한 웨이퍼 유지 온도는 -10 ~ 40도이며, 가장 바람직한 온도는 섭씨 20도이다. 단계 108에서 스트립 처리를 완료한 후, 웨이퍼는 단계110에서 차후의 공정이나 제작을 위해 대기한다.
본 발명은 다양하고 폭넓은 반도체 설계를 위해 다양한 웨이퍼 적층구조에서 만족스런 결과를 얻을 수 있도록 다수의 공정 매개변수를 변화시킬 수 있다. 바람직한 효과, 시간당 생산량, 그리고 시스템 용량을 포함하는 여러 요소들이 요망 설계를 달성하기 위해 최적의 공정 매개변수 조합을 형성할 것이다. 각각의 조합은 본 발명에 의해 구체적으로 고려된다.
본 발명의 공정 매개변수 여러 가지를 변화시키는 효과를 조사하기 위해, 일련의 테스트 웨이퍼가 준비되고 처리되었다. 실리콘 테스트 웨이퍼들은 SiO나 SiC로 형성되는 장벽층과, 그 위에 증착된 OSG 유전체층으로 구성되는 웨이퍼 적층구조를 포함한다. 패턴처리되는 원자외선 포트레지스트 층이 OSG 유전체 위에 공급되었다. 테스트된 한가지 유전체는 Novellus의 Coral이다. 원자외선 포토레지스트는 미국, 로드 아일랜드, North Kingstown 소재 Arch Chemical, Inc. 사의 제품이다. 테스트된 또다른 유전체는 미국, 캘리포니아, Santa Clara 소재 Applied Materials 사의 Black Diamond였다. 바이어 및 트렌치를 포함한 특징부들이 테스트 웨이퍼에서 에칭되었다. 이 테스트 웨이퍼들의 설계는 실험용일 뿐이다. 당 분야에 잘 알려진 바와 같이, 기판과 OSG 층 사이에, 그리고 OSG 및 포토레지스트층 사이에 다른 층들이 삽입될 수 있다. 대안의 필름 및 필름 두께는 요망 설계 목적을 얻기 위해 같은 기능으로 구현될 수 있다. 이러한 한가지 필름은 포토레지스트 아래 증착되는 하드 마스크이다. 이 대안들 각각은 본 발명에 의해 역시 고려된다.
테스트 웨이퍼 각각은 Lam Research model 4520XLE DFC(Dual-Frequency Capacitively-Coupled) 유전체 에칭 시스템이나 그 외 다른 적절한 장비같은 반도체 제작 시스템의 반응 용기나 챔버에 놓였다. 실제 집적 회로 제작시에는, 이시점에서 한개 이상의 반도체 제작 단계들이 부가적으로 실행되었다. 이 단계들은 에칭, 증착, 평탄화 처리, 도핑, 금속화 처리, 등을 포함한다.
그후 아래와 같이 실질적으로 모든 포토레지스트들이 웨이퍼로부터 벗겨졌다. 27MHz와 2MHz의 이중 주파수 전력이 시스템에 공급되었다. 앞서 설명한 활성 스트립 에이전트 중 하나를 포함하는 스트립 기체가 반응 챔버에 유입되어 플라즈마와 충돌하였다. 각각의 테스트를 실행한 후, 테스트 웨이퍼들은 퓨리에 변환 적외선 스펙트럼(Fourier Transform Infrared Spectroscopy; FTIR)에 의해 측정되는 OSG 유전체층의 탄소 함량 변화, 웨이퍼 표면에서 스트립 균일성, 스트립 속도, 스트립 형태를 결정하기 위해 테스트 웨이퍼들이 분석되었다. 그 결과, 다음의 선호되는 실시예들이 발견되었다. 이 선호되는 실시예들은 공지 기술의 N2/H2를 이용한 가장 잘 알려진 방법에 비교하여 한 예로 제시될 것이다.
예:
공지 기술의 예:
활성 스트립 에이전트로 H2를 포함하는 스트립 공정인 가장 잘 알려진 방법에서, Lam Research model Exelan HP DFC(Dual-Frequency Capacitively-Coupled) 유전체 에칭 시스템 내에 웨이퍼가 놓였다. 실질적으로 모든 포토레지스트들이 다음과 같이 웨이퍼로부터 스트립되었다. H2/N2스트립 기체가 반응 챔버 내로 유입되었다. 27MHz와 2MHz의 이중 주파수 전력이 시스템에 공급되었다. 고주파 전원이 27MHz에서 400W를 제공하였다. 저주파 전원이 2MHz에서 400W를 제공하였다. H2는 600sccm으로 챔버 내에 제공되었다. N2는 1900 sccm으로 챔버 내에 유입되었다. 챔버 압력은 470mTorr로 유지되었다. 이 공정으로부터, 테스트 유전체가 Coral일 때 FTIR에 의해 측정된 블랭킷 필름에서의 SiC/SiO 비의 변화는 5%였으며, 테스트 유전체가 Black Diamond일 경우 그 변화는 5.01%였다. 측정된 스트립 속도는 4,150 옹스트롬/분이었다.
O2 스트립의 예
가장 잘 알려진 방법에서, O2를 활성 스트립 에이전트로 사용하는 선호되는 실시예에 따라, Lam Research model Exelan HP DFC(Dual-Frequency Capacitively-Coupled) 유전체 에칭 시스템 내에 웨이퍼가 놓였다. 실질적으로 모든 포토레지스트들이 다음과 같이 웨이퍼로부터 스트립되었다. O2/N2스트립 기체가 반응 챔버 내로 유입되었다. 27MHz와 2MHz의 이중 주파수 전력이 시스템에 공급되었다. 고주파 전원이 27MHz에서 200W를 제공하였다. 저주파 전원이 2MHz에서 100W를 제공하였다. O2는 1,000sccm으로 챔버 내에 제공되었다. N2는 200 sccm으로 챔버 내에 유입되었다. 챔버 압력은 330mTorr로 유지되었다. 이 공정으로부터, 테스트 유전체가 Coral일 때 FTIR에 의해 측정된 블랭킷 필름에서의 SiC/SiO 비의 변화는 4.1%였으며, 테스트 유전체가 Black Diamond일 경우 그 변화는 3.6%였다. 측정된 스트립 속도는 10,600 옹스트롬/분이었다.
이 테스트들은 산소-기반 스트립 공정이 기존 수소-기반 스트립 공정보다 높은 스트립 속도로 실행될 수 있음을 보여주었다. 또한 OSG 탄소 함량 변화 비율이 작으며, OSG에 대한 손상도 최소한이었다.
본 발명에 따른 위 태양의 대안의 실시예들은 100W에서 2000W까지 고주파 전원 전력을 고려한다. 특히, 고주파 전원 전력은 50W에서 1000W까지일 수 있다. 특히 150W에서 500W까지일 수도 있고, 특별한 경우에는 175W에서 350W까지이다. 가장 특이한 경우 200W이다. 고주파 전원은 15MHz에서 40MHz까지의 주파수 범위를 가진다. 특히 고주파 전원은 20~35MHz의 주파수 범위를 가진다. 가장 선호되는 주파수 범위는 25~30MHz이다.
본 발명에 따른 태양의 대안의 실시예들은 0~2000 W 사이의 저주파 전원 전력을 고려한다. 특히, 저주파 전원 전력은 50~1000 W 사이의 범위를 가진다. 보다 특히, 저주파 전원의 전력 범위는 50~500W이다. 더욱 자세하게, 저주파 전원의 전력 범위는 75~350W이다. 가장 선호되는 저주파 전원의 전력은 100W이다. 저주파 전원의 주파수 범위는 0.3~10MHz이다. 선호되는 주파수 범위는 1~5MHz이며, 가장 선호되는 주파수 범위는 1.5~4MHz이다.
본 발명의 위 태양에 따른 대안의 실시예들에서는 희석제 기체의 유량이 50~2000 sccm이다. 특히, 희석제 유량은 100~1500 sccm이다. 더욱 특별한 경우, 희석제 유량은 150~500 sccm이고, 가장 특별한 경우의 유량은 200sccm이다.
본 발명에 따른 위 태양의 대안의 실시예들은 150~3500 sccm의 O2활성 스트립 에이전트를 또한 고려한다. 특히, O2의 유량은 500~2500 sccm이다. 보다 특별한 경우 유량은 700~1500 sccm이고, 가장 특별한 경우 1000 sccm이다.
마찬가지로, 대안의 실시예들은 50mTorr에서 1000 mTorr가지의 챔버 압력을 고려한다. 특히 100~500 mTorr의 압력이 고려된다. 특별한 경우, 압력 범위는 300~400mTorr이며, 가장 특별한 경우 330mTorr이다.
NH3 스트립의 예
가장 잘 알려진 방법에서, 활성 스트립 에이전트로 NH3를 포함하는 선호되는 실시예에 따르면, Lam Research model Exelan HP DFC(Dual-Frequency Capacitively-Coupled) 유전체 에칭 시스템 내에 웨이퍼가 놓였다. 실질적으로 모든 포토레지스트들이 다음과 같이 웨이퍼로부터 스트립되었다. NH3스트립 기체가 반응 챔버 내로 유입되었다. 27MHz와 2MHz의 이중 주파수 전력이 시스템에 공급되었다. 고주파 전원이 27MHz에서 600W를 제공하였다. 저주파 전원이 2MHz에서 어떤 전력도 제공하지 않았다. NH3는 1500sccm으로 챔버 내에 제공되었다. 챔버 압력은 350mTorr로 유지되었다. 이 공정으로부터, 테스트 유전체가 Coral일 때 FTIR에 의해 측정된 블랭킷 필름에서의 SiC/SiO 비의 변화는 2.5%였으며, 테스트 유전체가 Black Diamond일 경우 그 변화는 1.64%였다. 측정된 스트립 속도는 5,470 옹스트롬/분이었다.
이 테스트들은 암모니아-기반 스트립 공정이 기존 수소-기반 스트립 공정보다 높은 스트립 속도로 실행될 수 있음을 보여주었다. 또한 OSG 탄소 함량 변화 비율이 작으며, OSG에 대한 손상도 최소한이었다.
본 발명에 따른 위 태양의 대안의 실시예들은 100W에서 2000W까지 고주파 전원 전력을 고려한다. 특히, 고주파 전원 전력은 50W에서 1000W까지일 수 있다. 특별한 경우 50W에서 1000W까지일 수도 있고, 보다 특별한 경우 250~750W이다. 매우 특별한 경우 500~750W 사이이고, 가장 특별한 경우 600W이다. 고주파 전원은 15MHz에서 40MHz까지의 주파수 범위를 가진다. 특히 고주파 전원은 20~35MHz의 주파수 범위를 가진다. 가장 선호되는 주파수 범위는 25~30MHz이다.
본 발명에 따른 태양의 대안의 실시예들은 0~2000 W 사이의 2MHz 저주파 전원 전력을 고려한다. 특히, 저주파 전원 전력은 0~1000 W 사이의 범위를 가진다.보다 특히, 저주파 전원의 전력 범위는 0~500W이다. 더욱 자세하게, 저주파 전원의 전력 범위는 0~350W이다. 가장 특별한 경우, 저주파 전원의 전력은 0W이다. 저주파 전원의 주파수 범위는 0.3~10MHz이다. 선호되는 주파수 범위는 1~5MHz이며, 가장 선호되는 주파수 범위는 1.5~4MHz이다.
본 발명의 위 태양에 따른 대안의 실시예들에서는 활성 NH3스트리퍼의 유량이 150~3500 sccm이다. 특히, 500~2500 sccm이다. 더욱 특별한 경우, 750~2000 sccm이고, 가장 특별한 경우의 유량은 1500sccm이다.
본 발명에 따른 위 태양의 대안의 실시예들은 0~3500 sccm의 희석제 유량을 또한 고려한다. 특히, 0~500 sccm이다. 보다 특별한 경우 유량은 0~250 sccm이고, 가장 특별한 경우 0 sccm이다. 당 분야에 잘 알려진 대안의 희석제들이 사용될 수도 있다.
마찬가지로, 대안의 실시예들은 50mTorr에서 1000 mTorr가지의 챔버 압력을 고려한다. 특히 100~500 mTorr의 압력이 고려된다. 특별한 경우, 압력 범위는 300~400mTorr이며, 가장 특별한 경우 350mTorr이다.
NH3공정은 가장 잘 알려진 수소 스트립보다 손상이 적으며 스트립 속도가 우수하였다.
앞서 규정한 스트립 공정이 플라즈마를 이용하는 또다른 반도체 제작 단계, 가령, 에칭에 이어지는 경우, 여기서 규정된 방법들은 반응 용기 내에서 진공을 깨뜨릴 필요없이 가령 에칭 단계로부터 포토레지스트 스트립 단계로 전환할 수 있다.대신에, 본 발명의 공정은 기체 유입이 동일한 반응 용기 내에서 에칭 기체 유입으로부터 포토레지스트 스트립 기체 유입으로 변경되는 것을 고려한다.
부가적으로, 포토레지스트 스트립 실행을 위한 최적의 웨이퍼 온도 제공을 위해 웨이퍼 후면 냉각이 변경될 수 있다. 웨이퍼를 섭씨 60도 미만의 온도로 냉각시키면 포토레지스트 스트립 공정 중 OSG 층의 손상이 방지되는 효과가 있다고 알려져 있다. 특히, O2가 스트립 기체로 사용될 경우 그러하다. 또한, 한정 고리에 의해 제공되는 한정요소같은 플라즈마 한정 요소들이 O2나 NH3에칭에 통상적으로 관련된 입자 발생같은, 입자 발생을 방지하거나 감소시킨다고 믿어진다. 암모니아 스트립 공정은 집적 회로 소자 내 구리 성분을 산화시키지 않는다는 추가적인 장점을 가질 수 있다. 따라서, 이 공정을 구리-기반 집적 회로 제작에 보다 호환되도록 할 수 있다.

Claims (17)

  1. 한 개 이상의 오가노실리케이트 글래스 유전체층을 포함하는 웨이퍼로부터의 포토레지스트 스트립 방법으로서, 상기 방법은,
    - 반응 챔버 내에 웨이퍼를 위치시키고,
    - 반응 챔버 내에 스트립 기체를 유입시키며, 이때, 상기 스트립 기체는 활성 스트립 에이전트를 포함하고, 상기 활성 스트립 에이전트는 산소와 암모니아 중에서 선택되며, 그리고
    - 상기 스트립 기체를 이용하여 반응 챔버 내에 플라즈마를 형성하고, 따라서, 웨이퍼로부터 포토레지스트를 제거하는,
    이상의 단계를 포함하는 것을 특징으로 하는 포토레지스트 스트립 방법.
  2. 제 1 항에 있어서, 스트립 기체를 이용하는 상기 단계 동안 상기 웨이퍼를 섭씨 60도 미만으로 냉각시키는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  3. 제 2 항에 있어서, 상기 스트립 기체가 비활성 희석제를 추가로 포함하는 것을 특징으로 하는 방법.
  4. 제 3 항에 있어서, 상기 비활성 희석제가 질소인 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 플라즈마 고리로 플라즈마를 한정하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 상기 활성 스트립 에이전트가 암모니아인 것을 특징으로 하는 방법.
  7. 제 6 항에 있어서, 상기 웨이퍼는 한개 이상의 구리 접점을 포함하는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, 스트립 기체를 이용하는 상기 단계동안 웨이퍼 온도를 섭씨 60도 미만으로 냉각시키는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  9. 제 8 항에 있어서, 상기 스트립 기체가 비활성 희석제를 포함하는 것을 특징으로 하는 방법.
  10. 제 1 항에 있어서, 상기 비활성 희석제가 산소인 것을 특징으로 하는 방법.
  11. 제 10 항에 있어서, 스트립 기체를 이용하는 상기 단계 동안 웨이퍼 온도를 섭씨 60도 미만으로 냉각시키는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서, 상기 스트립 기체가 비활성 희석제를 추가로 포함하는 것을 특징으로 하는 방법.
  13. - 오가노실리케이트 글래스 위에 포토레지스트 마스크를 형성하고,
    - 웨이퍼를 반응 챔버 내에 위치시키며,
    - 스트립 기체를 상기 반응 챔버 내에 유입시키고, 이때, 상기 스트립 기체는 활성 스트립 에이전트를 포함하고, 상기 활성 스트립 에이전트는 산소와 암모니아 중에서 선택되며, 그리고
    - 상기 스트립 기체를 이용하여 반응 챔버 내에 플라즈마를 형성하고, 따라서, 웨이퍼로부터 포토레지스트를 제거하는,
    이상의 단계를 포함하는 방법에 의해 형성되는 웨이퍼 상에 오가노실리케이트 글래스의 한개 이상의 특징부를 포함하는 집적 회로.
  14. 제 13 항에 있어서, 스트립 기체를 이용하는 상기 단계동안 웨이퍼 온도를 섭씨 60도 미만으로 냉각시키는 단계를 추가로 포함하는 것을 특징으로 하는 집적 회로.
  15. 제 14 항에 있어서, 상기 스트립 기체가 비활성 희석제를 추가로 포함하는 것을 특징으로 하는 집적 회로.
  16. 제 15 항에 있어서, 상기 비활성 희석제가 질소인 것을 특징으로 하는 집적 회로.
  17. 제 16 항에 있어서, 상기 활성 스트립 에이전트의 유량이 150 내지 3500 sccm 사이인 것을 특징으로 하는 집적 회로.
KR1020037010533A 2001-02-12 2002-01-30 오가노실리케이트 글래스 저유전율 에칭용 o₂및nh₃함유 후기-에칭 포토레지스트 스트립 KR100880134B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/782,678 2001-02-12
US09/782,678 US6777344B2 (en) 2001-02-12 2001-02-12 Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
PCT/US2002/003329 WO2002065513A2 (en) 2001-02-12 2002-01-30 Photoresist strip with 02 and nh3 for organosilicate glass applications

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020087023487A Division KR20080096852A (ko) 2001-02-12 2002-01-30 오가노실리케이트 글래스 저유전율 에칭용 o₂및 nh₃함유 후기-에칭 포토레지스트 스트립

Publications (2)

Publication Number Publication Date
KR20040028717A true KR20040028717A (ko) 2004-04-03
KR100880134B1 KR100880134B1 (ko) 2009-01-23

Family

ID=25126834

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020087023487A KR20080096852A (ko) 2001-02-12 2002-01-30 오가노실리케이트 글래스 저유전율 에칭용 o₂및 nh₃함유 후기-에칭 포토레지스트 스트립
KR1020037010533A KR100880134B1 (ko) 2001-02-12 2002-01-30 오가노실리케이트 글래스 저유전율 에칭용 o₂및nh₃함유 후기-에칭 포토레지스트 스트립

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020087023487A KR20080096852A (ko) 2001-02-12 2002-01-30 오가노실리케이트 글래스 저유전율 에칭용 o₂및 nh₃함유 후기-에칭 포토레지스트 스트립

Country Status (6)

Country Link
US (1) US6777344B2 (ko)
KR (2) KR20080096852A (ko)
CN (1) CN100419972C (ko)
AU (1) AU2002250017A1 (ko)
TW (1) TW574603B (ko)
WO (1) WO2002065513A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008147756A2 (en) * 2007-05-24 2008-12-04 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7202177B2 (en) 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
JP4312630B2 (ja) * 2004-03-02 2009-08-12 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2008517466A (ja) * 2004-10-14 2008-05-22 セレリティ・インコーポレイテッド ウェハ温度制御のための方法およびシステム
US7253116B2 (en) * 2004-11-18 2007-08-07 International Business Machines Corporation High ion energy and reative species partial pressure plasma ash process
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
KR100641485B1 (ko) * 2004-12-28 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US7288488B2 (en) * 2005-05-10 2007-10-30 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7786011B2 (en) * 2007-01-30 2010-08-31 Lam Research Corporation Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
WO2009039551A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Method of removing photoresist
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
US7470616B1 (en) * 2008-05-15 2008-12-30 International Business Machines Corporation Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
CN101504917B (zh) * 2008-05-27 2011-08-31 深圳深爱半导体有限公司 防止vdmos管二次击穿的方法
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
CN102148152B (zh) * 2010-02-05 2012-11-21 中芯国际集成电路制造(上海)有限公司 高掺杂注入光刻胶的剥离工艺
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN102610493B (zh) * 2012-03-22 2015-08-26 上海华力微电子有限公司 一种去除无定形碳薄膜循环利用硅片的方法
CN104345581B (zh) * 2013-07-23 2018-07-31 中微半导体设备(上海)有限公司 一种等离子体去除光刻胶的方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US10192763B2 (en) * 2015-10-05 2019-01-29 Applied Materials, Inc. Methodology for chamber performance matching for semiconductor equipment
US10347498B2 (en) 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
US20170110336A1 (en) 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
CN109994375A (zh) * 2018-01-03 2019-07-09 联华电子股份有限公司 去除图案化光致抗蚀剂的方法
CN114823297B (zh) * 2022-04-19 2023-01-31 度亘激光技术(苏州)有限公司 光刻胶去除工艺及半导体制造工艺

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6294933A (ja) 1985-10-22 1987-05-01 Toshiba Corp ドライエツチング方法
US4885047A (en) * 1986-08-11 1989-12-05 Fusion Systems Corporation Apparatus for photoresist stripping
JPS6425419A (en) 1987-07-21 1989-01-27 Matsushita Electric Ind Co Ltd Etching
JPS6459820A (en) 1987-08-31 1989-03-07 Tokuda Seisakusho Dry etching
JPH0484414A (ja) 1990-07-27 1992-03-17 Sony Corp ドライエッチング方法
US5071485A (en) * 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US5262279A (en) * 1990-12-21 1993-11-16 Intel Corporation Dry process for stripping photoresist from a polyimide surface
US5877032A (en) 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US5741396A (en) 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
US5814563A (en) 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
KR19980064028A (ko) 1996-12-12 1998-10-07 윌리엄비.켐플러 금속의 사후 에칭 탈플루오르 저온 공정
JPH11150101A (ja) 1997-11-18 1999-06-02 Nec Corp 半導体装置の製造方法
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
TWI246633B (en) * 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6069091A (en) 1997-12-29 2000-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ sequential silicon containing hard mask layer/silicon layer plasma etch method
US5970376A (en) 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
JP3501937B2 (ja) 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6080531A (en) * 1998-03-30 2000-06-27 Fsi International, Inc. Organic removal process
US6352937B1 (en) * 1998-04-27 2002-03-05 Sony Corporation Method for stripping organic based film
JP3102409B2 (ja) * 1998-04-30 2000-10-23 日本電気株式会社 配線の形成方法及びプラズマアッシング装置
US6105588A (en) 1998-05-27 2000-08-22 Micron Technology, Inc. Method of resist stripping during semiconductor device fabrication
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6696366B1 (en) 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6194128B1 (en) 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6245663B1 (en) 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
US6342446B1 (en) 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
JP3657788B2 (ja) 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
JP2003526897A (ja) 1998-10-19 2003-09-09 アプライド マテリアルズ インコーポレイテッド 後続のエッチング中のマスキングとして有用な、またはダマシン構造に有用な、パターニングされた層のエッチング方法
US6310020B1 (en) * 1998-11-13 2001-10-30 Kao Corporation Stripping composition for resist
US6352918B1 (en) 1998-11-24 2002-03-05 United Microelectronics Corp. Method of forming inter-metal interconnection
US6337279B1 (en) * 1998-12-17 2002-01-08 United Microelectronics Corp. Method of fabricating a shallow trench isolation
US6169036B1 (en) * 1999-03-25 2001-01-02 Lucent Technologies Inc. Method for cleaning via openings in integrated circuit manufacturing
US6037255A (en) 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6235453B1 (en) 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
JP2001077086A (ja) 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US6265319B1 (en) 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6265320B1 (en) 1999-12-21 2001-07-24 Novellus Systems, Inc. Method of minimizing reactive ion etch damage of organic insulating layers in semiconductor fabrication
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
US6475922B1 (en) * 2000-04-25 2002-11-05 Koninklijke Philips Electronics N.V. Hard mask process to control etch profiles in a gate stack
US6797633B2 (en) * 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning
US6436808B1 (en) * 2000-12-07 2002-08-20 Advanced Micro Devices, Inc. NH3/N2-plasma treatment to prevent organic ILD degradation
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008147756A2 (en) * 2007-05-24 2008-12-04 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
WO2008147756A3 (en) * 2007-05-24 2009-01-29 Lam Res Corp In-situ photoresist strip during plasma etching of active hard mask
US8283255B2 (en) 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8912633B2 (en) 2007-05-24 2014-12-16 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask

Also Published As

Publication number Publication date
TW574603B (en) 2004-02-01
WO2002065513B1 (en) 2003-11-27
CN100419972C (zh) 2008-09-17
WO2002065513A2 (en) 2002-08-22
KR100880134B1 (ko) 2009-01-23
US20020111041A1 (en) 2002-08-15
WO2002065513A3 (en) 2003-10-23
CN1633701A (zh) 2005-06-29
KR20080096852A (ko) 2008-11-03
AU2002250017A1 (en) 2002-08-28
US6777344B2 (en) 2004-08-17

Similar Documents

Publication Publication Date Title
KR100880134B1 (ko) 오가노실리케이트 글래스 저유전율 에칭용 o₂및nh₃함유 후기-에칭 포토레지스트 스트립
KR100778260B1 (ko) 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스
US6841483B2 (en) Unique process chemistry for etching organic low-k materials
US6620733B2 (en) Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
KR101019931B1 (ko) 낮은 유전상수 물질에 대한 인-시튜 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스
KR100887911B1 (ko) 암모니아를 이용한 저유전율 유기 유전체 에칭 방법
KR20040017805A (ko) 유기 반사방지 코팅(arc)층의 에칭 방법
KR20070035506A (ko) 유기 물질을 균일하게 제거하기 위한 방법
US20030054656A1 (en) Method for manufacturing semiconductor device including two-step ashing process of N2 plasma gas and N2/H2 plasma gas
KR20030020439A (ko) 반도체 애플리케이션내의 nh3 플라즈마 디스커밍 및레지스트 박리
US7202177B2 (en) Nitrous oxide stripping process for organosilicate glass
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
US7041230B2 (en) Method for selectively etching organosilicate glass with respect to a doped silicon carbide

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130108

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140107

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150106

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160108

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170110

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180105

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190108

Year of fee payment: 11