CN1633701A - 用于有机硅酸盐玻璃低k介质腐蚀应用的用于o2和nh3的蚀刻后光刻胶剥除 - Google Patents

用于有机硅酸盐玻璃低k介质腐蚀应用的用于o2和nh3的蚀刻后光刻胶剥除 Download PDF

Info

Publication number
CN1633701A
CN1633701A CN02808158.7A CN02808158A CN1633701A CN 1633701 A CN1633701 A CN 1633701A CN 02808158 A CN02808158 A CN 02808158A CN 1633701 A CN1633701 A CN 1633701A
Authority
CN
China
Prior art keywords
wafer
divests
gas
dielectric
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN02808158.7A
Other languages
English (en)
Other versions
CN100419972C (zh
Inventor
R·V·安娜普拉加达
I·J·莫里
C·W·何
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1633701A publication Critical patent/CN1633701A/zh
Application granted granted Critical
Publication of CN100419972C publication Critical patent/CN100419972C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Glass Compositions (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供一种用于从形成有至少一层的OSG电介质的半导体晶片上剥除光刻胶的工艺。与其它集成电路制造工艺相应地原位或在外部构成剥除工艺。该工艺包括一种本质上为氧化反应或还原反应的反应。氧化反应利用氧等离子体。还原反应利用氨等离子体。本发明的工艺与以前公知的剥除方法相比产生更快的灰化速率且几乎对OSG电介质没有损伤。

Description

用于有机硅酸盐玻璃低K介质腐蚀应用的 用O2和NH3的蚀刻后光刻胶剥除
技术领域
本发明涉及半导体或集成电路的制造。更具体地,本发明涉及在集成电路制造期间从晶片剥除光刻胶。本发明还具体地涉及通过从晶片剥除电介质来制造半导体器件,该半导体器件包含至少一层有机硅酸盐玻璃电介质。
背景技术
集成电路利用典型由二氧化硅SiO2形成的电介质层以便使半导体结构的各种层上的各导线绝缘。随着半导体电路变得更快更紧凑,使得工作频率不断提高,半导体器件内部的各导线之间的距离不断降低。这样就导致了与电路耦合的电容的增加,耦合电容的增加存在使半导体器件的工作减慢的缺点。因此,利用能够使各导线有效地绝缘而不增加耦合电容量的电介质层变得更加重要。
通常,集成电路中的耦合电容与用于形成电介质层的材料的介电常数k成正比。如上所述,在常规集成电路中的电介质层传统地由SiO2形成,其介电常数为大约4.0。随着半导体器件中的线密度和工作频率的增加,由SiO2形成的电介质层就不能使各导线有效地绝缘至防止耦合电容增加所需的程度。
在减少集成电路中的耦合电容量的努力中,半导体工业致力于研究开发具有介电常数低于SiO2的介电常数的材料,这种材料适合于在集成电路中形成为电介质层。目前,已经开发出了有时称为“低k材料”的有希望的多种材料。这些新介质材料的大多数是有机化合物。在说明书和权利要求书中,低k材料定义为介电常数k小于3的材料。
低k材料包括,但并不特别限定为:苯并环丁烯(benzocyclobutene)或BCB;由Allied Signal of Morristown,NJ,a division of Honeywell,Inc.,Minneapolis,MN制造的FlareTM;由Union Carbide Corporation,Danbury CT可获取的一种或多种聚对亚苯基二甲基二聚体(parylene dimers);聚四氟乙烯或PTFE;以及SiLK。适合于IC电介质应用的一种PTFE是由W.L.Gore& Associates,Inc,Newark,DE可获取的SPEEDFILMTM。由DowChemical Company,Midland,Michigan可获取的SiLK是一种无硅BCB。
感兴趣的一类有机低k材料是包含有机硅酸盐玻璃或OSG的化合物。作为实施例,但并不限于此,这种有机硅酸盐电介质包含Novellusof San Jose,CA制造的CORALTM;由Applied Materials of SantaClara,CA可获取的Black DiamondTM;由Sumitomo ChemicalAmerica,Inc.,Santa Clara,CA可获取的Sumika Film;以及由Allied Signal of Morristown,NJ可获取的HOSPTM。有机硅酸盐玻璃材料具有并入二氧化硅晶格中的碳和氢原子,这样降低了该材料的介电常数。
在半导体晶片处理期间,利用公知的构图和蚀刻工艺在晶片中限定出半导体器件的特征(feature)。在这些工艺中,在晶片上淀积光刻胶(PR)材料、然后用通过掩模板(reticle)过滤的光对光刻胶进行曝光。掩模板通常为构图有典型特征的几何图形的玻璃板,该典型特征的几何图形阻挡光线穿过掩模板传播。
在光穿过掩模板之后,光就接触光刻胶材料的表面。光改变光刻胶材料的化学组分,使得曝光机可以去除光刻胶材料的一部分。在正性光刻胶材料的情况下,去除曝光部分;在负性光刻胶材料的情况下,去除非曝光部分。此后,蚀刻晶片以便去除不再受光刻胶材料保护的区域的下面的材料,由此在晶片中确定出所需的特征。通常,通过氧化(例如,基于氧的氧化)或还原(例如,基于氢的还原)化学工艺就可以蚀刻低k有机聚合物。使用与氧化物蚀刻化学方法有些类似的化学方法有利于蚀刻OSG电介质。
双频电容耦合(DFC)电介质腐蚀系统有利于进行电介质的蚀刻。这样的一种系统是可从Lam Research Corporation,Fremont CA获得的Lam Research model Exelan-HPTM系统。在一个系统中,Exelan-HPTM系统需处理非常复杂的电介质腐蚀组合(portfolio)。处理包括接触和通孔、两层(bilevel)接触、无边界接触、氮化物和氧化物隔离物以及钝化物。
在同一系统中,先进的蚀刻系统如4520XLR TM可以进行几种处理。通过在单一系统中执行多种不同的半导体制造步骤,就可以提高晶片产量。甚至期望更加先进的系统在同一设备中具有附加步骤的性能。再次作为举例,但不限于此,Lam Research公司的ExelanTM系统是一种能够在单一设备中进行多种处理步骤的干法腐蚀系统。ExelanTM能够在单一反应室原位执行硬掩模开口、无机和有机ARC蚀刻以及光刻胶剥除。这种系统的大量工艺组合包括在亚0.18微米环境下所需的掺杂的和未掺杂的氧化物以及低k电介质中的所有双镶嵌结构、接触、通孔、隔离物和饨化物的蚀刻。当然,各种各样的半导体制造系统中可以采用在此列举的原理,并且这些原理期望特别适合所有的这些替换。
在此使用的术语“原位”指不用从设备中移出衬底的情况下,在半导体制造设备的相同部分中、在给定的衬底例如硅晶片上进行的一种工艺或多种工艺。
在半导体器件的制造期间,在组成器件的各种薄膜层的重复构图、蚀刻和淀积期间必须在蚀刻或淀积步骤之后去除图形化了的光刻胶。虽然已经提出了多种光刻胶的去除技术和方法,为了保持目前的半导体制造商所要求的高产量,在蚀刻设备内从半导体晶片剥除光刻胶是非常期望的。
由于OSG材料基本上是有机掺杂的氧化物,最流行的光刻胶材料趋向于具有与OSG材料的有机组分相似的化学特性。此外,流行的利用O2剥除的外部工艺可以采用顺流等离子体,其中衬底可以被加热到高于200℃的温度。因此,当利用公知的基于氧的一套方法以便从晶片的表面处的盖板去除有机材料例如光刻胶时,在高于200℃的温度下、公知的O2剥除工艺就不仅能够从晶片的表面上去除有机材料和氢,而且还可能从蚀刻的特征的侧壁或任何其它暴露的表面上有害地去除有机材料。而且,当OSG材料在高温下暴露于氧等离子体时,OSG材料易于氧化。氧从OSG膜去除碳和氢,由此致使膜不稳定并导致了薄膜的介电常数增加。当然,与多数其它剥除化学方法相比,O2还提供了对有机光刻胶具有显著更好的剥除速度。
因此,需要一套方法,利用基于氧的剥除工艺,用于进行OSG材料的干法光刻胶剥除,而没有与这种剥除化学方法相关的上述讨论的有害影响。
下游微波等离子体灰化已经采用的一套方法是利用N2/H2来使这些设备与OSG适应。这种方法的主要制约表现为低的剥除速度和必须进行外部剥离。
因此,进一步需要一套蚀刻后剥除方法,用于含铜的器件,其能够有与上述讨论的基于氧的剥除工艺相同的剥除速度,但不存在通常与氧剥除方法相关的铜损伤问题。这套方法应当能够具有足够高的剥除速度以致在目前高产量的世界中使这套方法切实可行,由此降低最终用户的设备所有权的总成本。
为了维持晶片的高产量,还需要一套方法,该方法能够在用于形成晶片的制造设备中原位实施该方法。
最后,需要一种工艺,执行该工艺而在剥除后的薄膜表面上没有或最小化剩余剥除后的残余物。
通过阅读以下详细的描述并研究各个附图,本发明的这些和其它优点将变得明显。
发明内容
本发明讲授一种工艺,用于利用在腐蚀设备中形成的等离子体所进行的反应,从形成有至少一层OSG电介质的半导体晶片上剥除光刻胶。相对于其它集成电路制造工艺,可以原位或在外部进行剥除工艺。这种反应可以是本质上的氧化反应或还原反应。本发明期望的氧化反应利用氧等离子体。还原反应利用氨等离子体。与以前公知的剥除方法相比,在此描述的一套方法产生更快的灰化速度且几乎不损伤OSG电介质。在这种工艺中,半导体晶片的温度保持在-10℃和60℃的温度之间。
通过阅读以下详细的描述并研究各个附图,本发明的这些和其它优点将变得明显。
附图说明
为了更加完整地理解本发明,在本发明以下详细的描述中参考附图。在附图中:
图1是本发明的流程图。
图2是可在本发明的一个优选实施例中使用的一种等离子体处理室的示意图。
在附图的几个图中,参考数字表明本发明的相同或相似部分。
具体实施方式
本发明讲授一种利用一种在腐蚀设备中形成的等离子体实现的反应,用于从形成有至少一层OSG电介质的半导体晶片剥除光刻胶的原位或外部工艺。相对于其它集成电路制造工艺,可以原位或在外部形成剥除工艺。这种反应本质上可以是氧化反应或还原反应。本发明期望的氧化反应利用氧等离子体。还原反应利用氨等离子体。
现在参照图1,根据本发明的一个实施例,提供一种方法100,用于从合并至少一层有机硅酸盐电介质的半导体晶片剥除光刻胶。形成有至少一层OSG的半导体晶片被放置在双频电容耦合(DFC)电介质腐蚀系统的反应容器中。为了易于讨论,图2是在本发明的一个优选实施例中采用的等离子体处理室200的示意图。等离子体处理室200包括限定环(confinement rings)202、上电极204、下电极208、气体源216和排气泵220。在等离子体处理室200中,半导体晶片224位于下电极208之上。下电极208组合一个用于支撑半导体晶片224的适合的衬底吸盘装置(例如,静电吸盘、机械夹等)。反应室顶部228组合与下电极208完全相对设置的上电极204。上电极204、下电极208和限定环202限定了受限等离子体的容积240。通过气体源216将气体提供到受限等离子体容积240,并通过排气泵220使气体穿过限定环202和排气口从受限等离子体容积240排出。第一RF源244电连接到上电极204。第二RF源248电连接到下电极208。将RF功率连接到电极的不同组合都是可能的。在由Lam Research Corporation,Fremont CA可获取的Lam Research model Exelan-HPTM的情况下,两个RF源都连接到下电极,而上电极接地。反应室壁252包围限定环202、上电极204和下电极208。第一RF源244和第二RF源248可以包括一个27MHz的功率源和一个2MHz的功率源。隔开的上电极204和下电极优选分隔开大约1.35cm的距离,但可以具有到达2.0cm的间隔。冷冻器260可以连接到底端电极208。冷却器264可以连接到晶片224的背面。冷却器264可以采用氯来提供冷却。晶片224可以是覆盖有在光刻胶掩模270之下的至少一层OSG电介质268的一个衬底266。晶片224可以具有铜接触272。
在步骤102中,在晶片224上进行利用光刻胶掩模270的至少一个半导体制造步骤或形成步骤。作为实施例,但不限于此,这种形成或制造步骤包含光刻胶构图、蚀刻和淀积。在制造步骤之后,在步骤104中,将光刻胶剥除气体的气流引入到反应容器中。通过第一RF源244和第二RF源248提供能量,该能量激励气体并使气体电离,由晶片224上面的剥除气体形成等离子体(步骤106)。将等离子体部分限定到晶片224之上的受限等离子体容积240,此处等离子体能够剥除晶片上的光刻胶(步骤108),而不会损伤有机硅酸盐电介质,并且不会使有机硅酸盐的电介质特性退化。然后,等离子体穿过限定环202排出到排气泵220。限定环202减小等离子体与反应室壁252的相互作用。光刻胶剥除气体是含有例如氮气的基本惰性稀释剂和活性剥除剂的气体混合物。根据本发明,这种活性剥除剂是氧气或氨气之一。可以采用具有相同功能的可替换的稀释剂。在剥除气体之中的剥除剂浓度随应用而变化,如下面所述。可以采用冷冻器260和冷却器来使晶片保持在-10℃至60℃之间的温度。更加优选地,晶片保持在-10℃至40℃之间的温度。晶片最好保持在大约20℃的温度。在完成步骤108的剥除之后,该晶片准备好在步骤110中的进一步的处理或制造。
本发明期望改变多个工艺参数以便在各种各样的晶片叠层上获得满意的结果,以至对各种各样的半导体设计产生效果。包含所期望的效果、产量和系统能力的各种因素将限定完成所要求设计的工艺参数的最优化组合。通过本发明,特别期望适合由此采用的每一种组合。
为了检验改变几种工艺参数对本发明的影响,制备并处理一组测试晶片。硅测试晶片包括晶片叠层,该叠层包括由SiO或SiC形成的阻挡层,在该阻挡层上淀积一层OSG电介质。在OSG电介质上提供构图的深UV(deep-UV)光刻胶层。一种被测试的电介质是Novellus、Coral。从Arch Chemicals、Inc.、North Kingstown、RI可得到深UV光刻胶。另一种被测试的电介质是来自Applied Materials ofSanta Clara,CA的Black DiamondTM(黑金刚石)。在测试晶片中蚀刻出包含通孔和沟槽的特征。这些测试晶片的设计仅仅为了实验目的。正如本领域普通技术人员所公知的,在衬底和OSG之间以及在OSG和光刻胶之间可以插入中间层,可以通过利用相同的设备实现可替换的薄膜和膜厚以获得所需的设计目的。一种这样的薄膜是在光刻胶之下淀积的硬掩模。当然,这些可替换物中的每一种都是本发明所期望的。
在半导体制造系统,例如Lam Research model 4520XLETM双频电容耦合(DFC)电介质腐蚀系统或其它适合的设备的反应室或反应容器中放置每一个测试晶片。在实际集成电路制造中,这里一个或多个半导体制造步骤将是任选执行的。这些步骤包括,但并不特别限于:构图、蚀刻、淀积、平坦化、掺杂、金属化以及本领域普通技术人员所公知的其它半导体形成步骤。
然后,基本上所有的光刻胶都按以下步骤从晶片上被剥除:将27和2MHz的双频功率提供到系统。然后,将包含上述讨论的活性剥除剂之一的剥除气体的气流引入到反应室中并触发等离子体。在执行每种测试之后,分析测试晶片以便确定剥除速度或灰化速度、晶片表面上的灰化或剥除的均匀性、并且通过Fourier Transform InfraredSpectroscopy(FTIR)(傅立叶变换红外频谱仪)来测量OSG电介质层的碳含量的百分比变化。因此,提供以下优选实施例。这些优选实施例将作为实例以便与采用现有的N2/H2的众所周知的方法的实例比较。
实施例
现有技术的实施例
在众所周知的方法或BKM(公知的最好方法)中,结合H2作为活性剥除剂的剥除工艺,在LamTM Research model Exelan-HPTM双频电容耦合(DFC)的电介质腐蚀系统中放置晶片。然后,基本上所有的光刻胶都按以下步骤从晶片上被剥除:将N2/H2剥除气体的气流引入到反应室中。将27和2MHz的双频功率提供到系统。提供大约400W、27MHz的高频率的功率源。提供大约400W、2MHz的较低频率的功率源。以600sccm将H2提供到反应室。以1900sccm将N2提供到反应室。反应室压力维持在大约470mTorr(毫乇)。通过此工艺,当测试电介质为Coral时,通过FTIR测量的覆盖膜中的SiC/SiO比的百分比变化为5%;当测试电介质为Black Diamond时,为5.01%。测量的灰化速度为4150/min(埃/分钟)。
O2剥除的实施例
在众所周知的方法或BKM中,结合O2作为活性剥除剂的优选实施例,在LamTM Research model Exelan-HPTM双频电容耦合(DFC)的电介质腐蚀系统中放置晶片。然后,基本上所有的光刻胶都按以下步骤从晶片上被剥除:将O2/N2剥除气体的气流引入到反应室中。将27和2MHz的双频功率提供到系统。提供大约200W、27MHz的较高频率的功率源。提供大约100W、2MHz的较低频率的功率源。以1000sccm将O2提供到反应室。以200sccm将N2提供到反应室。反应室压力维持在大约330mTorr。通过此工艺,当测试电介质为Coral时,通过FTIR测量的覆盖膜中的SiC/SiO比的百分比变化为4.1%;当测试电介质为Black Diamond时,为3.6%。测量的灰化速度为10600/min。
这些测试证实,进行基于氧的剥除工艺与现有的基于氢的工艺相比基本上具有更高的灰化速度、较低的OSG碳含量变化速率并对OSG具有最小的损伤。
本发明的这个方面的可替换的实施例期望从大约100W至大约2000W的高频率的功率源的功率。更具体地,较高频率的功率源的功率可以从大约50W至大约1000W。还更加具体地,较高频率的功率源的功率可以从大约150W至大约500W。还更加具体地,较高频率的功率源的功率可以从大约175W至大约350W。较高频率的功率源的功率最好为上述讨论的大约200W。较高频率的功率源优选具有15MHz-40MHz范围的频率。更加优选地,较高频率的功率源具有20MHz-35MHz范围的频率。较高频率的功率源最好具有25MHz-30MHz范围的频率。
本发明的这个方面的可替换的实施例期望从大约0W至大约2000W的较低频率的功率源的功率。更具体地,较低频率的功率源的功率从大约50W至大约1000W。还更加具体地,较低频率的功率源的功率可以从大约50W至大约500W。还更加具体地,较低频率的功率源的功率可以从大约75W至大约350W。较低频率的功率源的功率最好为上述讨论的大约100W。较低频率的功率源优选具有0.3MHz-10MHz范围的频率。更加优选地,较低频率的功率源具有1MHz-5MHz范围的频率。较低频率的功率源最好具有1.5MHz-4MHz范围的频率。
在本发明的这个方面的可替换的实施例期望稀释气体的流量从大约50sccm至大约2000sccm。更加具体地,稀释气体的流量为从大约100sccm至大约1500sccm。还更加具体地,稀释气体的流量为从大约150sccm至大约500sccm,并且稀释气体的流量最好为大约200sccm。
在本发明的这个方面的可替换的实施例还期望O2活性剥除剂的流量从大约150sccm至大约3500sccm。更加具体地,O2的流量为从大约500sccm至大约2500sccm。还更加具体地,稀释气体的流量为从大约700sccm至大约1500sccm,并且最好为大约1000sccm。
类似地,可替换的实施例期望反应室压力从大约50mTorr至大约1000mTorr。更加具体地从大约100mTorr至大约500mTorr。更加具体地从大约300mTorr至大约400mTorr,并且最好为大约330mTorr。
本领域普通技术人员应当清楚,上述讨论的工艺变量的一种或多种对应于具体应用必须进行修改。
NH3剥除的实施例
在众所周知的方法或BKM中,结合NH3作为活性剥除剂的优选实施例,在LamTM Research model Exelan-HPTM双频电容耦合(DFC)的电介质腐蚀系统中放置晶片。然后,基本上所有的光刻胶都按以下步骤从晶片上被剥除:将NH3剥除气体的气流引入到反应室中。将27和2MHz的双频功率提供到系统。提供大约600W、27MHz的较高频率的功率源。提供无功率、2MHz的较低频率的功率源。以1500sccm将NH3提供到反应室。反应室压力维持在大约350mTorr。通过此工艺,当测试电介质为Coral时,通过FTIR测量的覆盖膜中的SiC/SiO比的百分比变化为2.5%;当测试电介质为Black Diamond时,为1.64%。测量的灰化速度为5470/min。
这些测试证实,进行基于氨的剥除工艺与现有的基于氢的工艺相比基本上具有更高的灰化速度、较低的OSG碳含量变化速率并对OSG具有最小的损伤。
本发明的这个方面的可替换的实施例期望从大约100W至大约2000W的较高频率的功率源的功率。更具体地,较高频率的功率源的功率为从大约50W至大约1000W。还更加具体地,较高频率的功率源的功率可以从大约250W至大约750W。还更加具体地,高频率的功率源的功率为从大约500W至大约750W。较高频率的功率源的功率最好为上述讨论的大约600W。较高频率的功率源优选具有15MHz-40MHz范围的频率。更加优选地,较高频率的功率源具有20MHz-35MHz范围的频率。较高频率的功率源最好具有25MHz-30MHz范围的频率。
本发明的这个方面的可替换的实施例期望从大约0W至大约2000W、2MHz的较低频率的功率源的功率。更具体地,较低频率的功率源的功率从大约0W至大约1000W。还更加具体地,较低频率的功率源的功率可以从大约0W至大约500W。还更加具体地,较低频率的功率源的功率可以从大约0W至大约350W。较低频率的功率源的功率最好为上述讨论的大约0W。较低频率的功率源优选具有0.3MHz-10MHz范围的频率。更加优选地,较低频率的功率源具有1MHz-5MHz范围的频率。较低频率的功率源最好具有1.5MHz-4MHz范围的频率。
本发明的这个方面的可替换的实施例期望活性NH3剥除剂的流量从大约150sccm至大约3500sccm。更加具体为从大约500sccm至大约2500sccm。还更加具体为从大约750sccm至大约2000sccm,并且最好为大约1500sccm。
本发明的这个方面的可替换的实施例还期望稀释气体的流量从大约0sccm至大约3500sccm。更加具体为从大约0sccm至大约500sccm。还更加具体为从大约0sccm至大约250sccm,并且最好为大约0sccm。还可以采用本领域普通技术人员公知的可替换的稀释气体。
类似地,可替换的实施例期望反应室压力从大约50mTorr至大约1000mTorr。更加具体地,反应室的压力可以为从大约100mTorr至大约500mTorr。还更加具体地,反应室的压力可以为从大约300mTorr至大约400mTorr,并且最好为大约350mTorr。
与上述讨论的BKM氢剥除相比,NH3工艺产生具有较小损伤、更好的剥除速度。
在需要使用等离子体的另一个半导体制造步骤例如蚀刻步骤之后进行上述定义的剥除工艺,在此提出的一套方法能够由例如蚀刻改变为光刻胶剥除而不用依赖于中断反应容器中的真空。此外,本发明的工艺期望在同一个反应容器中气体的气流由腐蚀气体气流改变为光刻胶剥除气体的气流。
可选择地,改变晶片背面冷却以便提供最优的影响PR剥除的晶片温度。不用受理论的约束,应当相信,将晶片冷却到大约60℃以下的温度有助于在光刻胶剥除期间防止OSG层的损伤。特别地,当剥除气体采用O2时。还应当相信,等离子体的限定,例如通过限定环提供的限定将防止或减少粒子的产生,例如通常与O2或NH3的蚀刻相关的粒子的产生。氨气剥除工艺具有进一步的优点,即氨气不会氧化集成电路器件中的铜元件,由此使此工艺更加与基于铜的集成电路制造相适应。
已经对应于本发明的特征的某些优选实施例具体地展示并描述了本发明。然而,本领域普通技术人员应当易于理解,在不脱离所附的权利要求书提出的本发明的精神和范围的情况下可以进行形式上和细节上的各种变化和修改。具体地,本发明的原理特别期望各种各样的晶片叠层、电介质、硬掩模、阻挡材料、工艺设备、晶片衬底和光刻胶上结合此处讲授的一个或多个不同的特征和优点。而且,讨论的频率、功率、流速和压力是说明对给定应用下发现的最优的那些频率、功率、流速和压力。本发明期望其它应用需要这些变量的可选择值。本发明的原理,特别期望这些替换中的每一个。

Claims (17)

1.一种用于从包含至少一层有机硅酸盐玻璃电介质的晶片上剥除光刻胶的方法,该方法包括:
将该晶片放入一反应室;
将剥除气体的气流引入该反应室,该剥除气体包含一种活性剥除剂,其中该活性剥除剂选自由氧气和氨气组成的组;以及
使用该剥除气体,在该反应室中形成等离子体,由此从晶片上剥除该光刻胶。
2.如权利要求1所述的方法,还包括在使用剥除气体期间将晶片冷却到低于60℃的温度。
3.如权利要求2所述的方法,其中剥除气体还包括惰性稀释剂。
4.如权利要求3所述的方法,其中惰性稀释剂是氮气。
5.如权利要求4所述的方法,还包括用等离子体环限制等离子体。
6.如权利要求1所述的方法,其中活性剥除剂是氨气。
7.如权利要求6所述的方法,其中晶片包括至少一个铜接触。
8.如权利要求7所述的方法,还包括在使用剥除气体期间将晶片冷却到低于60℃的温度。
9.如权利要求8所述的方法,其中剥除气体还包括惰性稀释剂。
11.如权利要求1所述的方法,其中活性剥除剂是氧气。
12.如权利要求11所述的方法,还包括在使用剥除气体期间将晶片冷却到低于60℃的温度。
13.如权利要求12所述的方法,其中剥除气体还包括惰性稀释剂。
14.一种集成电路,包括在一个晶片上的有机硅酸盐玻璃的至少一个特征,该集成电路由一种方法形成,该方法包括:
在该有机硅酸盐玻璃之上形成光刻胶掩模;
将该晶片放入一反应室;
将剥除气体的气流引入该反应室,该剥除气体包含一种活性剥除剂,其中该活性剥除剂选自由氧气和氨气组成的组;以及
使用该剥除气体,在该反应室中形成等离子体,由此从该晶片上剥除该光刻胶。
15.如权利要求14所述的集成电路,还包括在使用剥除气体期间将晶片冷却到低于60℃的温度。
16.如权利要求15所述的集成电路,其中剥除气体还包括惰性稀释剂。
17.如权利要求16所述的集成电路,其中惰性稀释剂是氮气。
18.如权利要求17所述的集成电路,其中活性剥除剂的流速在150sccm和3500sccm之间。
CNB028081587A 2001-02-12 2002-01-30 用于有机硅酸盐玻璃低k介质腐蚀应用的用o2和nh3的蚀刻后光刻胶剥除 Expired - Lifetime CN100419972C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/782,678 2001-02-12
US09/782,678 US6777344B2 (en) 2001-02-12 2001-02-12 Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications

Publications (2)

Publication Number Publication Date
CN1633701A true CN1633701A (zh) 2005-06-29
CN100419972C CN100419972C (zh) 2008-09-17

Family

ID=25126834

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028081587A Expired - Lifetime CN100419972C (zh) 2001-02-12 2002-01-30 用于有机硅酸盐玻璃低k介质腐蚀应用的用o2和nh3的蚀刻后光刻胶剥除

Country Status (6)

Country Link
US (1) US6777344B2 (zh)
KR (2) KR100880134B1 (zh)
CN (1) CN100419972C (zh)
AU (1) AU2002250017A1 (zh)
TW (1) TW574603B (zh)
WO (1) WO2002065513A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101504917B (zh) * 2008-05-27 2011-08-31 深圳深爱半导体有限公司 防止vdmos管二次击穿的方法
CN102610493A (zh) * 2012-03-22 2012-07-25 上海华力微电子有限公司 一种去除无定形碳薄膜循环利用硅片的方法
CN102148152B (zh) * 2010-02-05 2012-11-21 中芯国际集成电路制造(上海)有限公司 高掺杂注入光刻胶的剥离工艺
CN101715603B (zh) * 2007-05-24 2013-04-10 朗姆研究公司 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离
CN104345581A (zh) * 2013-07-23 2015-02-11 中微半导体设备(上海)有限公司 一种等离子体去除光刻胶的方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
US7202177B2 (en) 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
JP4312630B2 (ja) * 2004-03-02 2009-08-12 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20070061871A (ko) * 2004-10-14 2007-06-14 셀레리티 인크. 웨이퍼 온도 제어용 방법 및 시스템
US7253116B2 (en) * 2004-11-18 2007-08-07 International Business Machines Corporation High ion energy and reative species partial pressure plasma ash process
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
KR100641485B1 (ko) * 2004-12-28 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US7288488B2 (en) * 2005-05-10 2007-10-30 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
CN101622376B (zh) * 2007-01-30 2012-04-04 朗姆研究公司 使用超临界溶剂在半导体基片上形成金属膜的组合物和方法
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
WO2009039551A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Method of removing photoresist
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
US7470616B1 (en) * 2008-05-15 2008-12-30 International Business Machines Corporation Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US10192763B2 (en) * 2015-10-05 2019-01-29 Applied Materials, Inc. Methodology for chamber performance matching for semiconductor equipment
US20170110336A1 (en) 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US10347498B2 (en) 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
CN109994375A (zh) * 2018-01-03 2019-07-09 联华电子股份有限公司 去除图案化光致抗蚀剂的方法
CN114823297B (zh) * 2022-04-19 2023-01-31 度亘激光技术(苏州)有限公司 光刻胶去除工艺及半导体制造工艺

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6294933A (ja) 1985-10-22 1987-05-01 Toshiba Corp ドライエツチング方法
US4885047A (en) * 1986-08-11 1989-12-05 Fusion Systems Corporation Apparatus for photoresist stripping
JPS6425419A (en) 1987-07-21 1989-01-27 Matsushita Electric Ind Co Ltd Etching
JPS6459820A (en) 1987-08-31 1989-03-07 Tokuda Seisakusho Dry etching
JPH0484414A (ja) * 1990-07-27 1992-03-17 Sony Corp ドライエッチング方法
US5071485A (en) * 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US5262279A (en) * 1990-12-21 1993-11-16 Intel Corporation Dry process for stripping photoresist from a polyimide surface
US5877032A (en) 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US5741396A (en) 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
US5814563A (en) 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
KR19980064028A (ko) 1996-12-12 1998-10-07 윌리엄비.켐플러 금속의 사후 에칭 탈플루오르 저온 공정
JPH11150101A (ja) 1997-11-18 1999-06-02 Nec Corp 半導体装置の製造方法
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
TWI246633B (en) * 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6069091A (en) 1997-12-29 2000-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ sequential silicon containing hard mask layer/silicon layer plasma etch method
US5970376A (en) 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
JP3501937B2 (ja) 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6080531A (en) * 1998-03-30 2000-06-27 Fsi International, Inc. Organic removal process
US6352937B1 (en) * 1998-04-27 2002-03-05 Sony Corporation Method for stripping organic based film
JP3102409B2 (ja) * 1998-04-30 2000-10-23 日本電気株式会社 配線の形成方法及びプラズマアッシング装置
US6105588A (en) 1998-05-27 2000-08-22 Micron Technology, Inc. Method of resist stripping during semiconductor device fabrication
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6696366B1 (en) 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6194128B1 (en) 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6245663B1 (en) 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
US6342446B1 (en) 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
JP3657788B2 (ja) 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
JP2003526897A (ja) 1998-10-19 2003-09-09 アプライド マテリアルズ インコーポレイテッド 後続のエッチング中のマスキングとして有用な、またはダマシン構造に有用な、パターニングされた層のエッチング方法
US6310020B1 (en) * 1998-11-13 2001-10-30 Kao Corporation Stripping composition for resist
US6352918B1 (en) 1998-11-24 2002-03-05 United Microelectronics Corp. Method of forming inter-metal interconnection
US6337279B1 (en) * 1998-12-17 2002-01-08 United Microelectronics Corp. Method of fabricating a shallow trench isolation
US6169036B1 (en) * 1999-03-25 2001-01-02 Lucent Technologies Inc. Method for cleaning via openings in integrated circuit manufacturing
US6037255A (en) 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6235453B1 (en) 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
JP2001077086A (ja) 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US6265319B1 (en) 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6265320B1 (en) 1999-12-21 2001-07-24 Novellus Systems, Inc. Method of minimizing reactive ion etch damage of organic insulating layers in semiconductor fabrication
US20010024769A1 (en) * 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
US6475922B1 (en) * 2000-04-25 2002-11-05 Koninklijke Philips Electronics N.V. Hard mask process to control etch profiles in a gate stack
US6797633B2 (en) * 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning
US6436808B1 (en) * 2000-12-07 2002-08-20 Advanced Micro Devices, Inc. NH3/N2-plasma treatment to prevent organic ILD degradation
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101715603B (zh) * 2007-05-24 2013-04-10 朗姆研究公司 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离
CN103187267A (zh) * 2007-05-24 2013-07-03 朗姆研究公司 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离
US8912633B2 (en) 2007-05-24 2014-12-16 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
CN101504917B (zh) * 2008-05-27 2011-08-31 深圳深爱半导体有限公司 防止vdmos管二次击穿的方法
CN102148152B (zh) * 2010-02-05 2012-11-21 中芯国际集成电路制造(上海)有限公司 高掺杂注入光刻胶的剥离工艺
CN102610493A (zh) * 2012-03-22 2012-07-25 上海华力微电子有限公司 一种去除无定形碳薄膜循环利用硅片的方法
CN102610493B (zh) * 2012-03-22 2015-08-26 上海华力微电子有限公司 一种去除无定形碳薄膜循环利用硅片的方法
CN104345581A (zh) * 2013-07-23 2015-02-11 中微半导体设备(上海)有限公司 一种等离子体去除光刻胶的方法
CN104345581B (zh) * 2013-07-23 2018-07-31 中微半导体设备(上海)有限公司 一种等离子体去除光刻胶的方法

Also Published As

Publication number Publication date
KR100880134B1 (ko) 2009-01-23
WO2002065513B1 (en) 2003-11-27
US20020111041A1 (en) 2002-08-15
WO2002065513A3 (en) 2003-10-23
US6777344B2 (en) 2004-08-17
KR20040028717A (ko) 2004-04-03
CN100419972C (zh) 2008-09-17
AU2002250017A1 (en) 2002-08-28
WO2002065513A2 (en) 2002-08-22
TW574603B (en) 2004-02-01
KR20080096852A (ko) 2008-11-03

Similar Documents

Publication Publication Date Title
CN100419972C (zh) 用于有机硅酸盐玻璃低k介质腐蚀应用的用o2和nh3的蚀刻后光刻胶剥除
CN1524287B (zh) 用于蚀刻有机低k材料的特殊化学工艺
CN1286153C (zh) 一种用于蚀刻晶片的电介质层的方法
TWI455203B (zh) 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
KR100778260B1 (ko) 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스
TWI450317B (zh) 光罩圖案之形成方法及半導體裝置之製造方法
KR101144022B1 (ko) 에칭된 웨이퍼로부터 포토레지스트 스트립 방법
US8815745B2 (en) Reducing damage to low-K materials during photoresist stripping
KR20080093392A (ko) 제어된 임계 치수 수축의 에칭 처리
CN1814857A (zh) 在半导体衬底上溅射保护涂层的方法
JP2004111779A (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
JP2003158181A (ja) 半導体素子の製造方法
US20020121500A1 (en) Method of etching with NH3 and fluorine chemistries
US6647994B1 (en) Method of resist stripping over low-k dielectric material
JPH10209118A (ja) アッシング方法
JP2007511099A (ja) フォトレジストストリッピングの間のバリヤー物質損失の最小化
US7192531B1 (en) In-situ plug fill
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US7041230B2 (en) Method for selectively etching organosilicate glass with respect to a doped silicon carbide
JP2000252359A (ja) 絶縁膜のエッチング方法および配線層の形成方法
WO2006019849A1 (en) Low-k dielectric etch
JP3717073B2 (ja) 半導体装置の製造方法
WO2024125303A1 (zh) 一种晶圆处理方法及用于晶圆处理的刻蚀-沉积一体设备
KR20030023299A (ko) SiLK 이중 다마신 공정

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20080917