KR100778260B1 - 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스 - Google Patents

수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스 Download PDF

Info

Publication number
KR100778260B1
KR100778260B1 KR1020027018042A KR20027018042A KR100778260B1 KR 100778260 B1 KR100778260 B1 KR 100778260B1 KR 1020027018042 A KR1020027018042 A KR 1020027018042A KR 20027018042 A KR20027018042 A KR 20027018042A KR 100778260 B1 KR100778260 B1 KR 100778260B1
Authority
KR
South Korea
Prior art keywords
wafer
photoresist
peeling
hydrogen
reducing
Prior art date
Application number
KR1020027018042A
Other languages
English (en)
Other versions
KR20030024717A (ko
Inventor
치엔팅
플래너자넷엠
모레이이안
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20030024717A publication Critical patent/KR20030024717A/ko
Application granted granted Critical
Publication of KR100778260B1 publication Critical patent/KR100778260B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 유기실리케이트 유전층을 포함하는 반도체 웨이퍼로부터 포토레지스트를 박리하는 방법에 관한 것이다. 본 방법은 수소 함유 가스 흐름을 웨이퍼에 유입하고, 이 수소 함유 가스를 이용하여 웨이퍼의 적어도 일부에 근접하게 플라즈마를 형성한다. 플라즈마는 웨이퍼로부터 포토레지스트의 적어도 일부를 박리하는데 사용된다. 반도체 웨이퍼에서 포토레지스트를 박리하는 단계가 에칭 장치 내에서 웨이퍼상에 수행된 에칭 단계에 후속해서 수행된다면, 본 발명에서는 차례로 에칭 장치 내에서 포토레지스트의 박리를 인시튜 수행할 수 있다. 본 발명의 놀랄만한 결과에 따르면, 고농도의 수소 가스에 의해 높은 박리 처리율이 가능하며, 또한 이 매우 높은 농도의 수소 가스 혼합을 안전하게 사용할 수 있다.

Description

수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스{PROCESS FOR THE POST ETCH STRIPPING OF PHOTORESIST WITH HYDROGEN}
본 발명은 반도체 제조에 관한 것이다. 더욱 구체적으로, 본 발명은 웨이퍼 에칭 단계에서, 하나 이상의 유기실리케이트(organosilicate) 저 k 유전층(low k dielectric)을 포함하는 반도체 웨이퍼로부터 포토레지스트를 인시튜 박리(in-situ stripping)하는 것에 관한 것이다.
집적 회로는 통상 이산화규소(SiO2)로 형성된 유전층을 사용하여 반도체 구조의 여러 층상에서 도전성 배선을 절연시킨다. 반도체 회로가 점점 고속화되고 소형화됨에 따라, 동작 주파수는 상승하고 반도체 소자 내의 도전성 배선간의 거리는 단축되게 된다. 이로 인해 증대된 결합 용량이 집적 회로에 도입되며, 그에 따라 집적 회로는 반도체 소자의 동작을 느리게 하는 결점을 지니게 된다. 그러므로, 이러한 증대된 결합 용량에 대하여 도전성 배선을 효과적으로 절연시킬 수 있는 유전층의 사용이 점점 중요해지게 되었다.
일반적으로, 집적 회로에서의 결합 용량은 유전층을 형성하는데 이용되는 재료의 유전 상수 k에 정비례한다. 전술한 바와 같이, 통상의 집적 회로의 유전층은 전통적으로 유전 상수가 약 4.0인 SiO2로 형성되고 있다. 반도체 소자에서 배선 밀집도 및 동작 주파수의 상승의 결과로 인해, SiO2로 이루어진 유전층은 증대된 결합 용량을 피할 정도로 도전성 배선을 효과적으로 절연시킬 수 없다.
집적 회로에서 결합 용량 수준을 줄이기 위한 노력의 일환으로서, 반도체 산업에서는 집적 회로의 유전층을 형성하는데 사용되기에 적합한 재료로서, SiO2보다 더 낮은 유전 상수를 가진 재료를 개발하기 위한 연구에 착수하였다. 지금까지, 간혹 "저-k 재료(low-k materials)"라고 부르는, 많은 유망 재료가 개발되었다. 이들 새로운 유전체의 대부분은 유기 화합물이다.
저-k 재료는 BCB(benzocyclobutene); 미국 미네소타주 미네아폴리스에 소재하는 하니웰의 분사인, 미국 뉴저지주 모리스타운 소재의 Allid Signal(등록상표)에서 제조된 FlareTM; 미국 코넷티컷주 댄버리에 소재하는 Union Carbide(등록상표)사로부터 입수 가능한 하나 이상의 파릴렌 2량체; PTFE(polytetrafluoroethylene) 및 SiLK(등록 상표)를 포함하며, 이것에 특정하게 한정되지는 않는다. IC 유전체 애플리케이션에 적합한 PTFE는 미국 델라웨어주 네워크에 소재하는 W.L.Gore & Associates사로부터 입수 가능한, SPEEDFILMTM이다. 미국 미시건주 미들랜드에 소재하는 Dow(등록상표) 케미컬사로부터 입수 가능한 SiLK(등록상표)는 무실리콘 BCB이다.
저-k 재료 중 흥미로운 종류는 OSG(organosilicate glass)를 함유하는 화합물이다. 예컨대, 상기 OSG 유전체는 미국 캘리포니아주 산호세에 소재하는 Novellus사의 CORALTM; 미국 캘리포니아주 산타 클라라에 소재하는 Applied Materials사의 Black Diamond(등록상표); 미국 캘리포니아주 산타 클라라에 소재하는 Sumitomo 케미컬 아메리카사로부터 입수 가능한 Sumika Film(등록상표), 및 미국 뉴저지주 모리스타운에 소재하는 Allied Signal사의 HOSPTM을 포함하며, 이것에 한정되지는 않는다. OSG 재료는 재료의 유전 상수를 낮게 하는 이산화규소 격자에 탄소 및 수소 원자를 포함하고 있다.
반도체 웨이퍼 공정 중에, 반도체 소자의 피처(feature)는 잘 알려져 있는 패터닝 및 에칭 공정을 이용하여 웨이퍼에서 형성된다. 이들 공정에서 포토레지스트(PR) 재료는 웨이퍼상에 도포된 후, 레티클(reticle)에 의해 여과(필터링)된 빛에 노광된다. 레티클은 대개, 빛이 레티클을 통해 전파되는 것을 막는 예시적인 피처 지오메트리로 패턴화되는 유리판이다.
레티클을 통과한 후에, 빛은 포토레지스트 재료의 표면에 접촉한다. 빛은 현상제(developer)가 포토레지스트 재료의 일부를 제거할 수 있도록 포토레지스트 재료의 화학적 조성을 변경시킨다. 양성 포토레지스트 재료의 경우에는 노광된 부분이 제거되고, 음성 포토레지스트 재료의 경우는 비노광된 부분이 제거된다. 그 후에, 웨이퍼는 더 이상 포토레지스트 재료에 의해 보호되지 않는 영역의 아래에 놓인 재료를 제거하도록 에칭된 후에 웨이퍼의 원하는 피처가 정해진다. 일반적으로 저-k 유기 중합체는 산화(예컨대, 산소계) 또는 환원(예컨대, 수소계) 화학 공정에 의해 에칭될 수 있다. OSG 유전체는 산화물 에칭 화학 작용과 다소 유사한 화학 작용을 이용해서 바람직하게 에칭될 수 있다. OSG 에칭 화학 작용은 통상 스트레이트 산화물 에칭(straight oxide etching)보다 중합 가능성이 낮은 식각제 가스를 필요로 한다. 이는 OSG 필름의 유기 성분을 처리하는데 필요하다.
유전체의 에칭은 DFC(dual-frequency capacitively-coupled) 유전체 에칭 시스템에서 유리하게 달성될 수 있다. 이 시스템 중 하나는 미국 캘리포니아주 프리몬트에 소재하는 Lam(등록상표) 리서치사에서 입수 가능한 Lam(등록상표) 리서치 모델 4520XLE TM이다. 4520XLE TM 시스템은 하나의 시스템에서 매우 포괄적인 유전체 에칭 포트폴리오를 처리한다. 공정들은 콘택 및 바이어스, 2중 콘택, 개방 콘택(borderless contact), 질소 및 산소 스페이서(spacer), 및 패시베이션(passivation)을 포함한다.
4520XLE TM과 같은 고급 에칭 시스템은 동일 시스템에서 여러가지 공정을 수행한다. 단일 시스템에서 다수의 상이한 반도체 제조 단계를 수행함으로써, 웨이퍼 수율을 향상시킬 수 있다. 더욱 고급의 시스템에서는 동일 장치 내에서 추가 단계를 수행할 것이다. 예를 들어 다시 설명하면, 이것에 한정되지는 않지만, 예컨대 Lam(등록상표) 리서치사의 ExelanTM 시스템은 단일 장치에서 다양한 공정 단계를 수행할 수 있는 건식 에칭 시스템이다. ExelanTM은 하드마스크 오픈, 무기 및 유기 ARC 에칭, 및 포토레지스트 박리를 단일 챔버에서 인시튜 수행할 수 있다. 이 시스템의 광범위한 공정 포트폴리오는 서브-0.18 미크론 환경에서 요구되는 도핑 및 비도핑된 산화물과 저-k 유전체에서 모든 이중 다마신(damascene) 구조, 콘택, 바이어, 스페이서, 및 패시베이션 에칭을 포함한다. 물론 본 명세서에 열거되는 이론은 각종 반도체 제조 시스템에서 구현될 수 있고, 이들 이론들은 그러한 모든 대안들을 특히 고려한다.
본 명세서에 사용되는 인시튜 공정이란 하나 이상의 공정을, 반도체 제조 장치에서 기판을 제거하지 않고 반도체 제조 장치의 동일한 부분에서 실리콘 웨이퍼와 같은 주어진 기판상에 수행하는 공정을 의미한다.
반도체 소자의 제조 과정에서는 에칭 또는 증착 단계에 이어서 패터닝된 포토레지스트를 제거하도록 소자를 구성하는 각종 필름층의 반복된 패터닝, 에칭 및 증착 과정이 필요하다. 다수의 포토레지스트 제거 기술과 방법을 구현하고 있지만, 오늘날의 반도체 제조업자들이 요구하는 고수율을 유지하기 위해서, 에칭 장치 내에서 반도체 웨이퍼로부터 포토레지스트를 박리하는 것이 매우 바람직하다. 전술한 바와 같이, 일부 고급 반도체 에칭 장치는 단일 장치 내에서 다중 공정을 인시튜 수행할 수 있다. 이렇게 에칭 후에 포토레지스트 박리를 인시튜 수행하기 위한 통상의 절차에서는 박리 공정에 산소를 사용하는 단계를 포함한다. 그러나 OSG 재료와 함께 산소를 사용하게 되면 다음과 같은 문제가 발생할 수 있다.
OSG 재료는 본래 유기적으로 도핑된 산화물이기 때문에, 대부분의 현재 포토레지스트 재료는 OSG 재료의 유기 성분과 유사한 화학 특성을 갖는 경향이 있다. 따라서, 웨이퍼 표면에 있는 캡판(cap plate)으로부터 포토레지스트와 같은 유기 재료를 제거하기 위해 공지된 산소를 기재로 한 방법을 사용하면, 공지된 O2 박리 공정은 웨이퍼 표면의 유기 재료를 제거할 뿐만 아니라 에칭된 피처의 측벽이나, 또는 잠재적으로, 그외 노출된 표면의 유기 재료도 해롭게 제거할 수도 있다. 또한, OSG 재료는 산소 플라즈마에 노출될 때 산화에 영향을 받기 쉽다. 산소가 OSG 필름으로부터 탄소 및 수소를 제거함으로써, 그 필름은 분해되고, 필름의 유전 상수는 상승하게 된다.
통상적으로, 에칭 및 포토레지스트(PR) 박리는 별도 장치에서 수행되었다. 시스템 처리량을 최대화하기 위해서, 동일 시스템에서 에칭에서부터 PR 박리까지 처리하는 것이 바람직하다. 실제로, 2가지 공정을 동일 반응관(reaction vessel)에서 수행하면, 공정 효율성이 더욱 향상되는 결과를 얻을 수 있다. 따라서, 동일 시스템의 동일 챔버에서 에칭 및 PR 박리를 수행할 뿐만 아니라, 에칭 단계에 사용된 플라즈마에 에너지 공급을 중단하는 일없이 PR 박리 시에 그 플라즈마를 사용하여 이들 2가지 공정이 실행되는 방식으로 시스템이 설계될 수 있을까 하는 흥미로운 발상이 도출된다.
종전에는 수소를 기재로 한 포토레지스트 박리를 통상 배럴 애쉬(barrel ash)로 수행하였다. 공정의 안정성에 대한 염려로 인해, 이러한 수소 기재의 PR 박리를 질소 희석제에 대개 4 내지 5 %의 범위의 수소 농도가 함유된 비교적 저농도의 수소로 통상 수행하였다.
본 발명의 목적은 수소를 기재로 한 박리 공정을 이용해서 OSG 재료의 건식 포토레지스트 박리를 수행하기 위한 방법을 제공하는 것이다.
또 다른 목적은 공지된 산소를 기재로 한 포토레지스트 박리 방법에 의해 생성되는 OSG 재료의 유전체 성능의 저하를 수반하는 일없이 실시될 수 있는 포토레지스트 박리 방법을 제공하는 것이다.
웨이퍼의 고수율을 만족시키기 위해서, 웨이퍼를 형성하는데 사용된 제조 장치 안에서 인시튜 수행될 수 있는 포토레지스트 방법을 제공하는 것이다.
마지막으로, 필름 표면상에 남아있는 박리 후 잔여물이 없거나 최소가 되도록 공정을 수행하는 것이 바람직하다.
본 발명의 이러한 특징 및 다른 특징은 첨부 도면과 함께 바람직한 실시예에 대한 다음의 상세한 설명으로부터 더욱 분명해질 것이다.
본 발명은 유기실리케이트 유전층을 포함하는 반도체 웨이퍼로부터 포토레지스트를 박리하는 방법에 관한 것이다. 에칭 단계에 이어서, 수소 함유 가스의 흐름을 웨이퍼에 유입하고, 이 수소 함유 가스를 이용하여 웨이퍼의 적어도 일부에 근접하게 플라즈마를 형성한다. 플라즈마는 웨이퍼로부터 포토레지스트의 적어도 일부를 박리하는데 사용된다. 에칭 장치에 놓인 웨이퍼상에 수행된 에칭 단계에 후속하여 반도체 웨이퍼로부터 포토레지스트를 박리하는 단계를 수행할 경우, 본 발명에서는 차례로 에칭 장치 내에서 포토레지스트의 박리를 인시튜 수행할 수 있다.
이전의 수소를 기재로 한 박리에서는 저농도의 수소 가스를 함유한 희석 가스를 사용하여, 일반적으로 박리율이 불량하였다. 안정성의 이유에서는 저농도의 수소가 분명히 필요하다. 그러나, 본 발명의 성공의 수단을 이끄는 연구의 놀랄만 할 결과에 따르면 박리 또는 식각제 가스에서 매우 높은 고농도의 수소에 의해 박리 처리율이 상승될 수 있고, 또한 고농도의 수소 혼합 가스를 안전하게 사용할 수 있다.
본 발명의 이러한 장점 및 다른 장점들은 첨부 도면과 다음의 상세한 설명으로부터 분명해질 것이다.
본 발명의 더욱 완전한 이해를 위해서, 바람직한 실시예에 대한 다음의 상세한 설명에서는 첨부 도면을 참조한다.
도 1은 OSG 유전층을 포함하는 반도체 웨이퍼로부터 포토레지스트를 제거하는 공정의 개괄 흐름도이다.
도 2는 3개의 건식 에칭 시스템에서의 가스 흐름과 챔버 압력간의 관계를 나타내는 표이다.
도 3은 본 발명에 따른 박리 결과로서 유전체에서의 변화를 나타내는, OSG 유전체를 포함하는 샘플 웨이퍼상에서의 2개의 FTIR 스펙트럼그래프 트레이스의 그래프이다.
도 4a는 여러개의 "저흐름(low-flow)" 수소 기재의 포토레지스트 테스트를 상세하게 나타내는 표이다.
도 4b는 도 4a에 나타낸 테스트의 결과를 요약하는 표이다.
도 5a는 천정(top) 및 바닥(bottom) 에칭 전력이 각각 500 W와 500 W이고 82 mTorr 챔버 압력에서 가해진 수소 기재의 박리를 이용해서 세정된 테스트 웨이퍼를 나타내는 SEM 포토마이크로그래프이다.
도 5b는 천정 및 바닥 에칭 전력이 각각 750 W와 1000 W이고 82 mTorr 챔버 압력에서 가해진 수소 기재의 박리를 이용해서 세정된 테스트 웨이퍼를 나타내는 SEM 포토마이크로그래프이다.
도 5c는 천정 및 바닥 에칭 전력이 각각 1000 W와 2000 W이고 82 mTorr 챔버 압력에서 가해진 수소 기재의 박리를 이용해서 세정된 테스트 웨이퍼를 나타내는 SEM 포토마이크로그래프이다.
도 6a는 천정 및 바닥 에칭 전력이 각각 1000 W와 2000 W이고 1 Torr 챔버 압력에서 가해진 수소 기재의 박리를 이용해서 세정된 테스트 웨이퍼를 나타내는 SEM 포토마이크로그래프이다.
도 6b는 천정 및 바닥 에칭 전력이 각각 750 W와 1000 W이고 1 Torr 챔버 압력에서 가해진 수소 기재의 박리를 이용해서 세정된 테스트 웨이퍼를 나타내는 SEM 포토마이크로그래프이다.
여러개의 도면에 걸쳐 동일하거나 유사한 부분들은 동일한 기재 부호를 사용한다.
본 발명은 에칭 장치 내부에 형성된 플라즈마에 의해 구현된 환원 반응을 이용하여, 하나 이상의 OSG 유전층으로 형성된 반도체 웨이퍼로부터 포토레지스트를 박리하기 위한 인시튜 공정에 관한 것이다. 플라즈마는 수소 농도가 비교적 높은 혼합 가스의 흐름에서 형성된다.
이제 도 1을 참조하면, 본 발명의 일실시예에 따라, 하나 이상의 유기실리케이트 유전층을 포함하는 반도체 웨이퍼로부터 포토레지스트를 박리하는 방법이 도시된다. 하나 이상의 OSG층으로 형성된 반도체 웨이퍼를 DFC(Dual Frequency capacitively-coupled) 유전체 에칭 시스템의 반응관에 배치하였다. 그 시스템 중 하나는 미국 캘리포니아주 프리몬트 Lam(등록상표) 리서치사로부터 입수 가능한 Lam(등록상표) 리서치 모델 4520XLE TM이다. 단계(102)에서, 하나 이상의 반도체 제조 또는 형성 단계를 웨이퍼상에서 수행하였다. 예컨대, 상기 제조 또는 형성 단계는 포토레지스트 패터닝, 에칭, 및 증착을 포함하며, 이것에 한정되지는 않는다. 제조 단계에 이어서, 단계(104)에서, 포토레지스트 박리 식각제 가스의 흐름을 반응관 내부로 유입하였다. 포토레지스트 박리 가스는, 예를 들어 질소와 같은 실질적으로 불활성 희석제 및 수소를 함유한 혼합 가스이다. 또다른 희석제는 동일한 설비를 이용해서 구현될 수 있다. 가급적, 박리 가스 내에서 수소 농도는 약 10 내지 60 %의 범위인 것이 좋다. 더욱 바람직하게는 박리 가스 내에서 수소 농도는 약 50 %이면 좋다.
박리 가스를 우선적으로 약 80 내지 10000 mTorr의 범위의 압력에서 반응관 내에 유지하였다. 단계(106)에서, 플라즈마를 2 MHz와 27 MHz에서 동작하는 이중 주파수 유전체 에칭 시스템에 의해 웨이퍼 상에서 형성하였다. 각각의 주파수에서 플라즈마에 인가된 전력은 일반적으로 약 100 내지 2000 W의 범위이다. 가급적 약 500 내지 1500 W의 범위이면 좋다. 이중 주파수 시스템에서의 각 주파수에 관련해서 인가된 전력 레벨은 서로 다를 수 있다.
플라즈마를 사용하여, 유기실리케이트 유전체에 손상을 주지 않고 유기실리케이트의 유전 특성없이도, 단계(108)에서 포토레지스트를 웨이퍼에서 박리시켰다. 애쉬 비율을 상승시키기 위해서 박리 가스 흐름을 높은 흐름 비율로 유지하였다. 본 발명의 원리에 따르면 전체 가스는 2000 내지 3000 sccm의 범위 및 이보다 더 높은 범위가 가능하다.
본 발명은 각종의 반도체 설계를 달성하기 위해 각종의 웨이퍼 스택상에서 만족스러운 결과를 달성하도록 가변 갯수의 공정 파라미터를 고려한다. 원하는 결과, 수율 및 시스템 능력을 비롯한 다양한 요소들이 공정 파라미터의 최적의 조합을 형성하여 원하는 설계를 달성할 것이다. 그렇게 이루어진 각각의 조합이 본 발명에서 구체적으로 고찰된다.
제1 바람직한 실시예에 따라, OSG, 예컨대 Novellus Coral가 0.1 내지 20 kÅ로, 바람직하게는 1 내지 15 kÅ로, 더욱 바람직하게는 3 내지 8 kÅ로 증착된 실리콘 웨이퍼 기판이 제공된다. OSG 위에는 디프(deep)-포토레지스트, 예컨대 Arch 케미컬사의 디프-UV 포토레지스트층이 도포된다. 이 층은 두께가 0.5 내지 20 kÅ이며, 바람직하게는 약 1 내지 15 kÅ이며, 더욱 바람직하게는 5 내지 8 kÅ이다. 당업자라면 알고 있겠지만, 기판과 OSG 사이 및 OSG와 포토레지스트 사이에 삽입층을 삽입할 수 있다. 이러한 또다른 각 방법들이 본 발명에서 고찰된다.
웨이퍼는 반도체 제조 시스템, 예를 들어 Lam(등록상표) 리서치 모델 4520XLE TM DFC(dual-frequency capacitively-coupled) 유전체 에칭 시스템에서 배치된다. 이 때, 선택적으로 하나 이상의 반도체 웨이퍼 제조 단계를 실행할 수 있다. 이들 단계는 패터닝, 에칭, 증착, 평탄화, 도핑, 금속화, 및 당업자들에게 잘 알려져 있는 기타 반도체 형성 단계를 포함하며, 이것에 특정하게 한정되어 있지는 않다. 실질적으로 모든 포토레지스트는 다음과 같이 웨이퍼로부터 박리된다. 웨이퍼는 에칭 시스템의 반응 챔버에 배치된다. 2와 27 MHz에서 이중 주파수 전력이 천정 전력 레벨 1000 W와 바닥 전력 레벨 2000 W로 시스템에 인가된다. 또다른 주파수와 전력을 사용할 수도 있다. 제한적이지 않고 예시적으로, 약 200 내지 2000 W의 전력을 사용할 수 있다. 이어서 H2/N2 식각제 가스의 흐름이 반응 챔버에 유입되고 플라즈마가 형성된다. 이 실시예에서, 각각 550 sccm와 550 sccm의 부분적 H2/N2 흐름을 사용하여, H2/N2의 1:1 또는 50 %:50 %이 이루어진다. 제한적이지 않고 예시적으로, 이 농도는 H2가 20 내지 80 %가 될 수 있고 N2가 20 내지 80 %가 될 수 있다. 당업계에 알려져 있는 다른 희석제를 사용할 수도 있다.
이 실시예에서 반응 챔버 압력을 1 Torr로 설정하였다. 이 실시예에 있어서, 그 결과 웨이퍼 면적 압력(WAP : wafer area pressure)이 1 Torr가 된다. 이러한 직접적인 관계는 항상 달성되지 않는다(도 2를 참조). 본 발명에서는 챔버 압력을 약 82 mTorr 내지 1 Torr에 설정하여, 그 결과 WAP는 200 mTorr 내지 1 Torr가 된다.
후면 냉각 He 흐름을 약 15 Torr로 설정하였다. 선택적으로, 반도체 제작 공정에 불리하게 작용하지 않는 어떠한 후면 냉각제 흐름 및 가스도 사용할 수 있다. 이러한 하위 전극 온도의 범위는 일반적으로 약 -10 ℃ 내지 +40 ℃이다. 이 바람직한 실시예에서 냉각제 He의 흐름을 하부 전극 온도가 약 +20 ℃와 약 +30 ℃ 사이에 유지되게 설정하였다. He 냉각제 흐름은, 이전의 에칭 단계 중에 사용된 냉각제 흐름에서 PR 박리 과정 중에 냉각제 흐름을 감소시킴으로써 본 실시예의 제조 공정이 에칭 단계에서 PR 박리 단계로 바뀔 때 변한다. 이것에 의해 시스템은 포토레지스트 박리를 최적화하는데 필요한 웨이퍼 온도의 변화를 계속해서 제공하면서 전극 온도를 유지한다.
OSG 구성상에서 본 발명이 시사하는 PR 박리 효과가 도 3에 도시되고 있다. 전술한 바와 같이, PR 박리 평가 전에, Novellus Coral 필름에 대해 제1 FTIR 스펙트럼그래픽이 판독되고, 그 결과 합성된 트레이스가 참조 번호 300으로 표시된다. PR 박리에 이어서, 두번째 판독이 이루어지고, 그 결과 합성된 트레이스가 처음 트레이스 300 위에서 302로 표시된다. 도시된 바와 같이, 2개의 트레이스는 원래 구성과 PR 박리 후의 구성 간에 약 2.5 % 이상의 큰 변화가 없는 곳에서는 실질적으로 같은 배선이다. 이것은 본 발명이 OSG 구성에 무시할 만할 변화를 가진 OSG 유전체를 이용하여 반도체에 대해 효율적이며 유효한 PR 박리를 수행한다는 증거이다.
전술한 박리 공정을 플라즈마의 사용을 필요로 하는 또다른 반도체 제조 단계, 예컨대 에칭 단계에 후속하여 실행할 경우, 본 명세서에서 설명하는 방법은 반응관 안에서 진공을 중단시키지 않고 에칭 단계에서 포토레지스트 박리 단계로의 변경을 가능하게 할 수 있다. 대신에, 본 발명의 공정은 식각제 흐름을 동일한 반응관 내에서 에칭 가스 흐름에서 포토레지스트 박리 가스 흐름으로 바꾸는 것을 고려한다. 선택적으로, 웨이퍼 후면 냉각은 적합한 웨이퍼 온도를 제공하여 PR 박리를 달성하도록 변화될 수 있다.
제2 바람직한 실시예에서는, 현저히 증가된 H2/N2 흐름을 사용하였다. 이 실시예에 있어서, 챔버 압력을 약 500 mTorr로 설정하여 WAP는 약 680 mTorr가 되었다. 전력을 천정 및 바닥을 각각 750 W/1000 W로 설정하였다. H2 흐름을 800 sccm에 설정하고 N2 흐름은 3200 sccm로 설정하였다. 그 결과, 에칭 속도는 약 7072 Å/min이 된다.
제3 바람직한 실시예에서는 현저히 증가한 H2/N2 흐름을 사용하였다. 이 실시예에 있어서, 챔버 압력을 약 800 mTorr로 설정하여 WAP는 약 980 mTorr가 되었다. 전력을 천정 및 바닥을 각각 750 W/1000 W로 설정하였다. H2 흐름을 800 sccm에 설정하고 N2 흐름은 4200 sccm로 설정하였다. 그 결과, 에칭 속도는 약 6700 Å/min이 된다.
제4 바람직한 실시예에서, 현저히 증가한 H2/N2 흐름을 다시 한번 사용하였다. 이 실시예에 있어서, 챔버 압력을 약 490 mTorr로 설정하여 WAP는 약 690 mTorr가 되었다. 전력을 천정 및 바닥을 각각 750 W/1000 W로 설정하였다. H2 흐름을 600 sccm로 설정하였고 N2 흐름은 3200 sccm로 설정하였다. 그 결과, 에칭 속도는 약 6786 Å/min이다.
본 발명의 가변 갯수의 공정 파라미터의 영향을 조사하기 위해서, 일련의 테스트 웨이퍼를 준비하여 가공 처리하였다. 실리콘 테스트 웨이퍼는 OSG 유전층과 패터닝된 디프-UV 포토레지스트층을 포함하는 웨이퍼 스택으로 구성된다. 테스트된 유전체는 Novellus'Coral이다. 포토레지스트로서는 디프-UV 포토레지스트를 미국 로드 아일랜드주 노스 킹스타운에 소재하는 Arch 케미컬사로부터 입수 할 수 있다. 본 발명의 수개의 공정 변수를 변경하여 유전체의 특성에 대한 포토레지스트 공정의 결과뿐만 아니라, 에칭 속도(ER)에 대한 결과와 잔여물 형성을 결정한다. 테스트는 플라즈마 전력 수준, 수소 희석제 비율, 전체 식각제 흐름, 압력, 웨이퍼 후면 He 냉각제 흐름이 변하는 Lam(등록상표) 리서치 코포레이션 4520XLE TM 또는 ExelanTM DFC 건식 에칭 시스템 중 하나에서 수행되었다. 이들 테스트의 결과는 도 4a 내지 도 6b에 참조적으로 도시된다.
이제 도 4a를 참조하여, 본 발명의 수소 기재의 포토레지스트 박리의 수개의 가변 공정 변수의 결과를 상세히 설명한다. 테스트 1과 2에서 PR 박리 중에 변화하는 플라즈마 에너지를 테스트하였다. 테스트 1에서 천정 및 바닥 전력은 500 W로 서로 동일하다. 테스트 2에서, 천정 전력을 1250 W까지 높이고 바닥 전력은 1500 W까지 높였다. 테스트 1과 2 양쪽의 챔버 압력을 200 mTorr로 설정하였고, 수소 및 희석제(N2) 흐름을 180 sccm에서 유지시켰으며, He 웨이퍼 냉각제 흐름을 15 Torr에서 안정화하였다. 저전력 테스트에 대한 에칭 속도는 2354 Å/min이였고, 고전력 설정값에 대한 에칭 속도는 4522 Å/min였다. 천정 및 바닥을 각각 750 W와 1000 W로 제어하여 3408 Å/min의 에칭 속도를 달성하였다.
H2/N2 비의 변경에 따른 영향을 다음 테스트 4와 5에서 조사하였다. 양 테스트에서, 챔버 압력은 200 mTorr로 일정하였고, 천정 및 바닥 전력 레벨은 각각 750과 1000에 설정하였으며, He 후면 냉각제 흐름은 15 sccm로 설정하였다. 테스트 4에서, H2 흐름은 180 sccm로, N2 흐름은 90 sccm로 설정하였다. 테스트 5에서 이들 부분적 흐름을 반대로 하였다. 테스트 4에서는 2806 Å/min의 에칭 속도를 달성하였다. 테스트 5에서는 에칭 속도가 3060 Å/min까지 증가하였다.
테스트 6과 테스트 7에서는 상승 압력 및 흐름의 역할을 조사하였다. 테스트 6에서, 200 mTorr의 챔버 압력을 100 sccm로 동등해진 부분적 H2 및 N2로 유지하였다, 2644 Å/min의 에칭 속도를 달성하였다. 테스트 7에서는 챔버 압력을 500 mTorr까지 높이고, H2와 N2 가스 흐름을 180 sccm까지 증가시켜, 3630 Å/min의 에칭 속도를 달성하였다.
테스트 8 내지 10은 증가한 전체 식각제 가스 흐름의 영향을 테스트하였다. 테스트 8과 10에서는 챔버 압력을 120 mTorr에 설정하였고 천정과 바닥 레벨을 500 W에 각각 설정하였으며 부분적인 H2와 N2 가스 흐름은 각각 800 sccm로 동등하게 하였다. 이들 테스트에서는 각각 3666Å/min과 4000 Å/min의 에칭 속도를 달성하였다. 테스트 9에서, 천정 및 바닥 전력 레벨을 각각 1250과 1500까지 높이는 것을 제외하고는 모든 공정 변수들을 유지시켰다. 이 테스트는 일련의 테스트 중에서 7539 Å/min의 최고의 에칭 속도를 달성하였다.
테스트 11과 테스트 12는 He 후면 냉각의 역할을 조사하였다. 이들 테스트 각각은 챔버 온도를 120 mTorr에, 천정 및 바닥 전력을 각각 500 W에, H2와 N2의 가스 흐름은 각각 800 sccm로 동등하게 설정하였다. 테스트 11에서, He 후면 냉각제 흐름을 이전의 모든 테스트에 사용된 표준 15 sccm에서 8 sccm로 감소시켰다. 그 결과에 의해 상승된 온도로 3824 Å/min의 에칭 속도를 달성하였고, 이는 테스트 8보다 158Å/min가 증가한 것이다. 테스트 12에서는 후면 냉각제 흐름을 2 sccm까지 더 감소시켰고, 웨이퍼 온도가 추가로 상승하여, 그 결과로 에칭 속도는 4101 Å/min이였고, 이는 테스트 8보다 435 Å/min가 증가한 것이다.
마지막으로, 테스트 13에서는 부분적인 H2 흐름을 테스트 8의 800 sccm에서 100 sccm으로 감소시켰다. 그 결과, 3666 Å/min에서 3104 Å/min으로 에칭 속도의 감소가 수반되었다.
도 4b를 참조하면서, 이들 테스트 결과를 다음과 같이 요약할 수 있다. H2/N2 비의 감소는 에칭 속도에 약간의 감소를 수반하며, 전체 H2/N2 흐름의 증가는 에칭 속도를 현저히 증가시킨다. 챔버 압력을 상승시켜 에칭 속도에서 적당한 이득을 얻는다. 천정 및 바닥 전력 레벨의 상승은 실질적으로 에칭 속도의 증가와 연관된다. 최고의 에칭 속도 증가는 높은 가스 흐름과 압력에 의해 달성된다. 후면 냉각의 변화는 증가한 냉각제 흐름간에, 즉 더 낮은 웨이퍼 온도 및 낮은 에칭 속도간에 다소 부정적인 상관 관계를 나타내었다. 챔버 압력 및 플라즈마 전력 레벨의 영향은 도 5a 내지 도 5c와 도 6a 내지 도 6b에 또한 도시된다. 도 5a에서 테스트 웨이퍼를, 전술한 바와 같이 각각 500 sccm의 부분적인 H2/N2 흐름과, 500 W의 천정 및 바닥 플라즈마 전력 레벨을 이용하여 박리하였다. 챔버 압력을 82 mTorr에 설정하였다. 일반적으로 양호한 PR 박리를 수용 가능한 잔여물 수준에서 달성하였다.
도 5b에서, 동일한 테스트를 수행하였고, 이 때 천정 및 바닥 플라즈마 레벨을 각각 750 W와 1000 W로 높였다. 도시된 바와 같이, 이것은 적당한 잔여물 형성이다. 웨이퍼의 엣지보다 중심에 잔여물이 더 많이 형성되었다.
도 5c에서, 천정 및 바닥 전력을 각각 1000 W와 2000 W되게 전력을 다시 높였다. 더욱 많은 잔여물이 형성되어, 웨이퍼의 중심을 향해 잔여물의 수준이 다시 증가하였다.
도 6a에서, 챔버 압력을 1 Torr까지 높이고, 전력을 천정 및 바닥이 각각 1000 W와 2000 W이 되게 높였다. 그 결과, 테스트 웨이퍼의 중심과 엣지 양쪽에서 최소의 잔여물로 높은 박리율이 달성되었다. 전력 레벨에 있어서 천정 및 바닥을 750 W와 1000 W로 낮추었을 때 잔여물 형성이 최소화된 적당히 높은 박리율을 달성하였다.
도 6b에서, 챔버 압력을 1 Torr로 유지시키고, 전력을 천정 및 바닥을 각각 750 W와 1000 W로 낮추었다. 매우 만족스러운 결과이지만, 전력의 감소로 인해 공정 시간이 증가되고 전술한 실시예에서 보다 잔여물이 약간 더 많았다.
마지막으로, 도 2에, 3개의 상이한 Lam(등록상표) 리서치 시스템에서의 WAP와 전체 가스 흐름간의 관계가 요약된다. Lam(등록상표) HPTM는 100 % 압력 제어를 이용한다. 이 시스템에 있어서, WAP는 0 %, 50 %, 100 % 제한 링 위치(confinement ring position)에서 결정된다. XLE(등록상표)에 있어서, 시스템의 트로틀 밸브(throttle valve)를 완전히 개방한 상태에서, 1.30 cm의 전극 갭에서 WAP를 결정하였다. Exelan 시스템에서의 WAP와 전체 가스 흐름간의 관계는 0 %와 100 % 제한 링 위치에서 수행되었다.
본 발명을 소정의 바람직한 실시예의 특징에 관해서 특정적으로 도시하여 설명하였다. 그러나, 당업자라면 그 형태와 세부 사항에 대한 변화 및 변경이 첨부 청구 범위에서 설명하는 본 발명의 사상 및 기술 범위에 일탈하지 않고 이루어질 수 있다는 것을 분명히 알 수 있을 것이다. 특히, 본 발명의 원리는 당업계에 알려진 여러 필름층 중 임의 층의 통합 및, 하나 이상의 OSG 유전층으로 형성된 각종의 반도체 웨이퍼에 대해 본 명세서에서 설명한 하나 이상의 다양한 특징 및 장점들을 특별하게 고려한다. 또한, 본 명세서에 열거된 이론은 각종 수소 적정 농도, 박리 가스 압력과 흐름율, 플라즈마 압력 수준, 웨이퍼 온도, 및 플라즈마 주파수에 관한 것이다. 이들 각각의 다른 방법들은 발명의 원리에서 자세하게 고려된 것이다.

Claims (17)

  1. 유기실리케이트 유전층을 포함하는 반도체 웨이퍼에서 포토레지스트를 박리하는 방법으로서,
    하나 이상의 반응관을 포함하는 반도체 제조 시스템 내부에 상기 웨이퍼를 배치하는 단계;
    상기 반응관 내부로 환원 생성 가스의 흐름을 유입시키는 단계로서, 상기 환원 생성 가스의 유입은 상기 반응관에 놓인 상기 웨이퍼상에서 수행된 반도체 제조 단계에 후속하여 수행되는, 상기 환원 생성 가스의 흐름을 유입시키는 단계;
    상기 환원 생성 가스로, 상기 웨이퍼의 일부 또는 전체에 근접하게 상기 반응관에서 환원성 플라즈마를 형성하는 단계; 및
    상기 환원성 플라즈마를 이용하여, 상기 반도체 제조 시스템 내의 웨이퍼에서 포토레지스트의 일부 또는 전체를 인시튜 (in-situ) 박리하는 단계를 포함하는 포토레지스트 박리 방법.
  2. 제 1 항에 있어서,
    복수의 반응관을 포함하는 반도체 제조 시스템에 적용되며, 상기 반도체 제조 단계 및 상기 박리 단계는 상기 복수의 반응관 중 단일 반응관에서 수행되는, 포토레지스트 박리 방법.
  3. 제 1 항에 있어서,
    상기 환원 생성 가스의 흐름은 불활성 희석제와 수소의 혼합물을 함유하는, 포토레지스트 박리 방법.
  4. 제 3 항에 있어서,
    상기 불활성 희석제와 수소의 혼합물은 80% 내지 20 %의 질소와 20 % 내지 80 %의 수소의 혼합물을 함유하는, 포토레지스트 박리 방법.
  5. 제 3 항에 있어서,
    수소 흐름은 150 sccm 내지 5000 sccm이고, 불활성 희석제의 흐름은 150 sccm 내지 5000 sccm의 질소 흐름을 포함하는, 포토레지스트 박리 방법.
  6. 제 1 항에 있어서,
    환원성 플라즈마의 형성 단계는 RF 전력을 상기 반응관의 전극에 인가하는 단계를 더 포함하고, 상기 RF 전력은 주파수의 범위가 100 kHz 내지 30 MHz이고, 전력 레벨은 150 W 내지 5000 W인, 포토레지스트 박리 방법.
  7. 제 1 항에 있어서,
    20 mTorr 내지 1 Torr에서 웨이퍼 면적 압력을 유지하는 단계를 더 포함하는, 포토레지스트 박리 방법.
  8. 제 1 항에 있어서,
    챔버 압력을 30 mTorr 내지 5 Torr로 유지하는 단계를 더 포함하는, 포토레지스트 박리 방법.
  9. 제 1 항에 있어서,
    상기 포토레지스트를 박리하는 단계에서 하부 전극 온도를 -10 ℃ 내지 +40 ℃로 유지하는 단계를 더 포함하는, 포토레지스트 박리 방법.
  10. 제 9 항에 있어서,
    웨이퍼 후면 냉각제 헬륨 가스의 흐름을 2 Torr 내지 15 Torr의 압력으로 인가하는 단계를 더 포함하는, 포토레지스트 박리 방법.
  11. 제 10 항에 있어서,
    플라즈마 및 후면 냉각제 헬륨 가스의 제1 흐름을 필요로 하는 또다른 반도체 제조 단계에 후속하여 수행되는 상기 반도체 웨이퍼에서 포토레지스트를 박리하는 단계에서, 상기 후면 냉각제 헬륨 가스의 제1 흐름을 2 내지 15 Torr의 유량으로 후면 냉각제 헬륨 가스의 제2 흐름에 인가하는 단계를 포함함으로써, 하부 전극 온도가 포토레지스트 박리 단계에서 -10 ℃ 내지 +40 ℃로 유지되는, 포토레지스트 박리 방법.
  12. 유기실리케이트 유전층을 포함하는 반도체 웨이퍼에서 포토레지스트를 박리하는 방법으로서,
    하나 이상의 반응관을 포함하는 반도체 제조 시스템 내부에 상기 웨이퍼를 배치하는 단계;
    환원 생성 가스 흐름을 상기 반응관 내부로 유입시키는 단계;
    상기 환원 생성 가스로, 상기 웨이퍼의 일부 또는 전체에 근접하여 상기 반응관에 환원성 플라즈마를 형성하는 단계;
    상기 환원성 플라즈마를 사용하여 상기 웨이퍼로부터 상기 포토레지스트의 일부 또는 전체를 박리하는 단계; 및
    포토레지스트 박리 동안 웨이퍼 온도를 -10 ℃ 내지 40 ℃로 유지하는 단계를 포함하는 포토레지스트 박리 방법.
  13. 제 12 항에 있어서,
    상기 환원 생성 가스는 불활성 희석제와 20 % 이상의 수소를 포함하는, 포토레지스트 박리 방법.
  14. 제 13 항에 있어서,
    상기 불활성 희석제는 질소인, 포토레지스트 박리 방법.
  15. 제 12 항에 있어서,
    상기 반도체 제조 시스템 내부에 상기 웨이퍼를 배치하는 단계에 후속하고, 상기 환원 생성 가스 흐름을 유입하는 단계 이전에, 상기 반응관에 놓인 상기 웨이퍼상에 반도체 제조 단계를 수행하는 단계를 더 포함하는, 포토레지스트 박리 방법.
  16. 유기실리케이트 유전층을 포함하는 반도체 웨이퍼로부터 포토레지스트를 박리하는 방법으로서,
    상기 방법은
    하나 이상의 반응관을 포함하는 반도체 제조 시스템 내부에 상기 웨이퍼를 배치하는 단계;
    불활성 희석제와 20 % 이상의 수소를 함유하는 환원 생성 가스 흐름을 상기 반응관 내부로 유입시키는 단계;
    상기 환원성 가스로, 상기 웨이퍼의 일부 또는 전체에 근접하게 상기 반응관에 환원성 플라즈마를 형성하는 단계, 및
    상기 환원성 플라즈마를 이용하여 상기 웨이퍼로부터 상기 포토레지스트의 일부 또는 전체를 박리하는 단계를 포함하고,
    상기 방법은
    상기 반도체 제조 시스템 내부에 상기 웨이퍼를 배치하는 단계에 후속하고, 상기 환원 생성 가스의 흐름을 유입하는 단계 이전에, 상기 반응관에 놓인 상기 웨이퍼 상에 반도체 제조 단계를 수행하는 단계를 더 포함하며,
    상기 포토레지스트의 일부 또는 전체를 박리하는 단계는 상기 반도체 제조 시스템 내에서 인시튜로 수행되는, 포토레지스트 박리 방법.
  17. 삭제
KR1020027018042A 2000-06-30 2001-06-13 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스 KR100778260B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/608,251 US6426304B1 (en) 2000-06-30 2000-06-30 Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US09/608,251 2000-06-30
PCT/US2001/019175 WO2002003426A2 (en) 2000-06-30 2001-06-13 Process for the post etch stripping of photoresist with hydrogen

Publications (2)

Publication Number Publication Date
KR20030024717A KR20030024717A (ko) 2003-03-26
KR100778260B1 true KR100778260B1 (ko) 2007-11-22

Family

ID=24435679

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027018042A KR100778260B1 (ko) 2000-06-30 2001-06-13 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스

Country Status (8)

Country Link
US (1) US6426304B1 (ko)
EP (1) EP1295315A2 (ko)
JP (1) JP5183850B2 (ko)
KR (1) KR100778260B1 (ko)
CN (1) CN1319133C (ko)
AU (1) AU2001271312A1 (ko)
TW (1) TWI281688B (ko)
WO (1) WO2002003426A2 (ko)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US7179751B2 (en) * 2001-10-11 2007-02-20 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials
US6967173B2 (en) * 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
KR20050044806A (ko) * 2002-09-18 2005-05-12 맷슨 테크놀로지, 인크. 물질을 제거하기 위한 시스템 및 방법
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6913994B2 (en) * 2003-04-09 2005-07-05 Agency For Science, Technology And Research Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
TWI235455B (en) * 2003-05-21 2005-07-01 Semiconductor Leading Edge Tec Method for manufacturing semiconductor device
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
US7202177B2 (en) * 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US20050272237A1 (en) * 2004-06-03 2005-12-08 Epion Corporation Dual damascene integration structure and method for forming improved dual damascene integration structure
US20070193602A1 (en) * 2004-07-12 2007-08-23 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7029992B2 (en) * 2004-08-17 2006-04-18 Taiwan Semiconductor Manufacturing Company Low oxygen content photoresist stripping process for low dielectric constant materials
US7598176B2 (en) * 2004-09-23 2009-10-06 Taiwan Semiconductor Manufacturing Co. Ltd. Method for photoresist stripping and treatment of low-k dielectric material
US7514725B2 (en) * 2004-11-30 2009-04-07 Spire Corporation Nanophotovoltaic devices
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US7700494B2 (en) * 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US7585722B2 (en) * 2006-01-10 2009-09-08 International Business Machines Corporation Integrated circuit comb capacitor
KR100827435B1 (ko) * 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
JP5339679B2 (ja) * 2006-09-28 2013-11-13 富士フイルム株式会社 高融点化合物の除去方法及び設備、溶媒回収方法及び設備、溶液製膜方法及び設備
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
JP2009049383A (ja) * 2007-07-26 2009-03-05 Panasonic Corp 半導体装置の製造方法および半導体製造装置
CN101458463B (zh) * 2007-12-13 2011-08-17 中芯国际集成电路制造(上海)有限公司 灰化的方法
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5434970B2 (ja) 2010-07-12 2014-03-05 セントラル硝子株式会社 ドライエッチング剤
US8119522B1 (en) 2010-11-08 2012-02-21 International Business Machines Corporation Method of fabricating damascene structures
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN102709229A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种形成钨塞的方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
WO2021011525A1 (en) 2019-07-18 2021-01-21 Mattson Technology, Inc. Processing of workpieces using hydrogen radicals and ozone gas

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958798A (en) * 1997-12-18 1999-09-28 Advanced Micro Devices, Inc. Borderless vias without degradation of HSQ gap fill layers
WO2000010199A1 (en) 1998-08-17 2000-02-24 Lam Research Corporation Low capacitance dielectric layer etching using hydrogen-nitrogen plasma

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04120729A (ja) * 1990-09-12 1992-04-21 Hitachi Ltd レジストのエッチング方法
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
JPH10144633A (ja) * 1996-11-08 1998-05-29 Mitsubishi Electric Corp 半導体装置の製造方法
JPH11145241A (ja) * 1997-11-06 1999-05-28 Toshiba Corp マルチチャンバシステムおよび基板検出方法
JPH11150101A (ja) * 1997-11-18 1999-06-02 Nec Corp 半導体装置の製造方法
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
JPH11251294A (ja) * 1998-02-27 1999-09-17 Sony Corp 半導体装置の製造方法
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
JP2000106358A (ja) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp 半導体製造装置および半導体基板の処理方法
JP2000150463A (ja) * 1998-11-16 2000-05-30 Canon Inc 有機層間絶縁膜のエッチング処理方法
JP2000164569A (ja) * 1998-11-25 2000-06-16 Nec Corp 半導体装置の製造方法
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958798A (en) * 1997-12-18 1999-09-28 Advanced Micro Devices, Inc. Borderless vias without degradation of HSQ gap fill layers
WO2000010199A1 (en) 1998-08-17 2000-02-24 Lam Research Corporation Low capacitance dielectric layer etching using hydrogen-nitrogen plasma

Also Published As

Publication number Publication date
JP5183850B2 (ja) 2013-04-17
KR20030024717A (ko) 2003-03-26
WO2002003426A2 (en) 2002-01-10
US6426304B1 (en) 2002-07-30
JP2004502319A (ja) 2004-01-22
CN1319133C (zh) 2007-05-30
AU2001271312A1 (en) 2002-01-14
EP1295315A2 (en) 2003-03-26
WO2002003426A3 (en) 2002-06-06
CN1449574A (zh) 2003-10-15
TWI281688B (en) 2007-05-21

Similar Documents

Publication Publication Date Title
KR100778260B1 (ko) 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스
US6620733B2 (en) Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6777344B2 (en) Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6841483B2 (en) Unique process chemistry for etching organic low-k materials
US6653734B2 (en) Convertible hot edge ring to improve low-K dielectric etch
US6893969B2 (en) Use of ammonia for etching organic low-k dielectrics
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
US7265056B2 (en) Method for forming novel BARC open for precision critical dimension control
US20100327413A1 (en) Hardmask open and etch profile control with hardmask open
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
JP2002520853A (ja) 二重象眼のために特に有用な、誘電体多層構造のプラズマエッチプロセス
KR20010112464A (ko) 저-커패시턴스 유전층 에칭 기술
JP2006013190A (ja) 半導体装置の製造方法
US6647994B1 (en) Method of resist stripping over low-k dielectric material
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
JP3380947B2 (ja) 低誘電率酸化シリコン系絶縁膜のプラズマエッチング方法
WO2000026954A1 (en) Method of reducing stop layer loss in a photoresist stripping process using hydrogen as a fluorine scavenger
Li et al. Kang.“

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20121025

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131025

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141028

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151027

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161027

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171026

Year of fee payment: 11