CN1286153C - 一种用于蚀刻晶片的电介质层的方法 - Google Patents

一种用于蚀刻晶片的电介质层的方法 Download PDF

Info

Publication number
CN1286153C
CN1286153C CNB028081528A CN02808152A CN1286153C CN 1286153 C CN1286153 C CN 1286153C CN B028081528 A CNB028081528 A CN B028081528A CN 02808152 A CN02808152 A CN 02808152A CN 1286153 C CN1286153 C CN 1286153C
Authority
CN
China
Prior art keywords
etching
flow
etchant
dielectric layer
hydrocarbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028081528A
Other languages
English (en)
Other versions
CN1502119A (zh
Inventor
C·W·何
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1502119A publication Critical patent/CN1502119A/zh
Application granted granted Critical
Publication of CN1286153C publication Critical patent/CN1286153C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种用于蚀刻集成电路晶片方法,该晶片结合有至少一个电介质层。通常,晶片设置在反应室中。包括碳氢化合物添加剂和活性蚀刻剂的蚀刻剂气体流入反应室。由反应室中的蚀刻剂气体形成等离子体。在电介质层的至少一部分中蚀刻特征。适合的几种碳氢化合物源适合于实施本发明。这些碳氢化合物包括,但并不具体地限于:乙烯C2H4;乙烷C2H6;和甲烷CH4

Description

一种用于蚀刻晶片的电介质层的方法
相关申请
本申请涉及共同转让的Helen H.Zhu等人的发明名称为“UNIQUEPROCESS CHEMISTRY FOR ETCHING ORGANIC LOW-KMATERIALS”的美国专利授权号No.——(代理人编号No.LAM1P147/P0675),在此引用其内容作为参考。
本发明还涉及共同转让的Rao V.Annapragada等人的发明名称为“POST-ETCH PHOTORESIST STRIP WITH O2 AND NH3 FORORGANOSILICATE GLASS LOW-K DIELECTRIC ETCHAPPLICATIONS”的美国专利授权号No.——(代理人编号No.LAM1P149/P0685),在此引用其内容作为参考。
本发明还涉及共同转让的Chok W.HO等人的发明名称为“USE OFAMMONIA FOR ETCHING ORGANIC LOW-K DIELECTRICS”的美国专利授权号No.——(代理人编号No.LAM1P152/P0692),在此引用其内容作为参考。
技术领域
本发明涉及半导体的制造。更具体地,本发明涉及半导体晶片处理期间消除在蚀刻低k电介质期间的微掩蔽。
背景技术
集成电路利用电介质层,该电介质层典型由二氧化硅SiO2形成以便使半导体结构的各种层上的各导线绝缘。随着半导体电路变得更快更紧凑,工作频率不断提高,半导体器件内的各导线之间的距离不断降低。这样就导致了电路的耦合电容量的增加,耦合电容的增加具有使半导体器件的工作减慢的缺点。因此,利用能够使各导线有效地绝缘而避免增加耦合电容量的电介质层是很重要的。
通常,集成电路中的耦合电容与用于形成电介质层的材料的介电常数成正比。如上所述,在常规集成电路中的电介质层传统地由SiO2形成,其介电常数为大约4.0。随着半导体器件中的线密度和工作频率的增加,由SiO2形成的电介质层就不能使各导线有效地绝缘至防止耦合电容量的增加所要求的程度。
在减少集成电路中的耦合电容量的努力中,半导体工业致力于研究开发具有介电常数低于SiO2的介电常数的材料,这种材料适合于在集成电路中形成为电介质层。目前,已经开发出了有时称为“低k材料”的所期望的多种材料。这些新电介质材料的大多数是有机化合物。在说明书和权利要求书中,低k材料定义为介电常数“k”小于4的材料。
低k材料包括,但并不特别限定为:苯并环丁烯(benzocyclobutene)或BCB;由Allied Signalof Morristown,NJ,a division of Honeywell,Inc.,Minneapolis,MN制造的FlareTM;从Union CarbideCorporation,Danbury CT可获取的一种或多种聚对亚苯基二甲基二聚体(parylenedimers);聚四氟乙烯或PTFE;以及SiLK。适合于IC电介质应用的一种PTFE是从W.L.Gore & Associates,Inc,Newark,DE可获取的SPEEDFILMTM。从DowChemical Company,Midland,Michigan可获取的SiLK是无硅BCB。
在半导体晶片处理期间,利用公知的构图和蚀刻工艺在晶片中限定出半导体器件的特征。在这些工艺中,在晶片上淀积光刻胶(PR)材料,然后通过掩模板过滤的光对光刻胶进行曝光。掩模板通常为构图有典型特征的几何图形的玻璃板,该典型特征的几何图形阻挡光穿过掩模板传播。
在光穿过掩模板之后,光就接触光刻胶材料的表面。光改变光刻胶材料的化学组分,以致显影机可以去除光刻胶材料的一部分。在正性光刻胶材料的情况下,去除曝光区;在负性光刻胶材料的情况下,去除非曝光区。此后,蚀刻晶片以去除不再受光刻胶材料保护的区域下面的材料,由此在晶片中确定出所需的特征。通常,通过氧化(例如,基于氧的氧化)或还原(例如,基于氢的还原)化学工艺就可以蚀刻低k有机聚合物。
双频电容耦合(DFC)电介质腐蚀系统有利于实现电介质的蚀刻。这样的一种系统是从LamResearch Corporation,Fremont CA可获取LamResearch model 4520XLE TM和Exelan-HPTM系统。在一个系统中,4520XLE TM系统处理一种非常复杂的电介质腐蚀组合(portfolio)。处理包括接触、通孔、双层(bilevel)接触、无边界接触、氮化物和氧化物隔离物以及钝化物。
在同一系统中,先进的蚀刻系统如4520XLE TM可以进行几种处理。通过在单一系统中进行多种不同的半导体制造步骤,就可以提高晶片的生产量。甚至期望更加先进的系统在同一设备中具有其它步骤的性能。再次举例,但不限于,LamResearch公司的ExelanTM系统是一种能够在单一设备中进行多种处理步骤的干法腐蚀系统。ExelanTM能够用单一反应室原位进行硬掩模开口、无机和有机ARC蚀刻以及光刻胶剥除。这种系统的大量工艺组合包括在亚0.18微米环境下所需的掺杂的和未掺杂的氧化物以及低k电介质中的所有双镶嵌结构、接触、通孔、隔离物和钝化物的蚀刻。当然,各种各样的半导体制造系统中可以采用在此列举的原理,并且这些原理特别适用进行所有的这些替换。
在此使用的术语“原位”指在半导体制造设备的相同部分中不用从设备中移出衬底的情况下在给定的衬底例如硅晶片上执行的一种工艺或多种工艺。
许多集成电路制造技术在一个或多个用于在晶片上形成特征的构图步骤之后采用光刻胶剥除步骤。因为许多光刻胶相对于低k电介质,特别是有机低k电介质,例如SiLK,具有相似的化学组分,为了在晶片内部的特征蚀刻期间确保有良好的尺寸控制,通常在光刻胶的下面使用硬掩模。
图1A中示出了结合有硬掩模层的晶片叠层的实例。示出了具有构图的光刻胶层10的晶片1。在本实例中,晶片1包括其上淀积有碳化硅或氮化硅阻挡层20的一个硅衬底22。在阻挡层20上淀积有机硅酸盐电介质例如Novellus CoralTM的第一层18。可以在有机硅酸盐电介质的第一层的下面形成未示出的金属化结构。在第一间隔层18之间设置一个薄的碳化硅沟槽停止层16以便形成未示出的双镶嵌结构。在沟槽停止层16之上淀积第二间隔层14,例如CoralTM。在第二有机硅酸盐层14之上淀积硬掩模层12,在晶片叠层内完成该实例。硬掩模可以由SiO2、Si3N4或其它硬掩模材料,特别是无机硬掩模材料形成。在硬掩模12之上提供上述的被构图的光刻胶层10。当然,本领域普通技术人员应当理解,这种晶片叠层仅仅作为一个实例。可以采用本领域普通技术人员公知的可替换的结构和薄膜以便实现可替换的集成电路的设计。
现在参照图1B,如图1B所示,当进行蚀刻,特别是蚀刻低k的OSG电介质层14和18时,去除掉光刻胶层10,暴露出下面的硬掩模12的部分。随着蚀刻的进行,硬掩模层的离子轰击还使到硬掩模层12的一部分溅射。一些溅射的硬掩模材料就会再次淀积在晶片的表面上并再次淀积在整个反应室中。图1C中放大了部分“A”。如图1C中的36所示,在蚀刻期间,至少某些这种溅射的材料进一步淀积在被蚀刻的特征的底部。微掩蔽量就随着离子密度和离子能量的增加而增加。在大的特征尺寸和在开口区域中微掩蔽更加明显。
参照图1C,示出了通过有机低k电介质层14和18,例如SiLK,并通过蚀刻停止层20蚀刻的特征。在光学显微镜下可以看见在36处再次淀积的硬掩模材料,其形成硬掩模材料的针状体34。这种材料的外貌引起了一种称为“草地(grass)”的绰号,它就是微掩蔽SiLK、形成“草地”的溅射的硬掩模。产生的微掩蔽(micromasking)不仅减慢了特征的腐蚀速度,而且它还导致了特征蚀刻的不稳定和不规则,最终导致了较差的轮廓控制和产量的降低。
在蚀刻期间可以将氟F添加到蚀刻剂气体中以便削弱草地效应。使用氟又出现了问题。首先,在蚀刻期间使用氟对临界尺寸CD的控制产生负面影响。这是因为氟可以产生硬掩模材料和有机低k材料的横向蚀刻。
发明内容
因此,就需要一种方法,该方法在蚀刻集成电路内的特征期间削弱、优选消除了草地的形成,同时在蚀刻期间维持良好的CD控制。
最后,期望利用现有的集成电路制造设备也能够实现这些优点。
将在优选实施例的部分中并结合附图更加详细地描述本发明的这些和其它特征。
为了获得根据本发明的用于在集成电路晶片中蚀刻特征的上述目的和其它目的,通常在反应室中设置结合有至少一个电介质层的晶片。将包括碳氢化合物添加剂和活性蚀刻剂的蚀刻剂气体引入反应室。在反应室中由蚀刻剂气体形成等离子体。在电介质层的至少一部分处蚀刻特征。
因此,根据本发明,提供了一种用于蚀刻晶片的电介质层的方法,该方法包括:在反应室中设置该晶片;将包括碳氢化合物添加剂和活性蚀刻剂的蚀刻剂气体的气流引入到该反应室中,该活性蚀刻剂包括氮气和氢气,其中该碳氢化合物选自由CH4、C2H4和C2H6组成的组,其中碳氢化合物的流速至少为1立方厘米每分钟,其中该活性蚀刻剂的流量与该碳氢化合物添加剂的流量之比为10000∶1至100∶50;在该反应室中由该蚀刻剂气体形成等离子体;蚀刻该电介质层的至少一部分,其中该电介质层位于硬掩模层之下;以及同时由该碳氢化合物形成并蚀刻去除在该硬掩模层上的碳氢化合物层,以减少硬掩模溅射。
本发明还提供了一种用于蚀刻晶片的电介质层的方法,其中该电介质层位于硬掩模层之下,该方法包括:在反应室中设置该晶片;将包括碳氢化合物添加剂和活性蚀刻剂的蚀刻剂气体的气流引入到该反应室中,该活性蚀刻剂包括氮气和氢气,其中该活性蚀刻剂的流量与该碳氢化合物添加剂的流量之比为1000∶1至500∶25;在该反应室中由该蚀刻剂气体形成等离子体;进行硬掩模蚀刻;同时形成并蚀刻去除该硬掩模层上的碳氢化合合物层以便减少硬掩模的溅射;以及蚀刻该电介质层的至少一部分。
本发明还提供了一种用于蚀刻晶片的电介质层的方法,该方法包括:在反应室中设置该晶片;将包括碳氢化合物添加剂和活性蚀刻剂的蚀刻剂气体的气流引入到该反应室中,该活性蚀刻剂包括氮气和氢气,其中该活性蚀刻剂的流量与该碳氢化合物添加剂的流量之比为10000∶1至100∶50;在该反应室中由该蚀刻剂气体形成等离子体;以及蚀刻该电介质层的至少一部分。
已经证实,几种适合的碳氢化合物源适合于实施本发明。这些碳氢化合物包括,但并不特别限于:乙烯、C2H4;乙烷,C2H6;和甲烷,CH4
附图说明
为了更加完整地理解本发明,在以下优选实施例的详细说明中,将附图作为参考。在附图中:
图1A是蚀刻前的晶片的示意性剖面图。
图1B利用现有技术的蚀刻方法在蚀刻期间的图1A中所示的晶片的示意性剖面图。
图1C是图1B的部分A的放大的剖面图。
图2是本发明实施例的高水平的流程图。
图3A是蚀刻前的晶片的示意性剖面图。
图3B利用本发明的优选实施例在蚀刻期间的图3A中所示的晶片的示意性剖面图。
图3C是图3B的部分B的放大的剖面图。
图4A是在测试蚀刻中采用的晶片的示意性剖面图。
图4B是在已经蚀刻抗反射涂层之后图4A的晶片的示意性剖面图。
图4C是在已经蚀刻硬掩模层之后的图4B的晶片的示意性剖面图。
图4D是在蚀刻电介质层之后的图4C的晶片的示意性剖面图。
图5是在三个实验例中使用的工艺流程图。
在附图的几个图中,参考数字表明本发明的相同或相等部分。
具体实施方式
本发明讲授一种新颖的结合碳氢化合物添加剂的化学蚀刻,用于在组合晶片中蚀刻各种各样的特征尺寸和形状。这种晶片可以结合有低k电介质,特别是有机材料例如SiLK的电介质,但本发明也可以用于蚀刻其它电介质。在此讲授的一套方法消除了在蚀刻期间由溅射的硬掩模成分形成的微掩蔽。在此讲授的一套方法进一步获得了最小的RIE滞后、通过蚀刻工艺形成的通孔和沟槽的最小弧形、优良的蚀刻剖面、优良的抗蚀剂选择性、优良的蚀刻速度、优良的CD控制和优良的晶片上的蚀刻均匀性。
为了蚀刻各种特征,包括但不具体限于包含有机低k电介质例如SiLK层的晶片中的沟槽和通孔,本发明利用碳氢化合物,例如C2H4、C2H6和CH4作为蚀刻期间的添加剂。本发明可以提供C2H4/H2/N2蚀刻剂气体,或C2H4/NH3蚀刻剂气体,或C2H4/O2/N2蚀刻剂气体。
参照图2,为了实施本发明的工艺100,晶片被放置在一种能够形成蚀刻等离子体(步骤102)的反应容器中。这种反应容器或反应室可以是一种专用的蚀刻设备,或者可以是多用途的晶片处理系统。一种特别适合于实施本发明的设备是从LamResearch Corporation,Fremont,CA获得的ExelanTM干法腐蚀系统。ExelanTM能够在单一室中原位进行硬掩模开口、无机和有机ARC蚀刻以及光刻胶剥除。当然,可以采用替换设备。
在室中放置晶片(步骤102),预先在该晶片的上表面提供有构图的光刻胶层,在(步骤104)触发蚀刻等离子体。将蚀刻剂气体流引入室(步骤106)。蚀刻剂包括至少一种碳氢化合物,碳氢化合物包括但不特别限于从由乙烯C2H4、乙烷C2H6和甲烷CH4以及活性蚀刻剂组成的组中选择出的那些材料。活性蚀刻剂可以包括氧、氢、氨或本领域普通技术人员公知的用于有效蚀刻特定电介质而选择的其它蚀刻剂。如果在电介质蚀刻之前进行其它等离子体处理,那么在引入蚀刻剂气体之前可以触发等离子体。然而,在其它实施例中,可以在等离子体触发之前就引入蚀刻剂气体,由此利用蚀刻剂气体进行等离子体触发。
一旦完成所需特征(步骤108),晶片就用于进一步的所需处理(步骤110)。
虽然以单一蚀刻步骤为中心的某些优选实施例进行了讨论,本领域普通技术人员应当理解,本发明方便执行作为多步骤的蚀刻方式的一部分。本发明特别期望适合所有的这些多步骤的蚀刻方式,包括在此结合列举的原理的电介质蚀刻方式。
现在参照图3A,示出了具有构图的光刻胶层310的一个例子的晶片301。在本实施例中,晶片301包括其上淀积有碳化硅或氮化硅阻挡层320的硅衬底322。在阻挡层320上淀积电介质层318,其可以是一种有机低k电介质,例如DowChemical Company的SiLKTM。在阻挡层320的下面形成未示出的金属化结构。在电介质层318之上淀积SiO2的硬掩模层316,由此完成晶片叠层的实例。在硬掩模316之上提供上述有构图的光刻胶层310。当然,本领域普通技术人员应当清楚,这种晶片叠层仅仅是一个实例。可以采用本领域普通技术人员公知的替换结构和薄膜以便实施可替换的集成电路设计。
在反应室中放置晶片301(步骤102)。触发蚀刻等离子体(步骤104)。将包括碳氢化合物添加剂的蚀刻剂气体流引入室(步骤106)。根据一个实施例,这种蚀刻剂气体是包含氮气N2、氢气H2和乙烯C2H4的混合气体。当然这种混合气体是完全特定的应用,根据本发明可以在结合碳氢化合物的蚀刻步骤之前或之后采用可替换的蚀刻剂和稀释剂来进行可替换的蚀刻步骤。
现在参照图3B,当蚀刻处理时,特别是蚀刻图3B中所示的电介质层318时,蚀刻去除光刻胶层310,暴露出下面的硬掩模层316的部分。图3C放大了部分“A”。碳氢化合物添加剂在硬掩模层316和光刻胶层310之上形成薄层340,薄层340有助于防止硬掩模层316的溅射。如图3C所示,通过防止或显著地减少硬掩模层316的溅射,本发明的方法就在特征的底部处防止或显著地减少了微掩蔽和“草地”,提供一种更加均匀和规则的蚀刻。
通常,用大约10MHz-大约60MHz频率的功率源提供较高频率功率电平。较高频率功率电平更加优选为20MHz-35MHz之间的频率。较高频率功率电平最好为25MHz-30MHz之间的频率。在本优选实施例中,较高频率功率电平具有大约27MHz的频率。用于电介质蚀刻的较高频率功率为大约250W-大约2500W。更加优选地,较高频率功率电平形成为大约250W-大约1500W。更加优选地,较高频率功率电平设置为大约500W-大约900W。较高频率功率电平最好设置为大约700W。
通常,大约0.25MHz-大约7MHz频率的功率源可以提供为较低频率功率电平。更加优选地,较低频率功率电平具有0.5MHz-4MHz之间的频率。更加优选地,较低频率功率电平具有1MHz-3MHz之间的频率。在本优选实施例中,较低频率功率电平为大约2MHz。较低频率功率电平设置为大约0W-大约100W。更加优选地,较低频率功率电平为大约0W-大约50W。更加优选地,较低频率功率电平设置为大约0W-大约25W。较低频率功率电平最好设置为大约0W。
蚀刻剂气体包括活性蚀刻剂和碳氢化合物添加剂。碳氢化合物可以是从由甲烷CH4、乙烷C2H6和乙烯C2H4组成的组中选择出的碳氢化合物。活性蚀刻剂的sccm流速与碳氢化合物添加剂的sccm流速之比为10000∶1-100∶50。更加优选地,活性蚀刻剂的sccm流速与碳氢化合物添加剂的sccm流速之比为1000∶1-500∶25。更加优选地,活性蚀刻剂的sccm流速与碳氢化合物添加剂的sccm流速之比为1000∶2-1000∶15。优选地,提供至少1sccm的碳氢化合物添加剂。更加优选地,提供3-300sccm之间的碳氢化合物添加剂。最好提供5-10sccm之间的碳氢化合物添加剂。
在受控温度下进行蚀刻至一段具体的时间周期。在以下讨论的实例中,在0℃-60℃之间的温度下进行第一蚀刻。更加优选为大约5℃-大约50℃。还更加优选为大约7℃-大约40℃。此外,为了进行温度控制,利用冷却气体穿过吸盘的流动来热维持晶片的温度,吸盘有时称为静电吸盘(ESC),在反应容器中支撑晶片。这种冷却气体流例如氦为大约1sccm-大约100sccm的流速,更加优选为大约2sccm-大约50sccm,更加优选为大约10sccm-大约40sccm,最好为大约31sccm。
蚀刻时间可以进一步从1秒至大约10分钟的小范围内变化,并且依情况而定。在本介绍的实例中,在最好的功率设置、气体流速和温度下进行蚀刻,在大约60秒内完成蚀刻。
实施例
进行测试以便证实本发明的工作。图4A是测试蚀刻中采用的晶片的示意性剖面图。图4A中的晶片400包括在衬底408上面放置的电介质层404。在本实施例中,电介质层404是FlareTM。在本实施例中,在电介质层404上面放置硬掩模层412。在硬掩模层412上面放置底部抗反射涂层(BARC)416。在硬掩模层412上面放置光刻胶掩模420。
图5是在三个实施例中采用的流程图。在所有三个实施例中,蚀刻第一抗反射涂层(ARC),在本实施例中为BARC 416(步骤504)。图4B是在已经蚀刻抗反射涂层416之后的图4A的晶片的示意性剖面图。随后,蚀刻硬掩模层412(步骤508)。图4C是在已经蚀刻蚀刻硬掩模层412之后的图4B的晶片的示意性剖面图。随后,进行电介质层404上的第一电介质蚀刻(步骤512)。最后,进行电介质层404上的第二电介质蚀刻(516),由此完成电介质层404的蚀刻。在第一和第二电介质蚀刻期间,由于光刻胶层420和电介质层404之间的相似性导致了光刻胶层420和电介质层404之间的低选择性,可能蚀刻去除光刻胶层420。图4D是已经蚀刻电介质层404之后的图4C的晶片的示意性剖面图。
在所有三个实施例中,在70毫乇的压力下进行抗反射涂层的蚀刻(步骤504)。采用连接到上电极和下电极的任何一个电极或两个电极的27MHz的功率源来提供较高频率功率。提供500W的较高频率功率电平。采用连接到上电极和下电极的任何一个电极或两个电极的2MHz的功率源来提供较低频率功率。提供1000W的较低频率功率电平。蚀刻剂气体包括氩气Ar的稀释剂、以及氧气O2、C4F8和CF4的活性蚀刻剂。Ar的流速提供为大约160sccm。O2提供为15sccm。C4F8提供为5sccm。CF4提供为40sccm。ESC维持在大约0℃。继续该步骤直到蚀刻穿透抗反射涂层416。
在所有三个实施例中,在55毫乇的压力下进行硬掩模涂层的蚀刻(步骤508)。提供1400W的较高频率功率电平。较低频率功率电平为1000W。蚀刻剂气体包括氩气Ar的稀释剂、以及氧气O2和C4F8的活性蚀刻剂。Ar的流速提供为大约140sccm。O2提供为9sccm。C4F8提供为15sccm。ESC维持在大约0℃。继续该步骤直到蚀刻穿透硬掩模层412。
实施例1-没有碳氢化合物添加剂下控制测试
在第一实施例中,在160毫乇的压力下进行第一电介质层蚀刻(步骤512)。提供700W的较高频率功率电平。较低频率功率电平为0W。蚀刻剂气体包括氩气Ar的稀释剂、以及氮气N2和氢气H2的活性蚀刻剂。Ar的流速提供为大约100sccm。N2提供为750sccm。H2提供为250sccm。ESC维持在大约0℃。继续该步骤直到部分蚀刻穿透电介质层404。
在160毫乇的压力下进行第二电介质蚀刻(步骤516)。提供700W的较高频率功率电平。较低频率功率电平为0W。蚀刻剂气体包括氮气N2和氢气H2的活性蚀刻剂。N2提供为750sccm。H2提供为250sccm。ESC维持在大约0℃。继续该步骤直到完全蚀刻穿透电介质层404。
在本实施例中,电介质的蚀刻速度为2128埃/分钟。蚀刻速度的均匀性小于2.4%。RIE滞后大于-4.4%。如图1C所示,本实施例产生大量的微掩蔽并产生草地。
实施例2-具有碳氢化合物添加剂的测试
在第二实施例中,在160毫乇的压力下进行第一电介质蚀刻(步骤512)。提供700W的较高频率功率电平。较低频率功率电平为0W。蚀刻剂气体包括氩气Ar的稀释剂、氮气N2和氢气H2的活性蚀刻剂、以及C2R4的碳氢化合物添加剂。Ar的流速提供为大约100sccm。N2提供为750sccm。H2提供为250sccm。提供5sccm流速的C2H4。ESC维持在大约0℃。继续该步骤直到部分蚀刻穿透电介质层404。
在160毫乇的压力下进行第二电介质蚀刻(步骤516)。提供700W的较高频率功率电平。较低频率功率电平为0W。蚀刻剂气体包括氮气N2和氢气H2的活性蚀刻剂以及C2H4的碳氢化合物添加剂组成。N2提供为750sccm。H2提供为250sccm。C2H4提供为5sccm。ESC维持在大约0℃。继续该步骤直到完全蚀刻穿透电介质层404。
在本实施例中,电介质的蚀刻速度为1797埃/分钟。蚀刻速度的均匀性小于3.6%。RIE滞后大于-9.4%。如图3C所示,本实施例显著地减少或消除了微掩蔽的数量以及产生的草地,提供了没有草地的特征。
实施例3-具有碳氢化合物添加剂的测试
在第三实施例中,在160毫乇的压力下进行第一电介质蚀刻(步骤512)。提供700W的较高频率功率电平。较低频率功率电平为0W。蚀刻剂气体包括氩气Ar的稀释剂、氮气N2和氢气H2的活性蚀刻剂、以及C2H4的碳氢化合物添加剂。Ar的流速提供为大约100sccm。N2提供为750sccm。H2提供为250sccm。提供10sccm流速的C2H4。ESC维持在大约0℃。继续该步骤直到部分蚀刻穿透电介质层404。
在160毫乇的压力下进行第二电介质蚀刻(步骤516)。提供700W的较高频率功率电平。较低频率功率电平为0W。蚀刻剂气体包括氮气N2和氢气H2的活性蚀刻剂以及C2H4的碳氢化合物添加剂。N2提供为750sccm。H2提供为250sccm。C2H4提供为10sccm。ESC维持在大约0℃。继续该步骤直到完全蚀刻穿透电介质层404。
在本实施例中,电介质的蚀刻速度为1590埃/分钟。蚀刻速度的均匀性小于4.2%。RIE滞后大于-17.6%。如图3C所示,本实施例显著地减少或消除了微掩蔽的数量以及产生的草地,提供了没有草地的特征。
本发明的工艺提供几种新颖的优点。首先,清楚地表明,执行蚀刻但没有上述讨论的使蚀刻减慢的微掩蔽的效应。当然,具有不同厚度的不同材料或使用不同的工艺参数的可替换实施例会出现较大或较低的蚀刻速度。
第二个优点是通过本发明的方法能够实现非常好的轮廓控制的控制水平。
不受理论约束,应当相信,碳氢化合物添加剂形成一种聚合物,该聚合物同时并连续地由碳氢化合物添加剂形成并且淀积在光刻胶掩模和/或硬掩模层的表面上以及在蚀刻期间蚀刻去除该聚合物。这种聚合物减少了到达硬掩模层的入射离子的有效能量,由此消除或至少严重地降低了硬掩模的溅射,因此,消除或至少显著地降低了微掩蔽效应。
本发明非常适合于蚀刻低k电介质层,因为这种低k电介质层与光刻胶具有相似的特性,因此在低k电介质层和光刻胶层之间的蚀刻选择性彼此非常接近。结果,在蚀刻期间就可以蚀刻去除光刻胶层。由于这个原因,采用硬掩模层来提供构图以便在已经蚀刻去除光刻胶层之后仍能维持临界尺寸。一旦在没有碳氢化合物添加剂的情况下蚀刻光刻胶层直至硬掩模,那么就会溅射硬掩模。碳氢化合物添加剂形成有助于显著降低硬掩模溅射的层。在其它实施例中,在蚀刻掩模是单独的硬掩模的情况时,即使电介质层不是低k或是无机材料,也需要采用碳氢化合物添加剂。
通过在足够低的水平下维持离子密度和离子能量,就不需要用氟消除草地。在高的离子密度下,需要用氟来和溅射材料形成易挥发的副产物,由此可以没有再次淀积的情况下从室中去除易挥发的副产物。但是,在低离子密度条件下,单独的碳氢化合物足以防止溅射。通过将较低的功率提供给等离子体就可以获得低离子密度。通过仅提供高频(大约27MHz)的功率并不提供低频(大约2MHz)的功率,就可以保持低的离子能量,因为离子能量是随低频功率而增加。等离子体密度的减少就会导致蚀刻速度的降低,但仍然能够提供满意的蚀刻速度。较低的蚀刻速度令人满意的,部分原因是低k电介质层较薄。
由于在本发明中不采用氟,所以可以改善临界尺寸的控制并可以减少弧形。
本发明的特征是它的新颖能力,能够形成各种各样尺寸的特征,同时具有优秀的轮廓控制并具有最小化的RIE滞后、由蚀刻工艺形成的通孔的最小化弧形、优良的蚀刻剖面、优良的抗蚀剂选择性、以及优良的晶片上的蚀刻均匀性,从而消除或显著地减少了微掩蔽和草地。
虽然根据几个优选实施例已经描述了本发明,但仍有变化、置换和等同的替换将落入本发明的范围之内。还应当注意,实施本发明的方法和设备还存在许多可替换的方式。因此,希望以下附属的权利要求书解释为包括了落入本发明的实质精神和范围之内的所有这些变化、置换和等同替换。

Claims (13)

1.一种用于蚀刻晶片的电介质层的方法,该方法包括:
在反应室中设置该晶片;
将包括碳氢化合物添加剂和活性蚀刻剂的蚀刻剂气体的气流引入到该反应室中,该活性蚀刻剂包括氮气和氢气,其中该碳氢化合物选自由CH4、C2H4和C2H6组成的组,其中碳氢化合物的流速至少为1立方厘米每分钟,其中该活性蚀刻剂的流量与该碳氢化合物添加剂的流量之比为10000∶1至100∶50;
在该反应室中由该蚀刻剂气体形成等离子体;
蚀刻该电介质层的至少一部分,其中该电介质层位于硬掩模层之下;以及
同时由该碳氢化合物形成并蚀刻去除在该硬掩模层上的碳氢化合物层,以减少硬掩模溅射。
2.如权利要求1所述的方法,其中碳氢化合物具有3和30立方厘米每分钟之间的流速。
3.如权利要求2所述的方法,其中硬掩模设置在光刻胶掩模之下,并且电介质层是有机电介质层。
4.如权利要求3所述的方法,还包括进行硬掩模蚀刻。
5.如权利要求1所述的方法,其中活性蚀刻剂的流量与碳氢化合物添加剂的流量之比为1,000∶1至500∶25。
6.如权利要求1所述的方法,其中活性蚀刻剂的流量与碳氢化合物添加剂的流量之比为1,000∶2至1000∶15。
7.一种用于蚀刻晶片的电介质层的方法,其中该电介质层位于硬掩模层之下,该方法包括:
在反应室中设置该晶片;
将包括碳氢化合物添加剂和活性蚀刻剂的蚀刻剂气体的气流引入到该反应室中,该活性蚀刻剂包括氮气和氢气,其中该活性蚀刻剂的流量与该碳氢化合物添加剂的流量之比为1000∶1至500∶25;
在该反应室中由该蚀刻剂气体形成等离子体;
进行硬掩模蚀刻;
同时形成并蚀刻去除该硬掩模层上的碳氢化合合物层以便减少硬掩模的溅射;以及
蚀刻该电介质层的至少一部分。
8.如权利要求7所述的方法,其中碳氢化合物的流速至少为1立方厘米每分钟。
9.如权利要求8所述的方法,其中硬掩模设置在光刻胶掩模之下,并且电介质层是有机电介质层。
10.如权利要求8所述的方法,其中活性蚀刻剂的流量与碳氢化合物添加剂的流量之比为1000∶2至1000∶15。
11.一种用于蚀刻晶片的电介质层的方法,该方法包括:
在反应室中设置该晶片;
将包括碳氢化合物添加剂和活性蚀刻剂的蚀刻剂气体的气流引入到该反应室中,该活性蚀刻剂包括氮气和氢气,其中该活性蚀刻剂的流量与该碳氢化合物添加剂的流量之比为10000∶1至100∶50;
在该反应室中由该蚀刻剂气体形成等离子体;以及
蚀刻该电介质层的至少一部分。
12.如权利要求11所述的方法,其中活性蚀刻剂的流量与碳氢化合物添加剂的流量之比为1000∶1至500∶25。
13.如权利要求11所述的方法,其中活性蚀刻剂的流量与碳氢化合物添加剂的流量之比为1000∶2至1000∶15。
CNB028081528A 2001-02-12 2002-02-07 一种用于蚀刻晶片的电介质层的方法 Expired - Fee Related CN1286153C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/782,437 2001-02-12
US09/782,437 US6620733B2 (en) 2001-02-12 2001-02-12 Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics

Publications (2)

Publication Number Publication Date
CN1502119A CN1502119A (zh) 2004-06-02
CN1286153C true CN1286153C (zh) 2006-11-22

Family

ID=25126055

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028081528A Expired - Fee Related CN1286153C (zh) 2001-02-12 2002-02-07 一种用于蚀刻晶片的电介质层的方法

Country Status (6)

Country Link
US (1) US6620733B2 (zh)
KR (1) KR100854609B1 (zh)
CN (1) CN1286153C (zh)
AU (1) AU2002247089A1 (zh)
TW (1) TW535197B (zh)
WO (1) WO2002065530A2 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7011868B2 (en) * 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6893969B2 (en) * 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
JP2003059906A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc エッチング方法およびキャパシタを形成する方法
JP2003077900A (ja) * 2001-09-06 2003-03-14 Hitachi Ltd 半導体装置の製造方法
US6914004B2 (en) * 2001-09-28 2005-07-05 Texas Instruments Incorporated Method for via etching in organo-silica-glass
US7217665B2 (en) 2002-11-20 2007-05-15 Applied Materials, Inc. Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
DE10393277T5 (de) * 2002-09-18 2005-09-01 Mattson Technology Inc., Fremont System und Verfahren zum Entfernen von Material
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
AU2003297861A1 (en) * 2002-12-23 2004-07-29 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
CN1327495C (zh) * 2003-01-02 2007-07-18 上海华虹(集团)有限公司 一种含硅低介电常数材料的干法刻蚀工艺
US6803307B1 (en) * 2003-06-27 2004-10-12 Macronix International Co., Ltd. Method of avoiding enlargement of top critical dimension in contact holes using spacers
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7598176B2 (en) * 2004-09-23 2009-10-06 Taiwan Semiconductor Manufacturing Co. Ltd. Method for photoresist stripping and treatment of low-k dielectric material
CN100414671C (zh) * 2004-10-14 2008-08-27 宋国隆 一种晶片精准蚀刻的方法
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
KR101324549B1 (ko) 2005-12-08 2013-11-01 몰레큘러 임프린츠 인코퍼레이티드 기판의 양면 패턴화를 위한 방법 및 시스템
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
TW200801794A (en) 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
US7786011B2 (en) * 2007-01-30 2010-08-31 Lam Research Corporation Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US20100301008A1 (en) * 2009-05-27 2010-12-02 Canon Anelva Corporation Process and apparatus for fabricating magnetic device
US20100304504A1 (en) * 2009-05-27 2010-12-02 Canon Anelva Corporation Process and apparatus for fabricating magnetic device
CN101930480B (zh) * 2009-06-19 2012-03-07 中芯国际集成电路制造(上海)有限公司 优化cmos图像传感器版图的方法
CN103137463A (zh) * 2011-11-30 2013-06-05 上海华虹Nec电子有限公司 深沟槽刻蚀工艺针刺状缺陷的解决方法
CN116313783B (zh) * 2023-05-10 2023-09-01 合肥晶合集成电路股份有限公司 金属的刻蚀方法以及半导体器件

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6294933A (ja) 1985-10-22 1987-05-01 Toshiba Corp ドライエツチング方法
JPS6425419A (en) 1987-07-21 1989-01-27 Matsushita Electric Ind Co Ltd Etching
JPS6459820A (en) 1987-08-31 1989-03-07 Tokuda Seisakusho Dry etching
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
KR19980064028A (ko) 1996-12-12 1998-10-07 윌리엄비.켐플러 금속의 사후 에칭 탈플루오르 저온 공정
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US5970376A (en) 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
US6069091A (en) * 1997-12-29 2000-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ sequential silicon containing hard mask layer/silicon layer plasma etch method
JP3501937B2 (ja) 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6105588A (en) 1998-05-27 2000-08-22 Micron Technology, Inc. Method of resist stripping during semiconductor device fabrication
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6696366B1 (en) 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6114250A (en) 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
US6194128B1 (en) 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6342446B1 (en) * 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
JP3657788B2 (ja) 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
JP2003526897A (ja) 1998-10-19 2003-09-09 アプライド マテリアルズ インコーポレイテッド 後続のエッチング中のマスキングとして有用な、またはダマシン構造に有用な、パターニングされた層のエッチング方法
US6037255A (en) 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6265319B1 (en) * 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6265320B1 (en) * 1999-12-21 2001-07-24 Novellus Systems, Inc. Method of minimizing reactive ion etch damage of organic insulating layers in semiconductor fabrication

Also Published As

Publication number Publication date
WO2002065530A2 (en) 2002-08-22
WO2002065530B1 (en) 2003-07-24
WO2002065530A3 (en) 2003-05-15
US20020110992A1 (en) 2002-08-15
KR100854609B1 (ko) 2008-08-27
TW535197B (en) 2003-06-01
CN1502119A (zh) 2004-06-02
KR20030093204A (ko) 2003-12-06
AU2002247089A1 (en) 2002-08-28
US6620733B2 (en) 2003-09-16

Similar Documents

Publication Publication Date Title
CN1286153C (zh) 一种用于蚀刻晶片的电介质层的方法
CN1524287A (zh) 用于蚀刻有机低k材料的特殊化学工艺
TWI455203B (zh) 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
KR100778260B1 (ko) 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
CN100419972C (zh) 用于有机硅酸盐玻璃低k介质腐蚀应用的用o2和nh3的蚀刻后光刻胶剥除
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JP3038950B2 (ja) ドライエッチング方法
TWI352388B (en) Methods for the optimization of substrate etching
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
CN1543672A (zh) 将氨用于刻蚀有机低k电介质
KR100255405B1 (ko) 드라이에칭방법
CN101064244A (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
JPH10209118A (ja) アッシング方法
KR100845453B1 (ko) 반도체 장치의 제조 방법
JPH1098029A (ja) 基板から有機反射防止膜をエッチングする処理法
JP2002075975A (ja) オクタフルオロブテンを含む蝕刻ガスを用いた半導体素子の製造方法及びその方法によって製造された半導体素子
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
JP3301157B2 (ja) ドライエッチング方法
WO2006019849A1 (en) Low-k dielectric etch
KR101068062B1 (ko) 도핑된 실리콘 카바이드에 대해 오르가노실리케이트유리를 선택적으로 에칭하는 방법
JP3380947B2 (ja) 低誘電率酸化シリコン系絶縁膜のプラズマエッチング方法
JPH0513379A (ja) ドライエツチング方法
JP2002261077A (ja) ドライエッチング方法
JPH0653186A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20061122

Termination date: 20140207