CN1524287A - 用于蚀刻有机低k材料的特殊化学工艺 - Google Patents

用于蚀刻有机低k材料的特殊化学工艺 Download PDF

Info

Publication number
CN1524287A
CN1524287A CNA028081625A CN02808162A CN1524287A CN 1524287 A CN1524287 A CN 1524287A CN A028081625 A CNA028081625 A CN A028081625A CN 02808162 A CN02808162 A CN 02808162A CN 1524287 A CN1524287 A CN 1524287A
Authority
CN
China
Prior art keywords
etching
gas
wafer
fluorocarbon
etchant gasses
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028081625A
Other languages
English (en)
Other versions
CN1524287B (zh
Inventor
H・H・朱
H·H·朱
鲍尔斯
J·R·鲍尔斯
莫里
I·J·莫里
W·巴比
M·戈斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1524287A publication Critical patent/CN1524287A/zh
Application granted granted Critical
Publication of CN1524287B publication Critical patent/CN1524287B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种用于蚀刻集成电路晶片中的特征的方法,该方法使微掩蔽的效应最小化。该方法将包含碳氟化合物气体的蚀刻剂气体引入到晶片,利用蚀刻剂气体以便在邻近晶片的至少一部分处形成等离子体。利用等离子体蚀刻晶片内部特征的至少一部分。碳氟化合物分解为氟和碳氢化合物执行两种功能。氟防止或显著地减少在蚀刻期间溅射的掩模成分淀积在蚀刻的特征的底部上。碳氢化合物用作在特征侧壁上形成钝化层。

Description

用于蚀刻有机低K材料的特殊化学工艺
技术领域
本发明涉及半导体的制造。更具体地,本发明涉及半导体晶片中的有机低k电介质的蚀刻。
背景技术
集成电路利用电介质层以便使半导体结构的各种层上的各导线绝缘,该电介质层典型由二氧化硅SiO2形成。随着半导体电路变得更快更紧凑、工作频率不断提高,半导体器件内的各导线之间的距离就不断降低。这样就导致了对于电路的耦合电容量的增加,耦合电容的增加具有使半导体器件的工作变慢的缺点。因此,利用能够使各导线有效地绝缘而避免增加耦合电容量的电介质层是很重要的。
通常,集成电路中的耦合电容与用于形成电介质层的材料的介电常数成正比。如上所述,在常规集成电路中的电介质层传统地由SiO2形成,其介电常数为大约4.0。随着半导体器件中的线密度和工作频率的增加,由SiO2形成的电介质层就不能使各导线有效地绝缘至防止耦合电容量的增加所要求的程度。
在努力减少集成电路中的耦合电容量中,半导体工业致力于研究开发具有介电常数低于SiO2的介电常数的材料,这种材料适合于在集成电路中形成为电介质层。目前,已经开发出了有时称为“低k材料”的所期望的多种材料。这些新电介质材料的大多数是有机化合物。在说明书和权利要求书中,低k材料定义为介电常数“k”小、于3的材料。
低k材料包括,但并不特别限定为:苯并环丁烯(benzocyclobutene)或BCB;由allied Signal of Morristown,NJ,a division of Honeywell,Inc,Minneapolis,MN制造的FlareTM;从Union Carbide Corporation,Danbury CT可获取的一种或多种聚对亚苯基二甲基二聚体(parylene dimers);聚四氟乙烯或PTFE;以及SiLK。适合于IC电介质应用的一种PTFE是从W.L.Gore &Associates,Inc,Newark,DE可获取的SPEEDFILMTM。从DowChemicalCompany,Midland,Michigan可获取的SiLK是无硅BCB。
在半导体晶片处理期间,利用公知的构图和蚀刻工艺在晶片中限定出半导体器件的特征。在这些工艺中,在晶片上淀积光刻胶(PR)材料、然后通过掩模板过滤的光对光刻胶进行曝光。掩模板通常为构图有典型特征的几何图形的玻璃板,该典型特征的几何图形阻挡光穿过掩模板传播。
在光穿过掩模板之后,光就接触光刻胶材料的表面。光改变光刻胶材料的化学组分,以致显影机可以去除光刻胶材料的一部分。在正性光刻胶材料的情况下,去除曝光区;在负性光刻胶材料的情况下,去除非曝光区。此后,蚀刻晶片以去除不再受光刻胶材料保护的区域下面的材料,由此在晶片中确定出所需的特征。通常,通过氧化(例如,基于氧的氧化)或还原(例如,基于氢的还原)化学工艺就可以蚀刻低k有机聚合物。
双频电容耦合(DFC)电介质腐蚀系统有利于实现电介质的蚀刻。这样的一种系统是从Lam Research Corporation,Fremont CA可获取的LamResearch model 4520XLE TM和Exelan-HPTM系统。在一个系统中,4520XLE TM系统需处理非常复杂的电介质腐蚀组合(portfolio)。处理包括接触、通孔、双层(bilevel)接触、无边界接触、氮化物和氧化物隔离物以及钝化物。
在同一系统中,先进的蚀刻系统如4520XLE TM可以进行几种处理。通过在单一系统中进行多种不同的半导体制造步骤,就可以提高晶片的生产量。甚至期望更加先进的系统在同一设备中具有其它步骤的性能。再次举例,但不限于,Lam Research公司的ExelanTM系统是一种能够在单一设备中进行多种处理步骤的干法腐蚀系统。ExelanTM能够用单一反应室原位进行硬掩模开口、无机和有机ARC蚀刻以及光刻胶剥除。这种系统的大量工艺组合包括在亚0.18微米环境下所需的掺杂的和未掺杂的氧化物以及低k电介质中的所有双镶嵌结构、接触、通孔、隔离物和钝化物的蚀刻。当然,各种各样的半导体制造系统中可以采用在此列举的原理,并且这些原理特别适用所有的这些替换。
在此使用的术语“原位(in situ)”指在半导体制造设备的相同部分中不用从设备中移出衬底的情况下,在给定的衬底例如硅晶片上执行的一种工艺或多种工艺。
许多集成电路制造技术在一个或多个用于在晶片上形成特征的构图步骤之后采用光刻胶剥除步骤。因为许多光刻胶相对于低k电介质,特别是有机低k电介质,例如SiLK,具有相似的化学组分,为了在晶片内部的特征蚀刻期间确保控制好轮廓,通常在光刻胶的下面使用硬掩模。
图1a中示出了集成有硬掩模层的晶片叠层的实例。示出了具有构图的光刻胶层10的晶片1。在本实例中,晶片1包括其上淀积有碳化硅或氮化硅阻挡层20的一个硅衬底22。在阻挡层20上淀积的是有机低k电介质层14,例如Dow Corning SiLKTM。可以在阻挡层的下面形成未示出的金属化结构。在有机低k层14上淀积硬掩模层,完成示例性的晶片叠层。硬掩模可以由SiO2、Si3N4或其它硬掩模材料形成。在硬掩模12之上提供前面讨论的被构图的光刻胶层10。当然,本领域普通技术人员应当理解,这种晶片叠层仅仅是实例性的。可以采用本领域普通技术人员公知的可替换的结构和薄膜以便实现可替换的集成电路设计。
现在参照图1b,如图1b所示,当进行蚀刻,特别是蚀刻有机低k电介质层例如SiLK时,蚀刻去除光刻胶层10,暴露出光刻胶层10下面的硬掩模12的部分。随着蚀刻的继续,硬掩模层的离子轰击还蚀刻去除硬掩模层12的一部分,例如30处。一些由此蚀刻去除的硬掩模材料就会例如通过溅射再次淀积在晶片的表面上和再次淀积到反应室的表面上。在蚀刻期间,至少某些这种材料32会进一步淀积在被蚀刻的特征的底部,如图部分“A”中的36所示。微掩模量就随着蚀刻期间的RF功率的增加而增加。
图1c中放大了部分“A”。参照该附图,该附图示出了通过例如SiLK的有机低k电介质层14蚀刻的特征,例如26。在光学显微镜下可以看见在36处再次淀积的硬掩模材料形成硬掩模材料的针状体(spicule)34。这种材料的外貌引起了一种称为“草地(grass)”的绰号,并且它就是微掩蔽SiLK、形成“草地”的溅射的硬掩模。当然,微掩蔽(micromask)的过程不仅减慢了特征的腐蚀速度,而且它还导致了特征蚀刻的不稳定和不规则,最终导致了较差的轮廓控制和产量的降低。
因此,就需要一套方法,该方法在蚀刻集成电路的特征期间削弱、优选消除草地的形成、从而在这种蚀刻期间维持CD(临界尺寸)的控制。
更加需要一种工艺,该工艺通过提供侧壁钝化、使有机低k材料的横向蚀刻最小化能够在蚀刻期间提供良好的轮廓控制。
更加需要一种工艺,该工艺能够降低蚀刻期间对光刻胶的不希望侵蚀。
更加需要一种工艺,一旦由于光刻胶的去除使硬掩模暴露于等离子体,该工艺能够保护硬掩模。
还需要一套方法,该方法完全适合于一个宽范围的蚀刻等离子体密度。
为了有利于进一步的晶片处理并提高总的器件质量,需要一套方法,该方法提供无残余物的表面。
为了维持晶片的高产量,还需要一套方法,该方法能够在用于形成晶片的制造设备中原位进行处理。
最后,非常希望利用现有的集成电路制造设备也能够实现这些优点。
将在标题的优选实施例的说明书部分中并结合附图更加详细地描述本发明的这些和其它特征。
发明内容
本发明讲授在蚀刻期间添加碳氟化合物添加剂以便减少无机硬掩模材料的溅射速率以及光刻胶掩模的蚀刻速率。本发明提供侧壁保护,侧壁保护可以提供CD控制和轮廓控制,并且即使采用高射频功率时也可以消除残余物的形成。
已经证实,多种适合的碳氟化合物源适合于实施本发明。这些碳氟化合物包括,但并不限于:甲基氟CH3F;二氟甲烷CH2F2;和三氟甲烷CHF3
附图说明
为了更加完整地理解本发明,在以下优选实施例的详细说明中,将附图作为参考。在附图中:
图1a是蚀刻前提供有被构图的光刻胶层的测试晶片的剖面图。
图1b现有技术中蚀刻步骤之后的测试晶片的剖面图。
图1c是图1b中所示的部分晶片的放大的剖面图。
图2是用于蚀刻作为集成电路的一部分的有机低k电介质层的工艺的总的流程图。
图3a是在本发明的优选实施例中蚀刻前的提供有被构图的光刻胶层的测试晶片的剖面图。
图3b是在实施本发明的第三蚀刻步双作为最后蚀刻以形成特征之后的测试晶片的剖面图。
在附图的几个图中,参考数字表明本发明的相同或相等部分。
具体实施方式
本发明讲授一种新颖的结合碳氟化合物的化学蚀刻,用于在包括有低k电介质、特别是有机材料例如SiLK的电介质的晶片中蚀刻各种各样的特征尺寸和形状。在此讲授的一套方法消除了在蚀刻期间由溅射的硬掩模成分形成的微掩蔽。在此讲授的一套方法进一步获得了最小的RIE滞后、通过蚀刻工艺形成的通孔和沟槽的最小的弧形、优良的蚀刻剖面、优良的抗蚀剂选择性、优良的蚀刻速度、优良的CD控制和晶片上的优良均匀性。
为了蚀刻包括但不限于包含有机低k电介质例如SiLK层的晶片中的沟槽和通孔的各种特征,本发明利用碳氟化合物,例如甲基氟CH3F作为蚀刻期间的添加剂。本发明可以提供CH3F/H2/N2蚀刻剂气体、或CH3F/NH3蚀刻剂气体、或CH3F/O2/N2蚀刻剂气体。
参照图2,为了实施本发明的工艺100,晶片被放置在一种能够形成蚀刻等离子体的反应容器中。这种反应容器或反应室可以是一种专用的腐蚀设备、或者可以是多用途的晶片处理系统。一种特别适合于实施本发明的设备是从Lam Research Corporation,Fremont,CA获得的ExelanTM干法腐蚀系统。ExelanTM能够在单一室中原位执行硬掩模开口、无机和有机ARC蚀刻以及光刻胶剥除。当然可以采用替换的设备。
在步骤102中,在室中放置晶片,预先在该晶片的上表面提供已构图的光刻胶层,并且在步骤104触发蚀刻等离子体。在步骤106中,将蚀刻气体的气流引入室中。蚀刻剂包括至少一种碳氟化合物,该碳氟化合物包含但不特别限于从甲基氟CH3F、二氟甲烷CH2F2和三氟甲烷CHF3构成的组中选择出的那些材料。活性蚀刻剂可以包括氧、氢、氮、氨或有效地用于蚀刻特定电介质的其它蚀刻剂。
在步骤108中,一旦完成所需特征,晶片就可用于进一步的所需处理。
虽然以单一的蚀刻步骤为中心继续对某些优选实施例进行讨论,但本领域普通技术人员应当理解,本发明可以作为多步骤的蚀刻方式的一部分被执行。本发明特别期望适合所有的这些多步骤蚀刻方式,包括在此结合列举的原理的电介质蚀刻方式。
现在参照图3a,图3a示出了具有构图的光刻胶层10的一个例子的晶片1。在本实施例中,晶片1包括其上淀积有碳化硅或氮化硅阻挡层20的硅衬底22。在阻挡层20之上淀积例如Dow Chemical CompanySiLKTM的有机低k电介质层14。在阻挡层例如碳化硅或氮化硅的下面可以形成未示出的金属化结构。在有机低k层14之上淀积SiO2的硬掩模层12,由此完成晶片叠层的实例。在硬掩模12之上提供上述构图的光刻胶层10。当然,本领域普通技术人员应当清楚,这种晶片叠层仅仅是一个实例。可以采用本领域普通技术人员公知的替换结构和薄膜以实现可替换的集成电路的设计。
在步骤102,在反应室中放置晶片。在步骤104,触发蚀刻等离子体。在步骤106,将包含碳氟化合物的蚀刻剂气体流引入上述的双频腐蚀设备的反应室,由此由蚀刻剂气体形成等离子体。根据一个实施例,这种蚀刻剂气体是包含氮气N2、氢气H2和甲基氟CH3F的混合气体。当然这种混合气体是完全特殊的应用,根据本发明,可以在结合碳氟化合物的蚀刻步骤之前或之后采用可替换的具有碳氟化合物添加剂的活性蚀刻剂和稀释气体来进行可替换的蚀刻步骤。
根据本发明的一个实施例,进行蚀刻步骤的反应室压力为0和500mTorr(毫乇)之间,更加优选为10和250mTorr之间,还更加优选为20和160mTorr之间,并且最好为大约130mTorr。
在从大约100W至大约2500W的功率电平下形成等离子体的较高频率。更加优选地,较高功率电平形成为从大约250W至大约1500W。更加优选地,此功率电平设置在大约500W至大约1500W之间。较高频率的功率最好设置为大约1000W。在本实施例中,采用27MHz的功率源来提供较高频率的功率电平。
在从大约0W至大约2500W的功率电平下设置较低频率的功率电平。更加优选地,较低功率电平形成为从大约0W至大约1000W。更加优选地,此功率电平设置在大约0W至大约100W之间。较低频率的功率最好设置为大约0W。在本发明的该实施例中,采用2MHz的功率源来提供较低频率的功率电平。
蚀刻剂气体的混合物优选由组成蚀刻气体的气流构成。这些蚀刻剂气体包含氢气H2,大约3sccm至大约1000sccm的流量,更加优选为从大约25sccm至大约500sccm,还更加优选为从大约50sccm至大约350sccm,并且最好为大约250sccm。
这种蚀刻剂气体还包含作为稀释气体的氮气N2,从大约0sccm至大约2500sccm的流量,更加优选为从大约50sccm至大约1250sccm,还更加优选为从大约100sccm至大约1000sccm,并且最好为大约750sccm。
此蚀刻剂气体还包含从大约0.5sccm至大约50sccm流量的甲基氟CH3F,更加优选为从大约1sccm至大约30sccm,还更加优选为从大约2sccm至大约10sccm,并且最好为大约3sccm。
在受控温度下进行一定时间周期的蚀刻。在此讨论的实施例中,在0℃和60℃之间的温度下进行第一蚀刻。更加优选从大约5℃至大约50℃。还更加优选从大约10℃至大约40℃,并且最好为大约40℃。
为了实现前述的温度控制,利用穿过吸盘的冷却气体的气流来热维持晶片的温度,该吸盘有时称为反应容器中支撑晶片的静电吸盘(ESC)。冷却气体例如氦气的这种气流为从大约1sccm至大约100sccm的流速,更加优选为从大约2sccm至大约50sccm,还更加优选为从大约10sccm至大约40sccm并且最好为大约30sccm。进行蚀刻直到完全满足所需的蚀刻结果。在本实施例中,如图3b所示,进行蚀刻步骤直到蚀刻到达阻挡层20。
这样,在蚀刻阶段,当前穿过硬掩模层12和有机低k电介质层14蚀刻出了由光刻胶层10限定的例如24和26的特征。如图3b所示,当到达阻挡层20时就完全蚀刻出特征。图3b还示出了去除光刻胶10之后的完成的蚀刻。现在就制备了晶片的叠层,该晶片的叠层用于完成集成电路器件所需要的进一步的构图、掺杂或淀积步骤,如步骤110。
本领域普通技术人员应当理解,上述讨论的功率电平、压力、流速和温度仅仅是作为实例。在晶片叠层中以不同厚度淀积的不同电介质材料可以要求功率、压力、流速和温度以及其它工艺变量的不同组合。本发明的原理特别期望适合所有的这些组合。
一种组合构成本发明的一个可替换的实施例。根据本发明的这种实施例,在0和500mTorr之间的反应室压力下进行蚀刻步骤,更加优选在10和250mTorr之间,还更加优选在20和160mTorr之间,并且最好在大约50mTorr。
在从大约250W至大约2500W的功率电平下形成等离子体的较高频率。更加优选地,较高功率电平形成为从大约250W至大约1500W。还更加优选地,此功率电平设置在大约300至大约750W之间。较高频率的功率最好设置为大约500W。
在从大约250W至大约2500W的功率电平下设置较低频率的功率电平。更加优选地,较高功率电平形成为从大约250W至大约1500W。还更加优选地,此功率电平设置在大约300W至大约750W之间。较低频率的功率最好设置为大约500W。
蚀刻剂气体的混合物优选由组成蚀刻气体的气流构成。这些蚀刻剂气体包含氢气H2,大约3sccm至大约500sccm的流量,更加优选为从大约25sccm至大约500sccm,还更加优选为从大约50sccm至大约250sccm,并且最好为大约100sccm。
这种蚀刻剂气体还包含作为稀释气体的氮气N2,从大约0sccm至大约2500sccm的流量,更加优选为从大约50sccm至大约1250sccm,还更加优选为从大约100sccm至大约500sccm,并且最好为大约300sccm。
此蚀刻剂气体还包含从大约0.5sccm至大约50sccm流量的甲基氟CH3F,更加优选为从大约1sccm至大约10sccm,还更加优选为从大约2sccm至大约7sccm,并且最好为大约5sccm。
在受控温度下进行一定时间周期的蚀刻。在此讨论的实施例中,在0℃和60℃之间的温度下进行第一蚀刻。更加优选从大约5℃至大约50℃。还更加优选从大约10℃至大约40℃,并且最好为大约40℃。
蚀刻时间还可以从1秒至大约10分钟的小部分内变化,这依情况而定。在本实施例中,在最好的功率设置、气体流量和温度下蚀刻,要大约60秒完成该蚀刻。
此外,为了实现前述的温度控制,利用穿过吸盘的冷却气体的气流来热维持晶片的温度。冷却气体例如氦气的这种气流为从大约1sccm至大约100sccm的流速,更加优选为从大约2sccm至大约50sccm,还更加优选为从大约10sccm至大约40sccm,并且最好为大约31.1sccm。
在本发明的另一个实施例中,在0和500mTorr之间的反应室压力下进行蚀刻步骤,更加优选在100和300mTorr之间,还更加优选在150和250mTorr之间,并且最好在大约200mTorr。
在从大约100W至大约1500W的功率电平下形成等离子体的较高频率。更加优选地,较高功率电平形成为从大约300W至大约1000W。还更加优选地,此功率电平设置在大约600至大约800W之间。较高频率的功率最好设置为大约700W。
在从大约0W至大约500W的功率电平下设置较低频率的功率电平。更加优选地,较低功率电平形成为从大约0W至大约250W。还更加优选地,此功率电平设置在大约0W至大约100W之间。较低频率的功率最好设置为大约0W。
蚀刻剂气体的混合物优选由组成蚀刻气体的气流构成。这些蚀刻剂气体包含氨气NH3,从大约100sccm至大约3000sccm的流量,更加优选为从大约500sccm至大约2000sccm,还更加优选为从大约750sccm至大约1500sccm,并且最好为大约1000sccm。
此蚀刻剂气体还包含从大约0.5sccm至大约50sccm流量的甲基氟CH3F,更加优选为从大约1sccm至大约10sccm,还更加优选为从大约2sccm至大约7sccm,并且最好为大约5sccm。
在受控温度下进行一定时间周期的蚀刻。在此讨论的实施例中,在0℃和60℃之间的温度下进行第一蚀刻。更加优选从大约5℃至大约50℃。还更加优选从大约10℃至大约40℃,并且最好为大约20℃。
在本发明的另一个实施例中,在0和300mTorr之间的反应室压力下进行蚀刻步骤,更加优选在20和200mTorr之间,还更加优选在50和150mTorr之间,并且最好在大约90mTorr。
在从大约100W至大约1500W的功率电平下形成等离子体的较高频率。更加优选地,较高功率电平形成为从大约300W至大约1000W。还更加优选地,此功率电平设置在大约400至大约600W之间。较高频率的功率最好设置为大约500W。
在从大约0W至大约500W的功率电平下设置较低频率的功率电平。更加优选地,较高功率电平形成为从大约100W至大约300W。还更加优选地,此功率电平设置在大约150W至大约250W之间。较低频率的功率最好设置为大约200W。
蚀刻剂气体的混合物优选由组成蚀刻气体的气流构成。这些蚀刻剂气体包含氨气NH3,从大约100sccm至大约2000sccm的流量,更加优选为从大约200sccm至大约1000sccm,还更加优选为从大约400sccm至大约600sccm,并且最好为大约500sccm。
此蚀刻剂气体还包含从大约0.5sccm至大约70sccm流量的甲基氟CH3F,更加优选为从大约3sccm至大约30sccm,还更加优选为从大约6sccm至大约15sccm,并且最好为大约10sccm。
在受控温度下进行一定时间周期的蚀刻。在此讨论的实施例中,在0℃和60℃之间的温度下进行第一蚀刻。更加优选从大约5℃至大约50℃。还更加优选从大约10℃至大约40℃,并且最好为大约20℃。
在本发明的另一个实施例中,在0和300mTorr(毫乇)之间的反应室压力下进行蚀刻步骤,更加优选为50和200mTorr之间,还更加优选为100和150mTorr之间,并且最好为大约120mTorr。
在从大约100W至大约1500W的功率电平下形成等离子体的较高频率。更加优选地,较高功率电平形成为从大约300W至大约1000W。更加优选地,此功率电平设置在大约400W至大约600W之间。较高频率的功率最好设置为大约500W。
在从大约100W至大约1500W的功率电平下设置较低频率的功率电平。更加优选地,较高功率电平形成为从大约300W至大约1000W。还更加优选地,此功率电平设置在大约400W至大约600W之间。较低频率的功率最好设置为大约500W。
蚀刻剂气体还包含作为稀释气体的氩气Ar,从大约0sccm至大约800sccm的流量,更加优选为从大约200sccm至大约600sccm,还更加优选为从大约300sccm至大约500sccm,并且最好为大约400sccm。
这种蚀刻剂气体还包含作为稀释气体的氮气N2,从大约0sccm至大约300sccm的流量,更加优选为从大约50sccm至大约200sccm,还更加优选为从大约75sccm至大约150sccm,并且最好为大约100sccm。
蚀刻剂气体的混合物优选由组成蚀刻气体的气流构成。这些蚀刻剂气体包含从大约0sccm至大约100sccm流量的氧气O2,更加优选为从大约2sccm至大约50sccm,还更加优选为从大约3sccm至大约15sccm,并且最好为大约6sccm。
蚀刻剂气体还包含从大约0.5sccm至大约50sccm流量的甲基氟CH3F,更加优选为从大约1sccm至大约10sccm,还更加优选为从大约2sccm至大约7sccm,并且最好为大约5sccm。
在受控温度下进行一定时间周期的蚀刻。在此讨论的实施例中,在0℃和60℃之间的温度下进行第一蚀刻。更加优选从大约5℃至大约50℃。还更加优选从大约10℃至大约40℃,并且最好为大约20℃。
在本发明的另一个实施例中,在0和400mTorr(毫乇)之间的反应室压力下进行蚀刻步骤,更加优选为100和300mTorr之间,还更加优选为150和250mTorr之间,并且最好为大约200mTorr。
在从大约100W至大约3000W的功率电平下形成等离子体的较高频率。更加优选地,较高功率电平形成为从大约500W至大约2000W。更加优选地,此功率电平设置在大约750W至大约1500W之间。较高频率的功率最好设置为大约1000W。
在从大约0W至大约300W的功率电平下设置较低频率的功率电平。更加优选地,较高功率电平形成为从大约0W至大约200W。还更加优选地,此功率电平设置为从大约0W至大约100W之间。较低频率的功率最好设置为大约0W。
蚀刻剂气体还包含作为稀释气体的氦气He,从大约0sccm至大约3000sccm的流量,更加优选为从大约600sccm至大约2000sccm,还更加优选为从大约900sccm至大约1500sccm,并且最好为大约1200sccm。
蚀刻剂气体的混合物优选由组成蚀刻气体的气流构成。这些蚀刻剂气体包含从大约0sccm至大约100sccm流量的氧气O2,更加优选为从大约2sccm至大约50sccm,还更加优选为从大约3sccm至大约15sccm,并且最好为大约6sccm。
蚀刻剂气体还包含从大约0.5sccm至大约30sccm流量的甲基氟CH3F,更加优选为从大约1sccm至大约30sccm,还更加优选为从大约2sccm至大约7sccm,并且最好为大约3sccm。
在受控温度下进行一定时间周期的蚀刻。在此讨论的实施例中,在0℃和60℃之间的温度下进行第一蚀刻。更加优选从大约5℃至大约50℃。还更加优选从大约10℃至大约40℃,并且最好为大约20℃。
工艺100提供几种新颖的优点。这些优点中的首要是快速进行蚀刻而没有上述讨论的微掩蔽使蚀刻减慢的效应。上述讨论的每个实施例的蚀刻速度在给定的具体条件下通常超过3000/min(埃/分钟)。当然,具有不同厚度的不同材料或用不同工艺参数进行的可替换实施例操作可能产生更高或更低的蚀刻速度。
第二个优点是通过本发明的方法能够使轮廓控制的控制程度非常的好。
在本发明的测试期间注意到的一个优点是通过结合碳氟化合物的蚀刻没有产生显著的弧形。在蚀刻期间,假定碳氟化合物分离成氟和碳氢化合物物质。进一步假定没有足够的离子轰击,例如在通孔和沟槽的侧壁上,离解的=CH-基与SiLK反应并形成由以三维矩阵排列的(=CH-N)=团组成的聚合物。这样合成的HCN聚合物与CFx聚合物一起直接从CH3F中分离,其钝化侧壁并防止剖面成弧形。这种聚合物的淀积还在硬掩模上形成,其减少了硬掩模被溅射的数量。氟与溅射的硬掩模化合物组合以形成被抽除的易挥发的化合物,由此消除或至少显著地降低微掩蔽效应。
本发明的具体特征是它形成各种各样尺寸的特征的新颖能力,同时具有优良的轮廓控制并具有最小化的RIE滞后、通过蚀刻工艺形成的最小的通孔孤形、优良的蚀刻剖面、优良的抗蚀剂选择性和优良的晶片上的蚀刻均匀性。
本领域普通技术人员应当清楚,上述讨论的功率电平、压力、流速和温度仅仅是使用的实例。在晶片叠层中以不同厚度淀积的不同电介质材料可以要求功率、压力、流速和温度的不同组合。本发明的原理期望特别适合于所有这些组合。
已经特别展示并描述了与某些优选实施例的特征相关的本发明。然而,本领域普通技术人员应当更加清楚,在不脱离附加的权利要求书提出的本发明的精神和范围之内可以进行形式上并且细节上的不同变化和修改。具体地,本发明的原理特别期望在各种各样的集成电路器件上结合这里讲授的一个或多个不同特征和优点,该集成电路器件由多个不同层限定的不同晶片叠层结构形成。当然,上述讨论的工艺变量能够由本领域普通技术人员进行修改以便实现不同的集成电路器件。本发明的原理特别期望适合这些工艺的每一种替换。

Claims (18)

1.一种用于在集成电路晶片中蚀刻一特征的方法,该晶片包含有至少一个低k电介质层,该方法包括:
在一反应室中设置该晶片;
将含碳氟化合物的蚀刻剂气体的气流引入到该反应室中;
在该反应室中由该蚀刻剂气体形成等离子体;以及
在该低k电介质层的至少一部分中蚀刻该特征。
2.如权利要求1所述的方法,其中低k电介质层是有机低k电介质层。
3.如权利要求2所述的方法,其中碳氟化合物选自由CH3F、CH2F2、和CHF3组成的组。
4.如权利要求3所述的方法,其中含碳氟化合物的蚀刻剂气体还包含选择自由氧、氢、氮和氨组成的组中的添加剂。
5.如权利要求4所述的方法,其中有机电介质层由SiLK形成。
6.如权利要求5所述的方法,其中碳氟化合物具有一定的流速,其中碳氟化合物的流速在0.5sccm和50sccm之间。
7.如权利要求2所述的方法,其中有机电介质层由SiLK形成。
8.如权利要求7所述的方法,其中含碳氟化合物的蚀刻剂气体包括CH3F气体、H2气体和N2气体。
9.如权利要求7所述的方法,其中含碳氟化合物的蚀刻剂气体包括CH3F气体和NH3气体。
10.如权利要求7所述的方法,其中含碳氟化合物的蚀刻剂气体包括CH3F气体、O2气体和N2气体。
11.如权利要求5所述的方法,其中碳氟化合物具有一定的流速,其中碳氟化合物的流速在0.5sccm和50sccm之间。
12.如权利要求2所述的方法,其中含碳氟化合物的蚀刻剂气体包括CH3F气体、H2气体和N2气体。
13.如权利要求2所述的方法,其中含碳氟化合物的蚀刻剂气体包括CH3F气体和NH3气体。
14.如权利要求2所述的方法,其中含碳氟化合物的蚀刻剂气体包括CH3F气体、O2气体和N2气体。
15.一种晶片上的集成电路,其中该集成电路具有在至少一个低k电介质层中形成的一特征,其中通过一方法蚀刻该特征,该方法包括:
在一反应室中设置该晶片;
在该反应室中触发等离子体;
将含碳氟化合物的蚀刻剂气体的气流引入到该反应室中;以及
用有效组合的该等离子体和该蚀刻剂气体,在该低k电介质层的至少一部分中蚀刻该特征。
16.如权利要求15所述的集成电路,其中低k电介质层是有机低k电介质层。
17.如权利要求16所述的集成电路,其中碳氟化合物选自由CH3F、CH2F2、和CHF3组成的组。
18.如权利要求17所述的集成电路,其中含碳氟化合物的蚀刻剂气体还包含选择自由氧、氢、氮和氨组成的组中的添加剂。
CN028081625A 2001-02-12 2002-01-29 用于蚀刻有机低k材料的特殊化学工艺 Expired - Fee Related CN1524287B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/782,185 2001-02-12
US09/782,185 US6841483B2 (en) 2001-02-12 2001-02-12 Unique process chemistry for etching organic low-k materials
PCT/US2002/002926 WO2002065512A2 (en) 2001-02-12 2002-01-29 Process for etching organic low-k materials

Publications (2)

Publication Number Publication Date
CN1524287A true CN1524287A (zh) 2004-08-25
CN1524287B CN1524287B (zh) 2010-09-01

Family

ID=25125261

Family Applications (1)

Application Number Title Priority Date Filing Date
CN028081625A Expired - Fee Related CN1524287B (zh) 2001-02-12 2002-01-29 用于蚀刻有机低k材料的特殊化学工艺

Country Status (6)

Country Link
US (1) US6841483B2 (zh)
KR (1) KR100880131B1 (zh)
CN (1) CN1524287B (zh)
AU (1) AU2002240212A1 (zh)
TW (1) TW558768B (zh)
WO (1) WO2002065512A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1959529B (zh) * 2005-11-02 2012-06-13 周星工程股份有限公司 形成蚀刻掩模的方法
CN102832118A (zh) * 2012-09-11 2012-12-19 上海华力微电子有限公司 双大马士革结构中底部抗反射涂层的刻蚀方法
CN104246992A (zh) * 2012-04-05 2014-12-24 朗姆研究公司 等离子体蚀刻前处理光刻胶而形成特征的方法和装置
CN111223797A (zh) * 2018-11-26 2020-06-02 台湾积体电路制造股份有限公司 湿蚀刻设备及其使用的方法

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
WO2004095551A1 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7521362B2 (en) * 2003-12-23 2009-04-21 Lam Research Corporation Methods for the optimization of ion energy control in a plasma processing system
US7517801B1 (en) 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
WO2005112092A2 (en) * 2004-05-11 2005-11-24 Applied Materials, Inc. CARBON-DOPED-Si OXIDE ETCH USING H2 ADDITIVE IN FLUOROCARBON ETCH CHEMISTRY
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670529B2 (en) 2005-12-08 2010-03-02 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
WO2007117524A2 (en) 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US7786011B2 (en) * 2007-01-30 2010-08-31 Lam Research Corporation Composition and methods for forming metal films on semiconductor substrates using supercritical solvents
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
JP5297615B2 (ja) * 2007-09-07 2013-09-25 株式会社日立ハイテクノロジーズ ドライエッチング方法
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741396A (en) * 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5970376A (en) 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6245663B1 (en) 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6352918B1 (en) * 1998-11-24 2002-03-05 United Microelectronics Corp. Method of forming inter-metal interconnection
US6037255A (en) * 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US20010024769A1 (en) 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
US6797633B2 (en) 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1959529B (zh) * 2005-11-02 2012-06-13 周星工程股份有限公司 形成蚀刻掩模的方法
CN104246992A (zh) * 2012-04-05 2014-12-24 朗姆研究公司 等离子体蚀刻前处理光刻胶而形成特征的方法和装置
CN102832118A (zh) * 2012-09-11 2012-12-19 上海华力微电子有限公司 双大马士革结构中底部抗反射涂层的刻蚀方法
CN102832118B (zh) * 2012-09-11 2015-02-18 上海华力微电子有限公司 双大马士革结构中底部抗反射涂层的刻蚀方法
CN111223797A (zh) * 2018-11-26 2020-06-02 台湾积体电路制造股份有限公司 湿蚀刻设备及其使用的方法

Also Published As

Publication number Publication date
KR20030086998A (ko) 2003-11-12
CN1524287B (zh) 2010-09-01
US20020111036A1 (en) 2002-08-15
AU2002240212A1 (en) 2002-08-28
KR100880131B1 (ko) 2009-01-23
TW558768B (en) 2003-10-21
WO2002065512A2 (en) 2002-08-22
US6841483B2 (en) 2005-01-11
WO2002065512A3 (en) 2003-03-13

Similar Documents

Publication Publication Date Title
CN1524287A (zh) 用于蚀刻有机低k材料的特殊化学工艺
CN1286153C (zh) 一种用于蚀刻晶片的电介质层的方法
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
CN100419972C (zh) 用于有机硅酸盐玻璃低k介质腐蚀应用的用o2和nh3的蚀刻后光刻胶剥除
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
CN1132232C (zh) 半导体器件制造工艺中的等离子体蚀刻法
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
JP3574680B2 (ja) キセノンを用いたプラズマエッチング
TWI479565B (zh) The formation of fine graphics
CN1543672A (zh) 将氨用于刻蚀有机低k电介质
JP2015159308A (ja) ドライエッチングガスおよびドライエッチング方法
KR20020027323A (ko) 실리콘 산화물 및 반사방지용 유전체 코팅의 식각 방법
KR20030066673A (ko) 반도체 구조에서 텅스텐 또는 질화 텅스텐 전극 게이트식각 방법
TW200402102A (en) Two stage etching of silicon nitride to form a nitride spacer
CN1902745A (zh) 在沟槽蚀刻中降低线条边缘粗糙度
CN100485883C (zh) 等离子体灰化方法
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
CN1867695A (zh) 改善沉积的介电膜上的显影后光刻胶外形的方法
JP2004111779A (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
TW201835016A (zh) 電漿蝕刻方法
JPH1098029A (ja) 基板から有機反射防止膜をエッチングする処理法
CN1832105A (zh) 微细图案形成方法
KR101068062B1 (ko) 도핑된 실리콘 카바이드에 대해 오르가노실리케이트유리를 선택적으로 에칭하는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100901

Termination date: 20170129

CF01 Termination of patent right due to non-payment of annual fee