CN1959529B - 形成蚀刻掩模的方法 - Google Patents

形成蚀刻掩模的方法 Download PDF

Info

Publication number
CN1959529B
CN1959529B CN2006101380037A CN200610138003A CN1959529B CN 1959529 B CN1959529 B CN 1959529B CN 2006101380037 A CN2006101380037 A CN 2006101380037A CN 200610138003 A CN200610138003 A CN 200610138003A CN 1959529 B CN1959529 B CN 1959529B
Authority
CN
China
Prior art keywords
hard mask
etching
gas
mask film
high frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006101380037A
Other languages
English (en)
Other versions
CN1959529A (zh
Inventor
权奇清
李来应
朴昌基
李春熙
金德镐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jusung Engineering Co Ltd
Original Assignee
Jusung Engineering Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jusung Engineering Co Ltd filed Critical Jusung Engineering Co Ltd
Publication of CN1959529A publication Critical patent/CN1959529A/zh
Application granted granted Critical
Publication of CN1959529B publication Critical patent/CN1959529B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及一种形成一蚀刻掩模的方法。根据本发明,提供一种形成一蚀刻掩模的方法,其包含以下步骤:在一衬底上沉积一含有硅的硬掩模膜;在所述硬掩模膜上沉积一光致抗蚀剂;图案化所述光致抗蚀剂;和使用所述光致抗蚀剂图案作为一掩模且使用一包括CHxFy(x,y=1,2,3)气体的蚀刻气体来蚀刻所述硬掩模膜。此时,在用于193nm或更小波长的光致抗蚀剂图案下蚀刻所述硬掩模膜时,可使用包括CH2F2和H2气体的混合气体来增加所述硬掩模膜对光所述致抗蚀剂图案的一蚀刻选择性。

Description

形成蚀刻掩模的方法
技术领域
本发明涉及一种形成蚀刻掩模的方法,且更特定来说涉及一种形成具有用于对光致抗蚀剂形成薄膜图案的硬掩模的高蚀刻选择性的蚀刻掩模的方法。
背景技术
常规来说,为形成薄膜图案,应用G线(436nm)抗蚀剂和I线(365nm)抗蚀剂或KrF(248nm)抗蚀剂,且接着通过使用掩模执行光刻工艺来形成光致抗蚀剂图案。随后,通过使用光致抗蚀剂图案作为蚀刻掩模来执行蚀刻工艺从而形成薄膜图案。
然而,由于设备线宽度的减小和光刻工艺的限制,目前使用ArF(193nm)抗蚀剂和具有80nm或更小的线宽度的硬掩模图案来形成具有超细线宽度的薄膜图案。
图1A至1C是概念上说明使用ArF(193nm)抗蚀剂和硬掩模图案形成薄膜图案的常规方法的问题的截面图。
参看图1A,将被图案化的薄膜20形成于衬底10上。硬掩模膜30和光致抗蚀剂图案40形成于薄膜20上。硬掩模膜30A由氮化硅膜制成,而光致抗蚀剂图案40由ArF抗蚀剂制成。这是因为抗蚀剂的厚度由于光刻工艺的限制而减小,使得仅仅现有的抗蚀剂不能用作有效的蚀刻阻挡层掩模。因此,硬掩模膜30形成于抗蚀剂与薄膜之间,因此将其用作为蚀刻掩模。
参看图1B和1C,为将硬掩模膜30用作蚀刻掩模,通过使用ArF光致抗蚀剂图案40蚀刻硬掩模膜30来图案化硬掩模膜30。随后,通过使用经图案化的ArF光致抗蚀剂和硬掩模膜30作为蚀刻掩模执行蚀刻工艺来图案化下部薄膜。
然而,因为在图案化常规硬掩模膜30时,硬掩模膜30对光致抗蚀剂图案40的蚀刻选择性较低且因此掩模膜被腐蚀,所以存在的问题是具有所需形状的硬掩模膜30的图案没有形成。
氧气(O2)与以碳氟化合物为主的气体(fluorocarbon-based gas)混合的混合气体用作现有的用于蚀刻硬掩模膜30的蚀刻气体。然而,当使用上述混合气体时,用作硬掩模膜30的氮化硅膜对ArF光致抗蚀剂的蚀刻选择性在1.5∶1与4∶1之间的范围内。因此,导致的问题是当蚀刻硬掩模膜30时,光致抗蚀剂也被去除,或当蚀刻具有与光致抗蚀剂相同厚度的硬掩模膜30时,光致抗蚀剂的耐久性被混合气体削弱,且光致抗蚀剂塌陷。
也就是说,应对硬掩模膜30和薄膜20图案化以使其每一者均具有与起始光致抗蚀剂图案40之间的宽度A同样的宽度,如图1A所示。然而,如上文所述,因为硬掩模膜30对光致抗蚀剂图案40的蚀刻选择性是较低的,所以当蚀刻硬掩模膜30时,光致抗蚀剂图案40也被去除。因此,形成具有大于所需宽度A的宽度B的硬掩模膜30的图案,如图1B所示。随后,在通过使用具有上述较大宽度的硬掩模膜30的图案作为蚀刻掩模执行蚀刻工艺来图案化薄膜20的情况下,导致的问题是形成具有比起始所需形状的宽度更大的宽度的薄膜图案。
另外,尽管未图示,因为在硬掩模膜30的图案的蚀刻工艺中光致抗蚀剂的耐久性被削弱,所以光致抗蚀剂图案40塌陷。因此,导致的问题是下部硬掩模膜30没有被图案化,且因此不可能图案化薄膜20。
发明内容
因此,设想本发明以解决现有技术中的上述问题。本发明的目的是提供一种形成蚀刻掩模的方法,其能够增加硬掩模膜对光致抗蚀剂膜的蚀刻选择性以用于形成193nm或更小的细线宽度,且增强硬掩模膜的蚀刻速率。
根据本发明的一方面,提供一种形成蚀刻掩模的方法,其包含以下步骤:在衬底上沉积含有硅的硬掩模膜;在所述硬掩模膜上沉积光致抗蚀剂;图案化所述光致抗蚀剂;和使用所述光致抗蚀剂图案作为掩模且使用包括CHxFy(x,y=1,2,3)气体的蚀刻气体蚀刻所述硬掩模膜。
优选的是所述蚀刻气体为CH2F2气体,且以10到100sccm的流动速率注入。所述蚀刻气体可进一步包含H2气体。有效的是以20到150sccm的流动速率注入H2气体。优选的是所述蚀刻气体进一步包含Ar。
所述硬掩模膜可形成于氮化硅和氧化硅的至少任一者的单层或多层膜中。
优选的是所述光致抗蚀剂包含ArF(193nm)、F2(157nm)和EUV(远紫外)抗蚀剂中的任一者。
另外,所述方法优选包含以下步骤:在硬掩模膜与光致抗蚀剂图案之间沉积抗反射涂层(ARC);和在蚀刻所述硬掩模膜之前蚀刻所述ARC。
根据本发明的另一方面,提供一种形成蚀刻掩模以蚀刻衬底上的硬掩模膜下的膜的方法,所述衬底具有含有硅的硬掩模膜且经图案化的光致抗蚀剂顺序形成于其上,所述方法包含步骤:使用所述光致抗蚀剂图案作为掩模且使用包括CHxFy(x,y=1,2,3)气体的蚀刻气体蚀刻所述硬掩模膜。
这里,优选的是所述蚀刻气体为CH2F2气体,且以10到100sccm的流动速率注入。所述蚀刻气体进一步包含以20到150sccm的流动速率注入的H2气体也是优选的。有效的是所述蚀刻气体进一步包含以200到800sccm的流动速率注入的Ar气体。
优选的是所述光致抗蚀剂包含ArF(193nm)、F2(157nm)和EUV(远紫外)抗蚀剂中的任一者。
另外,根据本发明的又一方面,提供一种形成蚀刻掩模以蚀刻衬底上的硬掩模膜下的膜的方法,所述衬底具有含有硅的硬掩模膜、ARC和且经图案化的光致抗蚀剂顺序形成于其上,所述方法包含步骤:使用所述光致抗蚀剂图案作为掩模蚀刻所述ARC;和使用所述光致抗蚀剂图案和ARC作为掩模且使用包括CHxFy(x,y=1,2,3)气体的蚀刻气体来蚀刻所述硬掩模膜。
此时,优选的是所述蚀刻气体为CH2F2气体,且以10到100sccm的流动速率注入。有效的是所述蚀刻气体进一步包含以20到150sccm的流动速率注入的H2气体。所述蚀刻气体进一步包含以200到800sccm的流动速率注入的Ar气体也是优选的。
优选的是所述光致抗蚀剂包含ArF(193nm)、F2(157nm)和EUV(远紫外)抗蚀剂中的任一者。
另外,根据本发明的又一方面,提供一种形成蚀刻掩模以蚀刻衬底上的硬掩模膜下的膜的方法,所述衬底具有含有硅的硬掩模膜、ARC且经图案化的光致抗蚀剂顺序形成于其上,所述方法包含以下步骤:使用所述光致抗蚀剂图案作为掩模蚀刻所述ARC;和在所述光致抗蚀剂图案的表面聚合的同时,使用所述光致抗蚀剂图案和ARC作为掩模且使用一包括CHxFy(x,y=1,2,3)气体的蚀刻气体来蚀刻所述硬掩模膜。
这里,优选的是所述蚀刻气体为CH2F2气体,且以10到100sccm的流动速率注入。另外,较优选的是所述蚀刻气体进一步包含以20到150sccm的流动速率注入的H2气体。
有效的是所述光致抗蚀剂使用ArF(193nm)、F2(157nm)和EUV(远紫外)抗蚀剂中的任一者。
附图说明
从以下结合附图给出的优选实施例的描述,本发明的以上和其它目的、特征和优点将变得明显,其中:
图1A至1C是概念上说明使用ArF(193nm)抗蚀剂和硬掩模图案形成薄膜图案的常规方法的问题的截面图;
图2A至2D是说明根据本发明实施例的形成薄膜图案的方法的截面图;
图3是根据本发明的用于蚀刻硬掩模膜的装置的概念图;
图4是说明根据CH2F2气体流动速率的改变的蚀刻速率的曲线图;
图5是说明根据H2气体流动速率的改变的蚀刻速率的曲线图;
图6是具有根据本发明实施例而蚀刻的硬掩模膜的衬底的平面照片;和
图7和8是具有根据本发明实施例而蚀刻的硬掩模膜的衬底的截面照片。
具体实施方式
下文中,将参看附图详细描述本发明的优选实施例。然而,本发明并不限于下文将揭示的实施例,而是可建构为多种不同形式。仅为了说明性目的和在所属领域的技术人员完全理解本发明的范围内提供所述实施例。全部附图中,类似参考数字表示类似元件。
图2A至2D是说明根据本发明实施例形成薄膜图案的方法的截面图。
如图2A所示,薄膜120、硬掩模膜130和抗反射涂层(ARC)140形成于衬底110上。下文中,将光致抗蚀剂施加于ARC 140,且接着通过使用掩模执行光刻工艺来形成光致抗蚀剂图案150。
这里,不仅可使用用于制造半导体设备的半导体衬底作为衬底110,也可使用用于制造平板显示设备的透明绝缘衬底作为衬底110。薄膜120不限于此,而是可为用于制造半导体设备或平板显示设备的薄膜。
优选地,使用相对于下部薄膜120具有高蚀刻选择性的膜作为硬掩模膜130。在此实施例中,氮化硅膜(SiN)用作硬掩模膜130也是优选的。使用用于最小化光曝露工艺中产生的光反射的膜,以10到50nm的厚度施加ARC 140,以用于使光致抗蚀剂图案150形成于ARC 140上。
随后,通过旋涂方法将用于193nm或更小波长的光致抗蚀剂施加到ARC 140。优选地,将ArF(193nm)、F2(157nm)和EUV(远紫外)抗蚀剂中的任一者用作光致抗蚀剂。
在此实施例中,施加ArF抗蚀剂。通过使用用于形成薄膜的掩模执行光刻工艺来形成ArF抗蚀剂图案150。也就是说,通过旋涂方法将ArF抗蚀剂施加到ARC,并接着将其载入193nm光曝露仪器中。随后,通过使用用于图案化薄膜的掩模执行光曝露工艺且接着执行显影工艺来形成ArF光致抗蚀剂图案。
如图2B所示,通过使用ArF抗蚀剂图案150作为蚀刻掩模执行蚀刻工艺来蚀刻经曝露的ARC 140。也就是说,通过使用CF4/O2/C4F6/Ar的混合气体执行等离子蚀刻工艺来去除ARC 140是有效的。此时,优选的是分别以20到40sccm、1到20sccm、1到20sccm和200到800sccm的流动速率将CF4、O2、C4F6和Ar气体供应到等离子蚀刻装置。
接着,如图2C所示,蚀刻硬掩模膜130,其中通过使用包括用于光致抗蚀剂图案150的增强气体的蚀刻气体来执行硬掩模膜130对光致抗蚀剂图案150具有增强的蚀刻选择性的蚀刻工艺。
这里,优选使用CHxFy(x,y=1,2,3)和H2的混合气体作为蚀刻气体。在使用混合气体的情况下,ArF光致抗蚀剂图案150的表面聚合成具有几乎无穷大的蚀刻选择性的聚合物151,在所述无穷大的蚀刻选择性下ArF光致抗蚀剂图案150不会被去除,但仅下部硬掩模膜130被蚀刻。
在此实施例中,CH2F2/H2/Ar的混合气体用作蚀刻气体。另外,优选的是在蚀刻工艺中分别以10到200sccm、20到200sccm和100到1000sccm的流动速率注入CH2F2、H2和Ar气体。
将上述蚀刻工艺描述如下:
如上文所述,将具有形成于硬掩模膜130上的光致抗蚀剂图案150的衬底110载入图3所示的蚀刻仪器200的腔室中,使得衬底110就位于衬底支撑构件210上。优选地,使用静电卡盘作为衬底支撑构件210。另外,优选的是在蚀刻工艺中将静电卡盘的温度维持在摄氏-10到80度。将蚀刻仪器200(即,腔室)中的压力维持在1到500mTorr。随后,将CH2F2、H2和Ar气体注入蚀刻仪器200,且接着通过产生等离子来进行蚀刻工艺。
优选地,蚀刻仪器200对衬底支撑构件210施加不同的高频功率,如图3(a)所示。此时,第一、第二和第三高频功率源220、230和240优选地分别施加400KHz到10MHz、10到30MHz和10到100MHz的频率的电压。另外,蚀刻仪器200可对衬底支撑构件210施加不同的高频功率,并对蚀刻仪器200的上部部分中的天线250施加频率功率,如图3(b)所示。也就是说,第一和第二高频功率源220和230对用作下部电极的衬底支撑构件210施加400KHz到10MHz和10到30MHz的频率的电压,而第三高频功率源240对提供于衬底支撑构件210上方的天线250施加10到100MHz的频率的电压。
上文中,第一和第二高频功率源220和230优选地分别施加100到400W和300到600W的电功率。
可使用上述的蚀刻气体和蚀刻仪器200来调整蚀刻速率和蚀刻选择性。也就是说,可能获得在蚀刻硬掩模膜130时光致抗蚀剂图案150决不会被去除的蚀刻选择性。
图4是说明根据CH2F2气体流动速率的改变的蚀刻速率的曲线图,且图5是说明根据H2气体流动速率的改变的蚀刻速率的曲线图。图6是具有根据本发明实施例蚀刻的硬掩模膜的衬底的平面照片,且图7和8是具有根据本发明实施例蚀刻的硬掩模膜的衬底的截面照片。
图4说明在H2气体的流动速率维持在80sccm的状态下改变CH2F2气体的流动速率时,硬掩模膜130的蚀刻速率改变(见曲线a)、光致抗蚀剂图案150的蚀刻速率改变(见曲线b)和硬掩模膜130对光致抗蚀剂图案150的蚀刻选择性改变(见曲线c)。此时,图4(a)、(b)和(c)分别说明第一高频功率源220的电功率设置为100、150和200W时的改变。这里,相同地维持其它工艺条件。从图4的曲线可了解,在已注入H2气体的状态下增加CH2F2气体的流动速率时,硬掩模膜130的蚀刻速率减小,但硬掩模膜130对光致抗蚀剂图案150的蚀刻选择性增加到无穷大。另外,还可了解蚀刻速率和蚀刻选择性是根据所施加电源的功率而改变的。
另外,图5说明在CH2F2气体的流动速率维持在20sccm的状态下改变H2气体的流动速率时,硬掩模膜130的蚀刻速率改变(见曲线a)、光致抗蚀剂图案150的蚀刻速率改变(见曲线b)和硬掩模膜130对光致抗蚀剂图案150的蚀刻选择性改变(见曲线c)。此时,图5(a)、(b)和(c)分别说明第一高频功率源220的电功率如上文所述设定为100、150和200W时的改变。从图5的曲线可了解,在已注入CH2F2气体的状态下增加H2气体的流动速率时,硬掩模膜130对光致抗蚀剂图案150的蚀刻选择性从接近无穷大的值逐渐减小,但硬掩模膜130的蚀刻速率增加。
回顾两幅曲线图,当使用包括CH2F2和H2气体的混合气体执行蚀刻时,SiN膜(即,硬掩模膜130)的蚀刻速率增加,而ArF光致抗蚀剂图案150的蚀刻速率为负值,使得蚀刻选择性变为接近无穷大的值。这是因为在将CH2F2和H2气体供应到蚀刻仪器时,聚合物151产生于ArF光致抗蚀剂图案150的表面上以包围其表面。
因此,不会产生ArF光致抗蚀剂图案150的塌陷或蚀刻,从而可形成具有所需图案的硬掩模膜130,且可增强硬掩模膜130的蚀刻速率,如图6至8的照片中所示。在上文中,图7是在已执行蚀刻约1分钟之后的照片,且图8是已执行蚀刻约3分钟之后的照片。也就是说,图6(a)、7(a)和8(a)是已通过分别以20、60和500sccm将CH2F2、H2和Ar气体注入图3的等离子蚀刻仪器中来蚀刻硬掩模膜130之后的FE-SEM照片,且图6(b)、7(b)和8(b)是已通过分别以20、100和500sccm将CH2F2、H2和Ar气体注入图3的等离子蚀刻仪器中来蚀刻硬掩模膜130之后的FE-SEM照片。如照片中所示,可了解用于硬掩模膜130的蚀刻工艺没有改变硬掩模膜130顶部上的ArF光致抗蚀剂图案150,且根据本发明通过使用包括CH2F2和H2气体的混合气体使硬掩模膜130图案化而具有与光致抗蚀剂图案150相同的形状。
这是因为CH2F2和H2气体被引入蚀刻仪器并接着借助于等离子而活化,经活化的活化基团对ArF光致抗蚀剂图案150反应,使聚合物151形成于其表面上。因此,此聚合物151用作阻挡层以用于防止通过CH2F2和H2气体而去除ArF光致抗蚀剂图案150,从而可防止ArF光致抗蚀剂图案150的蚀刻。聚合物151还用以硬化ArF光致抗蚀剂图案150的外表面,从而防止ArF光致抗蚀剂图案150的塌陷。此时,产生的聚合物151为CF2(z=0.1到0.5)。在CH2F2气体的流动速率增加时,聚合物151内碳(C)的量和聚合物151的厚度相对增加,使得抵抗ArF抗蚀剂的蚀刻的耐久性增加。另一方面,因为在将CH2F2和H2气体一起添加时产生例如HCN的蚀刻反应剂,所以活化了增加SiN硬掩模膜130中N元素的去除的反应,从而继续硬掩模膜130的蚀刻。在此情况下,通过蚀刻,ArF抗蚀剂被去除而硬掩模膜130被连续去除,从而可获得接近无穷大的蚀刻选择性。然而,如果H2气体的流动速率过度增加,ArF抗蚀剂图案150上聚合物151的产生速率就减小,使得ArF抗蚀剂以及硬掩模膜的蚀刻速率也增加,且蚀刻选择性具有相对较小的值。因此,为获得接近无穷大的值的蚀刻选择性,CH2F2和H2气体的流动速率的适当组合变为一个重要的工艺参数。
如图2d所示,通过使用经图案化的硬掩模膜130和ArF光致抗蚀剂图案150作为蚀刻掩模的蚀刻工艺来去除薄膜120的一部分,且因此使薄膜120图案化。接着,去除ArF光致抗蚀剂图案150和硬掩模膜130以形成薄膜图案。
上述描述中已描述用于薄膜的一般图案化方法。
根据本实施例的图案化薄膜的方法可应用于图案化半导体设备的设备隔离膜和栅电极与栅极线,以及包括源极和漏极线的金属导线。
也就是说,使用氮化硅膜将一硬掩模膜形成于半导体衬底上,且将光致抗蚀剂图案形成于其顶部上。随后,使用包括CH2F2、H2和Ar气体的混合气体来蚀刻硬掩模膜,且因此暴露半导体衬底的一部分。接着,蚀刻半导体衬底的暴露部分以通过使用硬掩模膜和光致抗蚀剂图案作为蚀刻掩模执行蚀刻工艺来形成槽。随后,用HDP氧化膜填充所述槽,使用硬掩模膜作为停止膜(stop film)来执行平坦化工艺,且接着去除硬掩模膜,由此形成设备隔离膜。将显而易见,上述方法只是用于形成半导体设备的槽的实施例。因此,本发明不限于此,而是可进行各种修改。
同时,将栅极氧化膜和导电膜按顺序形成于半导体衬底上,且将硬掩模膜和光致抗蚀剂图案形成于其上。使用包括CH2F2、H2和Ar气体的混合气体来蚀刻硬掩模膜,从而暴露导电膜的一部分。随后,通过使用硬掩模膜作为蚀刻掩模执行蚀刻工艺来去除导电膜的暴露部分,因此形成栅电极与栅极线。将显而易见,上述描述只是用于形成用于半导体设备的栅电极和栅极线的实施例。因此,本发明不限于以上实施例,而是可进行各种修改。
另外,栅电极和设备隔离膜可同时形成。也就是说,将栅极氧化膜、导电膜和硬掩模膜按顺序形成于半导体衬底上,且接着将光致抗蚀剂图案形成于其顶部上。使用包括CH2F2、H2和Ar气体的混合气体来蚀刻硬掩模膜,且接着通过使用硬掩模膜作为蚀刻掩模执行蚀刻工艺来蚀刻导电膜的一部分、栅极氧化膜和衬底以形成槽。接着,在用HDP氧化膜填充所述槽之后,通过使用导电膜作为停止膜执行平坦化工艺来形成栅电极和栅极线,且同时形成设备隔离膜。
另外,层间绝缘膜形成于衬底上,所述衬底具有源极和漏极电极或上面形成有下部金属布线,硬掩模膜形成于层间绝缘膜上,且接着光致抗蚀剂图案形成于硬掩模膜的顶部上。接着,在使用包括CH2F2、H2和Ar气体的混合气体蚀刻硬掩模膜之后,通过使用硬掩模膜作为蚀刻掩模执行蚀刻工艺来蚀刻层间绝缘膜,以形成暴露下部源极电极、漏极电极或下部金属布线的接触孔,且硬掩模膜被去除。随后,形成填充所述接触孔的导电膜,且接着通过图案化层间绝缘膜上的导电膜来形成金属布线。尽管在上述描述中已简要描述了通过单镶嵌工艺形成金属布线的实施例,但本发明不限于此。也就是说,可通过各种修改来形成金属布线。
因而,视下部薄膜的形状而定,本发明可应用于制造半导体设备的整个过程。
尽管上文中已描述使用硬掩模膜形成单个SiN膜,但本发明不限于此。也就是说多层膜可用作硬掩模膜。换句话说,可使用具有至少两层(其中形成有氮化硅和/或氧化物膜)的膜作为硬掩模膜。
如上文所述,根据本发明,在蚀刻用于193nm或更小波长的光致抗蚀剂图案下的硬掩模膜时,可使用包括CH2F2和H2气体的混合气体来增加硬掩模膜对光致抗蚀剂图案的蚀刻选择性。
本发明并不限于上述实施例,而是可建构为不同形式。也就是说,仅为了说明性目的在所属领域的技术人员完全理解本发明的范围内提供所述实施例。另外,应在由随附权利要求书界定的本发明的范围内理解本发明的范围。

Claims (7)

1.一种形成蚀刻掩模的方法,其包含以下步骤:
在衬底上沉积含有硅的硬掩模膜;
在所述硬掩模膜上沉积光致抗蚀剂;
图案化所述光致抗蚀剂;
将具有沉积于所述硬掩模膜上的光致抗蚀剂图案的所述衬底载入蚀刻仪器的腔室中,并将所述衬底就位于衬底支撑构件上;和
使用所述光致抗蚀剂图案作为掩模且使用包括CH2F2气体和H2气体的蚀刻气体来蚀刻所述硬掩模膜,
其中彼此不同的第一、第二和第三高频功率被施加至所述衬底支撑构件,或者第一和第二高频功率被施加至所述衬底支撑构件而第三高频功率被施加至提供于所述衬底支撑构件上方的天线;
其中所述第一高频功率具有400KHz到10MHz的频率范围,所述第二高频功率具有10MHz到30MHz的频率范围,而第三高频功率具有10MHz到100MHz的频率范围;其中所述CH2F2气体以10到100sccm的流动速率注入,所述H2气体以20到150sccm的流动速率注入;且
其中所述光致抗蚀剂包含ArF抗蚀剂,且所述硬掩模膜是氮化硅膜。
2.根据权利要求1所述的方法,其中所述蚀刻气体进一步包含Ar。
3.根据权利要求1所述的方法,其进一步包含以下步骤:
在所述硬掩模膜与所述光致抗蚀剂图案之间沉积抗反射涂层;和
在蚀刻所述硬掩模膜之前蚀刻所述抗反射涂层。
4.一种形成蚀刻掩模以蚀刻衬底上硬掩模膜下的膜的方法,所述衬底具有顺序形成于其上的含有硅的所述硬掩模膜及经图案化的光致抗蚀剂,所述方法包含以下步骤:
将具有沉积于所述硬掩模膜上的光致抗蚀剂图案的所述衬底载入蚀刻仪器的腔室中,并将所述衬底就位于衬底支撑构件上;和
使用所述光致抗蚀剂图案作为掩模且使用包括CH2F2气体和H2气体的蚀刻气体来蚀刻所述硬掩模膜,
其中彼此不同的第一、第二和第三高频功率被施加至所述衬底支撑构件,或者第一和第二高频功率被施加至所述衬底支撑构件而第三高频功率被施加至提供于所述衬底支撑构件上方的天线;且
其中所述第一高频功率具有400KHz到10MHz的频率范围,所述第二高频功率具有10MHz到30MHz的频率范围,而第三高频功率具有10MHz到100MHz的频率范围;
其中所述CH2F2气体以10到100sccm的流动速率注入,所述H2气体以20到150sccm的流动速率注入;且
其中所述光致抗蚀剂包含ArF抗蚀剂,且所述硬掩模膜是氮化硅膜。
5.根据权利要求4所述的方法,其中所述蚀刻气体进一步包含以200到800sccm的流动速率注入的Ar气体。
6.一种形成蚀刻掩模以蚀刻衬底上硬掩模膜下的膜的方法,所述衬底具有按顺序形成于其上的含有硅的所述硬掩模膜、抗反射涂层及经图案化的光致抗蚀剂,所述方法包含以下步骤:
将具有沉积于所述硬掩模膜上的所述抗反射涂层和光致抗蚀剂图案的所述衬底载入蚀刻仪器的腔室中,并将所述衬底就位于衬底支撑构件上;
使用所述光致抗蚀剂图案作为掩模来蚀刻所述抗反射涂层;和
使用所述光致抗蚀剂图案和抗反射涂层作为掩模且使用包括CH2F2气体和H2气体的蚀刻气体来蚀刻所述硬掩模膜,
其中彼此不同的第一、第二和第三高频功率被施加至所述衬底支撑构件,或者第一和第二高频功率被施加至所述衬底支撑构件而第三高频功率被施加至提供于所述衬底支撑构件上方的天线;且
其中所述第一高频功率具有400KHz到10MHz的频率范围,所述第二高频功率具有10MHz到30MHz的频率范围,而第三高频功率具有10MHz到100MHz的频率范围;
其中所述CH2F2气体以10到100sccm的流动速率注入,所述H2气体以20到150sccm的流动速率注入;且
其中所述光致抗蚀剂包含ArF抗蚀剂,且所述硬掩模膜是氮化硅膜。
7.一种形成蚀刻掩模以蚀刻衬底上硬掩模膜下的膜的方法,所述衬底具有按顺序形成于其上的含有硅的所述硬掩模膜、抗反射涂层及经图案化的光致抗蚀剂,所述方法包含以下步骤:
将具有沉积于所述硬掩模膜上的所述抗反射涂层和光致抗蚀剂图案的所述衬底载入蚀刻仪器的腔室中,并将所述衬底就位于衬底支撑构件上;
使用所述光致抗蚀剂图案作为掩模来蚀刻所述抗反射涂层;和
在所述光致抗蚀剂图案的表面被聚合的同时使用所述光致抗蚀剂图案和抗反射涂层作为掩模,且使用包括CH2F2气体和H2气体的蚀刻气体来蚀刻所述硬掩模膜,
其中彼此不同的第一、第二和第三高频功率被施加至所述衬底支撑构件,或者第一和第二高频功率被施加至所述衬底支撑构件而第三高频功率被施加至提供于所述衬底支撑构件上方的天线;且
其中所述第一高频功率具有400KHz到10MHz的频率范围,所述第二高频功率具有10MHz到30MHz的频率范围,而第三高频功率具有10MHz到100MHz的频率范围;
其中所述CH2F2气体以10到100sccm的流动速率注入,所述H2气体以20到150sccm的流动速率注入;且
其中所述光致抗蚀剂包含ArF抗蚀剂,且所述硬掩模膜是氮化硅膜。
CN2006101380037A 2005-11-02 2006-11-02 形成蚀刻掩模的方法 Expired - Fee Related CN1959529B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020050104528A KR20070047624A (ko) 2005-11-02 2005-11-02 박막 패턴 형성 방법
KR10-2005-0104528 2005-11-02
KR1020050104528 2005-11-02

Publications (2)

Publication Number Publication Date
CN1959529A CN1959529A (zh) 2007-05-09
CN1959529B true CN1959529B (zh) 2012-06-13

Family

ID=38052432

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101380037A Expired - Fee Related CN1959529B (zh) 2005-11-02 2006-11-02 形成蚀刻掩模的方法

Country Status (4)

Country Link
US (1) US7465672B2 (zh)
KR (1) KR20070047624A (zh)
CN (1) CN1959529B (zh)
TW (1) TWI461828B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100836069B1 (ko) * 2007-06-29 2008-06-09 세메스 주식회사 기판 처리 장치
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
CN103065946B (zh) * 2011-10-18 2016-04-13 中芯国际集成电路制造(上海)有限公司 一种光刻方法
US8748989B2 (en) * 2012-02-28 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors
JP7229929B2 (ja) * 2017-02-01 2023-02-28 アプライド マテリアルズ インコーポレイテッド ハードマスク応用向けのホウ素がドープされた炭化タングステン

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
CN1143366C (zh) * 1994-06-13 2004-03-24 株式会社日立制作所 半导体集成电路装置的制造方法
CN1524287A (zh) * 2001-02-12 2004-08-25 兰姆研究有限公司 用于蚀刻有机低k材料的特殊化学工艺
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
JPS5961147A (ja) * 1982-09-30 1984-04-07 Toshiba Corp 半導体装置の製造方法
DE3420347A1 (de) * 1983-06-01 1984-12-06 Hitachi, Ltd., Tokio/Tokyo Gas und verfahren zum selektiven aetzen von siliciumnitrid
DE4317722C2 (de) * 1993-05-27 1996-12-05 Siemens Ag Verfahren zum anisotropen Ätzen einer aluminiumhaltigen Schicht und Verwendung einer hierzu geeigneten Ätzgasmischung
US5438006A (en) * 1994-01-03 1995-08-01 At&T Corp. Method of fabricating gate stack having a reduced height
US5858847A (en) * 1997-03-28 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Method for a lightly doped drain structure
EP0887706A1 (en) * 1997-06-25 1998-12-30 Wako Pure Chemical Industries Ltd Resist composition containing specific cross-linking agent
JPH1171363A (ja) * 1997-06-25 1999-03-16 Wako Pure Chem Ind Ltd レジスト組成物及びこれを用いたパターン形成方法並びにレジスト剤用架橋剤
US5986344A (en) * 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6300251B1 (en) * 2000-02-10 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Repeatable end point method for anisotropic etch of inorganic buried anti-reflective coating layer over silicon
JP3507009B2 (ja) * 2000-06-20 2004-03-15 象印マホービン株式会社 炊飯ジャーの蓋
US6743725B1 (en) * 2001-08-13 2004-06-01 Lsi Logic Corporation High selectivity SiC etch in integrated circuit fabrication
US6579809B1 (en) * 2002-05-16 2003-06-17 Advanced Micro Devices, Inc. In-situ gate etch process for fabrication of a narrow gate transistor structure with a high-k gate dielectric
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
JP4256347B2 (ja) * 2003-04-30 2009-04-22 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US20060084243A1 (en) * 2004-10-20 2006-04-20 Ying Zhang Oxidation sidewall image transfer patterning method
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060166416A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Addition of ballast hydrocarbon gas to doped polysilicon etch masked by resist
JP4476171B2 (ja) * 2005-05-30 2010-06-09 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1143366C (zh) * 1994-06-13 2004-03-24 株式会社日立制作所 半导体集成电路装置的制造方法
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
CN1524287A (zh) * 2001-02-12 2004-08-25 兰姆研究有限公司 用于蚀刻有机低k材料的特殊化学工艺
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement

Also Published As

Publication number Publication date
US20070114205A1 (en) 2007-05-24
TWI461828B (zh) 2014-11-21
US7465672B2 (en) 2008-12-16
CN1959529A (zh) 2007-05-09
TW200722909A (en) 2007-06-16
KR20070047624A (ko) 2007-05-07

Similar Documents

Publication Publication Date Title
KR100232187B1 (ko) 반사방지막 식각방법
JPH07183194A (ja) 多層レジストパターン形成方法
CN101582374B (zh) 形成用于半导体装置的薄膜图案的方法和设备
US7901869B2 (en) Double patterning with a double layer cap on carbonaceous hardmask
KR20060129412A (ko) 다양한 에칭 및 리소 집적 설계를 위한 비결정형탄소(apf)의 사용 기술
CN1959529B (zh) 形成蚀刻掩模的方法
KR100685903B1 (ko) 반도체 소자의 제조 방법
JPH065560A (ja) 半導体装置の製造方法
KR20000022632A (ko) 건식 에칭 방법 및 건식 에칭 방법을 이용한 반도체 장치의 제조방법
KR20100134418A (ko) 스페이서 패터닝 공정을 이용한 콘택홀 형성 방법
KR100849190B1 (ko) 반도체 소자의 미세 패턴 형성 방법
JP2014107520A (ja) プラズマエッチング方法
US20060024971A1 (en) Dry etching method using polymer mask selectively formed by CO gas
CN101295643B (zh) 通孔刻蚀方法及通孔掩膜
KR19990063182A (ko) 에칭방법
KR19980021248A (ko) 반도체소자 미세패턴 형성방법
KR100899414B1 (ko) 물리적 기상 증착법에 의해 형성된 비정질 카본을 이용한 다층 레지스트 구조의 제작 및 이를 이용한 박막 패턴 형성 방법
JPH08236506A (ja) 半導体装置の製造方法
KR100532748B1 (ko) 반도체 소자의 금속 배선층 제조 방법
KR100563819B1 (ko) 반도체소자의 반사방지막 제조방법
WO2001009683A1 (en) Reduction of resist poisoning
KR100248198B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100367492B1 (ko) 반도체소자의도전배선제조방법
US20070231746A1 (en) Treating carbon containing layers in patterning stacks
KR20070021506A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120613

Termination date: 20131102