KR20060129412A - 다양한 에칭 및 리소 집적 설계를 위한 비결정형탄소(apf)의 사용 기술 - Google Patents

다양한 에칭 및 리소 집적 설계를 위한 비결정형탄소(apf)의 사용 기술 Download PDF

Info

Publication number
KR20060129412A
KR20060129412A KR1020067017385A KR20067017385A KR20060129412A KR 20060129412 A KR20060129412 A KR 20060129412A KR 1020067017385 A KR1020067017385 A KR 1020067017385A KR 20067017385 A KR20067017385 A KR 20067017385A KR 20060129412 A KR20060129412 A KR 20060129412A
Authority
KR
South Korea
Prior art keywords
layer
amorphous carbon
silicon
substrate
carbon layer
Prior art date
Application number
KR1020067017385A
Other languages
English (en)
Other versions
KR101155141B1 (ko
Inventor
웨이 리우
짐 종위 헤
상 에이치. 안
메이후아 쉔
하이쳄 엠’사드
웬디 에이치. 예
크리스토퍼 디. 벤처
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060129412A publication Critical patent/KR20060129412A/ko
Application granted granted Critical
Publication of KR101155141B1 publication Critical patent/KR101155141B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

기판의 에칭방법이 제공된다. 본 기판의 에칭방법은 상기 기판에 하드마스크로서 더블 패턴화된 비결정형 탄소탄소탄소하여 상기 기판에 패턴을 전사하는 단계를 포함한다. 선택적으로, 비탄소 기반 층은 상기 패턴이 기판에 전사되기 전에 비결정형 탄소 층에 캐핑(capping) 층으로서 증착된다.

Description

다양한 에칭 및 리소 집적 설계를 위한 비결정형 탄소(APF)의 사용 기술{TECHNIQUES FOR THE USE OF AMORPHOUS CARBON(APF) FOR VARIOUS ETCH AND LITHO INTEGRATION SCHEME}
본 발명의 실시예들은 집적 회로의 제작에 관한 것이다. 보다 상세하게, 본 발명의 실시예들은 반도체 회로기판내의 피쳐 패터닝 및 에칭 공정에 관한 것이다.
집적 회로가 처음으로 도입된 이래 몇십 년간 그 기하학적 구조의 크기는 극적으로 작아져 왔다. 집적 회로는 일반적으로 2년마다 하나의 칩에 실리는 소자(device)의 갯수가 두 배가 된다는 2년/2분의1축적 규칙(종종 무어의 법칙으로 불린다)을 따라가고 있다. 오늘날 제조 설비들은 일반적으로 0.13㎛의 피쳐 크기부터 심지어 0.1㎛의 피쳐 크기를 가지는 소자들을 생산하고 있고, 나아가 미래의 설비들은 곧 이보다 더욱 작은 피쳐 크기를 가지는 소자들을 생산하게 될 것이다.
소자 기하학적 구조가 축소되면서, 반도체 기판에서 나노미터 간격으로 분리되는 나노미터 스케일의 피쳐 형성방법이 요구되고 있다. 최근의 리소그래피 공정이 광학 레졸루션의 한계에 접근함에 따라, 기판상의 피쳐 또는 소자들 간의 간격을 줄이기 위해 개발되고 있는 방법의 하나로, 패턴을 기판상에 전사하는데 이용되는 하드마스크 층의 더블 패터닝을 들 수 있다. 이러한 더블 패터닝 방법에서, 하 드마스크 층은 에칭되어야 할 기판 층상에 증착된다. 하드마스크 층은 하드마스크 층상에 증착된 포토레지스트에 의하여 패터닝된다. 그 후 포토레지스트는 제거되고, 하드마스크 층에 증착되는 제2 포토레지스트를 이용하여 제2 패턴이 하드마스크 층으로 도입된다.
최근의 더블 패터닝 방법은 기판상에 피쳐들 간 간격을 줄이기 위하여 사용될 수 있지만, 더블 패터닝 방법을 위하여 하드마스크로서 사용될 수 있는 재료들에 대한 요구가 여전히 남아있다. 특히, 리소그래피 과정 중에 레졸루션을 손상시킬 수 있는 반사를 최소화하기 위한 비반사(anti-reflective) 코팅으로서 작용하는 하드마스크 층의 더블 패터닝이 요구된다. 도 1(종래 기술)은 낮은 레졸루션으로 패터닝된 피쳐(12, 14)를 가지는 기판(10)의 일예를 도시하고 있다. 또한, 에칭되어야 하는 하부에 놓인 기판에 대한 우수한 에칭 선택비를 가지고, 기판이 에칭된 후에도 쉽게 제거되는 하드마스크 층의 더블 패터닝이 요구된다.
본 발명의 실시예들은, 기판에 비결정형 탄소 층을 증착하는 단계; 상기 비결정형 탄소 층에 제1 패턴을 형성하는 단계; 상기 비결정형 탄소 층에 포토레지스트 층을 증착하는 단계; 상기 포토레지스트를 패터닝하는 단계; 상기 비결정형 탄소 층에 제2 패턴을 형성하기 위하여 상기 비결정형 탄소 층을 통하여 상기 포토레지스트에 상기 패턴을 전사하는 단계; 및 상기 기판을 통하여 상기 비결정형 탄소 층에 상기 제1 및 제2 패턴을 전사하는 단계를 포함하는 기판의 에칭방법을 제공한다.
한 측면에서, 기판의 에칭방법은, 상기 기판에 비결정형 탄소 층을 증착하는 단계; 상기 비결정형 탄소 층에 비탄소 기반 층을 증착하는 단계; 상기 비결정형 탄소 층에 포토레지스트 층을 증착하는 단계; 상기 포토레지스트를 패터닝하는 단계; 상기 비결정형 탄소 층에 제2 패턴을 형성하기 위하여 상기 비탄소 기반 층 및 상기 비결정형 탄소 층을 통하여 상기 포토레지스트에 상기 패턴을 전사하는 단계; 및 상기 기판을 통하여 상기 비결정형 탄소 층에 제1 및 제2 패턴을 전사하는 단계를 포함한다.
다른 측면에서, 기판의 에칭방법은, 상기 기판에 비결정형 탄소 층을 증착하는 단계; 상기 비결정형 탄소 층에 제1 비탄소 기반 층을 증착하는 단계; 상기 비탄소 기반 층 및 상기 비결정형 탄소 층에 제1 패턴을 형성하는 단계; 상기 비결정형 탄소 층에 제2 비탄소 기반 층을 증착하는 단계; 상기 제2 비탄소 기반 층에 포토레지스트 층을 증착하는 단계; 상기 포토레지스트를 패터닝하는 단계; 상기 비결정형 탄소 층에 제2 패턴을 형성하기 위하여 상기 제2 비탄소 기반 층 및 상기 비결정형 탄소 층을 통하여 상기 포토레지스트에 상기 패턴을 전사하는 단계; 및 상기 기판을 통하여 상기 비결정형 탄소 층에 상기 제1 및 제2 패턴을 전사하는 단계를 포함한다.
실시예들을 참고하여 상기한 본원발명에 대하여 보다 상세히 설명한다. 이에 의하여 위에서 간략히 요약된 본원발명의 특징적인 방법을 상세하게 이해할 수 있다. 실시예들 중 일부는 첨부된 도면에 기재되어 있다. 본 발명의 몇몇 실시예들이 도시되고 설명되었지만 첨부된 도면들은 단지 본원발명의 전형적인 실시예에 불과하며, 그것을 본 발명의 한계로 여겨서는 안 될 것이다. 본 발명은 동일한 효과를 가지는 다른 실시예들도 포함함은 물론이다.
도 1은 종래 기술에 따른 구조의 단면도이고,
도 2A-2F는 본원발명의 일실시예에 따른 구조의 단면도이고,
도 3A-3F는 본원발명의 일실시예에 따른 구조의 단면도이며,
도 4A-4F는 본원발명의 일실시예에 따른 구조의 단면도이다.
본 발명의 실시예들은 예컨대, 70-75nm의 라인들과 같은 라인들 또는 상호접속 홀들이 예컨대, 70-75nm 간격으로 매우 가깝게 이격되는, 매우 작은 피쳐들을 형성하기 위한 기판 에칭 방법을 제공한다. 매우 작고 가깝게 이격되는 피쳐들을 형성하기 위해 비결정형 탄소 층이 기판 에칭을 위한 하드마스크 층으로서 사용된다. 비결정형 탄소 층은 예컨대, 250nm 미만의 원자외선(DUV: deep ultraviolet)에서 비반사 코팅이다. 이러한 비결정형 탄소 층은 산화물에 대하여 약 10:1의 에칭 선택비 및 폴리실리콘에 대하여 약 6:1의 에칭 선택비를 가진다. 플라즈마 애싱(ashing)은 하드마스크로 사용된 후 비결정형 탄소 층을 쉽게 제거하기 위하여 사용될 수 있다.
도면 2A-2F을 참조하여 본 발명의 일실시예를 설명한다. 비결정형 탄소 층(104)은 도 2A에 도시된 바와 같이 기판(102)에 증착된다. 기판(102)은 실리콘, 폴리실리콘, 산화물, 질화물, 텅스텐, 텅스텐 실리사이드, 알루미늄, 실리콘 옥시 탄화물 및 이들의 조합물로 구성되는 그룹으로부터 선택된 재료이거나 또는 이를 포함할 수 있다. 기판(102)은 하부층(100)의 위에 위치할 수 있다. 하부층(100)은 기판(102)의 에칭 중에 기판(102)에 대한 에칭 스톱(stop)일 수 있다. 선택적으로, 비결정형 실리콘, 실리콘 질화물, 실리콘 옥시질화물, 실리콘 산화물, 도핑된 실리콘 산화물, 실리콘 옥시탄화물, 탄화물, 실리콘 탄화물, 티타늄, 티타늄 질화물로 구성되는 그룹으로부터 선택되는 비반사 코팅 층은 비결정형 탄소 층(104)이 기판에 증착되기 전에 기판(102)상에 증착될 수 있다. 비반사 코팅 층의 재료는 비반사 코팅 층과 기판 사이에 양호한 에칭 선택비가 제공되도록 선택된다.
비결정형 탄소 층(104)은 화학적기상증착(CVD), 플라즈마 강화 화학적기상증착(PE-CVD), 고밀도 플라즈마 화학적기상증착, 또는 이들의 조합 등과 같은 다양한 방법들에 의해 증착될 수 있다. 비결정형 탄소 층은 탄소 및 수소 또는 탄소, 수소 및 질소, 보론, 플루오르, 인, 또는 이들의 혼합물과 같은 도펀트 및 그 외의 것들을 포함할 수 있다.
일 실시예에서, 비결정형 탄소 층은 하이드로탄소 화합물의 가스 혼합물 및 아르곤, 헬륨, 제논, 크립톤, 네온 또는 이들의 조합물과 같은 비활성 가스로부터 형성된다. 탄소의 소스는 선형 탄화수소 같은 기체 탄화수소인 것이 바람직하다. 일 실시예에서, 탄화수소 화합물은 일반식인 CxHy를 갖는데, 여기서, x는 2에서 4의 범위를 가지고, y는 2에서 10의 범위를 가진다. 예컨대, 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6) 또는 아세틸 렌(C2H2)뿐 아니라 이들의 조합물들이 탄화수소 화합물로서 사용될 수 있다. 유사하게, 수소(H2), 질소(N2), 암모니아(NH3) 또는 이들의 조합물 등은, 원한다면, 이러한 가스 혼합물에 첨가될 수 있다. Ar, He 및 N2는 비결정형 탄소 층의 밀도 및 증착율을 조정하기 위하여 사용될 수 있다. 아래 논의하는 바와 같이, H2 및/또는 NH3를 첨가하면 비결정형 탄소 층의 수소 비율을 조정할 수 있다.
일반적으로, 비결정형 탄소 층을 형성하기 위하여 다음과 같은 증착 프로세스 파라미터들이 사용될 수 있다. 이러한 프로세스 파라미터들의 범위는 약 100℃-약 700℃의 웨이퍼 온도, 약 1torr-약 20torr의 챔버 압력, 약 50sccm-약 500sccm(8인치 웨이퍼당)의 하이드로탄소 가스 유속, 약 1W/in2-약 100W/in2, 예를 들어 약 3W/in2-약 20W/in2의 RF 전원, 약 300mils-약 600mils의 플레이트 간격일 수 있다. 바람직하게는, 비결정형 탄소 층은 약 400Å-약 1000Å, 예를 들어 약 500Å의 두께에서 증착된다. 상술한 프로세스 파라미터들은 약 100Å/min-약 500Å/min의 범위에서 비결정형 탄소 층을 위한 전형적인 증착율을 제공하고, 산타 클라라의 어플라이드 머티리얼스 사에 의해 지원 가능한 증착 챔버에서 200mm의 기판상에서 실행될 수 있다. 사용될 수 있는 증착 챔버의 일예로는 어플라이드 머티리얼스 사에 의해 지원 가능한 Producer system®의 APF™ 챔버가 있다.
본 발명의 영역 내에 있는 다른 증착 챔버들과 상술한 파라미터들은 비결정형 탄소 층을 형성하기 위해 사용되는 특수한 증착 챔버에 따라 변형 가능할 것이 다. 예를 들어, 다른 증착 챔버들은 어플라이드 머티리얼스 사에 의해 지원 가능한 상술한 증착 챔버들보다 더 크거나 작은 크기를 가지고, 더 크거나 작은 가스 유속을 필요로 할 수 있다.
증착 직후의(as-deposited) 비결정형 탄소 층은 약 10%-약 60%의 하이드로겐 범위 내에서 탄소:수소 비율의 조정이 가능하다. 비결정형 탄소 층의 수소 비율을 조정하는 것은 에칭 선택비뿐 아니라 광학 특성을 조정하는데 바람직하다. 보다 명확하게 말하자면, 수소 비율이 낮아짐에 따라, 예컨대 흡수율(k)과 같이 증착 직후의 층의 광학 특성은 증가한다. 유사하게는, 수소 비율이 감소됨에 따라, 사용되는 에칭 화학제에 따라 비결정형 탄소 층의 에칭 저항력(resistance)은 증가될 수 있다.
비결정형 탄소 층의 광 흡수율 k는 약 250nm 이하의 파장에서 약 0.1-약 1.0의 범위 내에서 변경할 수 있으며, 이는 DUV 파장에서 비반사 코팅(ARC)으로서의 사용에 적합하게 한다. 비결정형 탄소 층의 흡수율은 증착 온도에 따라 변화할 수 있다. 특히, 온도가 증가함에 따라 증착 직후의 층의 흡수율도 역시 증가한다. 예를 들어, 프로필렌이 비결정형 탄소 층을 증착하는 하이드로탄소 화합물일 때, 증착 온도가 약 150℃-약 480℃의 범위로 증가함에 따라 증착 직후의 비결정형 탄소 층의 k값은 약 0.2-약 0.7까지 증가할 수 있다. 바람직하게, 비결정형 탄소 층은 약 450nm-약 700nm의 파장을 가지는 빛의 50% 미만을 흡수하고, 반도체 기판들은 일반적으로 정렬 마크에 맞추어 정렬되며, 약 450nm-약 700nm의 파장을 사용하여 검사된다.
비결정형 탄소 층의 흡수율은 또한 가스 혼합물에 사용되는 첨가물에 따라 변경될 수 있다. 특히, 가스 혼합물에서 H2, NH3, N2 또는 이들의 결합물의 존재는 약 10%에서 약 100%까지 k 값을 증가시킬 수 있다.
다른 실시예에서, 비결정형 탄소 층은 고밀도 플라즈마 화학적기상증착(HDP-CVD)에 의하여 탄화수소 화합물을 포함하는 가스 혼합물으로부터 증착된다. 탄화수소 가스는 메탄(CH4)인 것이 바람직하다. 그러나, C2H6, C2H2 와 같은 다른 탄화수소 가스들뿐 아니라, 예컨대 아세틸렌 및 메탄의 혼합물 같은 탄화수소 가스들의 혼합물도 사용될 수 있다. 알켄(alkene) 그룹, 알칸(alkane) 그룹 및 알킨(alkyne) 그룹의 기체 및 액체 탄화수소가 사용될 수 있다. 이러한 탄화수소의 일예로 CH4, C2H2, C2H4 , C2H6 및 C2H8를 들 수 있다.
탄화수소 가스는 아르곤과 같은 캐리어 가스와 함께 HDP-CVD 챔버에 주입된다. 사용 가능한 HDP-CVD 챔버의 일예로 어플라이드 머티리얼 사에서 지원 가능한 Centura® system의 Ultima HDP-CVD 챔버를 들 수 있다. HDP-CVD 챔버의 일예는 미국특허 제6,423,384호에 더욱 상세히 설명되어 있으며, 상기 미국특허는 본 명세서에 참조된다. 바람직하게, 탄화수소 가스는 약 10sccm-약 500sccm의 유속에서 제1 가스 노즐 세트를 통하여 챔버에 주입되고, 캐리어 가스는 약 5sccm-약 300sccm의 유속에서 제2 가스 노즐 세트를 통하여 챔버에 주입된다. 하나의 바람직한 실시예에서, 탄화수소 가스는 약 125sccm의 유속으로 챔버에 주입되고, 아르곤 가스는 약 27sccm의 유속으로 챔버에 주입된다. 탄화수소 가스 유속 대 캐리어 가스 유속 비율은 약 2:1 내지 약 5:1인 것이 바람직하다. 탄화수소 가스와 캐리어 가스는 각각 분리된 가스 노즐을 통하여 챔버에 주입되는 것이 바람직하나, 챔버에 주입되기 전에 탄화수소 가스와 캐리어 가스가 미리 혼합되는 것도 가능하다.
바람직하게는, 공정 동안의 챔버 압력은 약 10mTorr-약 100mTorr, 예컨대 약 20mTorr로 유지된다. 탄화수소 가스 및 캐리어 가스 모두를 포함하는 프로세싱 가스의 플라즈마를 발생시키고 유지시키기 위하여 챔버에 인가되는 소스 플라즈마 전원은, 약 2MHz 및 약 2000W 미만, 예컨대 200mm 기판에 대해 약 1000W 에서의 RF 전원인 것이 바람직하다. 인가되는 전원은 처리될 기판의 크기에 따라 조정된다. 바람직하게, 기판은 증착 공정 동안 약 300℃-약 430℃에서 유지되고, 기판의 뒷면은 정전기척 내부의 채널들에서의 헬륨가스에 의하여 냉각된다. 기판 바이어스 전압은 증착 공정 동안 비활성화되는 것이 바람직하다. 증착 공정 후, 기판은 진공상태에서 어닐링 챔버로 이송될 수 있고, 어닐링 챔버에서 진공 또는 비활성 가스 분위기에서의 약 300℃-약 430℃의 온도에서 약 30-약 90분간 선택적 어닐링 단계가 수행될 수 있다. 일 실시예에서, 증착된 기판은 약 30분간 질소 분위기에서 어닐링된다.
비결정형 탄소 층(104)이 기판(102)에 증착된 후, 도 2C에 도시된 바와 같이 비결정형 탄소 층(104)은 그 내부에 피쳐(108)가 포함되도록 패터닝된다. 도 2B에 도시된 바와 같이, 비결정형 탄소 층(104)은 비결정형 탄소 층(104)상에 포토레지스트(106)를 증착 및 패터닝함으로써 패터닝될 수 있다. 도 2C에 도시된 바와 같 이, 포토레지스트(106)에 패터닝된 피쳐(107)들은 비결정형 탄소 층(104)에 피쳐(108)를 생성하기 위하여 비결정형 탄소 층(104)에 전사되고, 포토레지스트(106)는 제거된다. 이후, 도 2D에 도시된 바와 같이, 포토레지스트(110)가 비결정형 탄소 층에 증착되고, 피쳐(112)를 포함하기 위하여 패턴화된다. 도 2E에 도시된 바와 같이, 포토레지스트(110)에 패터닝된 피쳐(112)는 비결정형 탄소 층(104)에 피쳐(114)를 생성하기 위하여 비결정형 탄소 층(104)에 전사되고, 포토레지스트(110)는 제거된다. 비결정형 탄소 층(104)은 산소, 수소 및 NF3, SF6, CF4와 같은 가스를 함유하는 플루오르 또는 그 결합물의 플라즈마를 가지는 층을 에칭함으로써 패턴화될 수 있다. 이러한 플라즈마는 더 나아가 HBr, N2, He, Ar 또는 그 결합물들을 선택적으로 포함할 수 있다. 도 2F에 도시된 바와 같이, 비결정형 탄소 층에서 패터닝된 피쳐(108, 114)는 그후 기판에 피쳐들(116)을 형성하기 위한 하드마스크로서 비결정형 탄소 층을 이용하여 기판을 통하여 전사된다. 기판은 기판의 조성물에 적합한 에천트에 노출됨으로써 패터닝될 수 있다. 비결정형 탄소 층은 기판이 에칭된 후에 오존, 산소, 암모니아, 수소 또는 이들의 조합물을 포함하는 플라즈마를 이용하여 기판으로부터 제거될 수 있다.
본 명세서에 개시된 임의의 실시예들에서 포토레지스트는 종래의 일반적인 기술에 따라 증착, 패턴화, 제거될 수 있다. 예를 들어, 약 2000Å-약 6000Å의 두께를 가지는 포토레지스트 층이 기판상에 증착될 수 있다. 450nm 미만의 파장을 가지는 UV 방사에 민감한 포토레지스트, 또는 248nm 또는 193nm 같은 파장을 가지는 방사선에 민감한 원자외선 레지스트가 사용될 수 있다. 이러한 포토레지스트는 패터닝된 마스크를 통해 적절한 파장의 방사에 포토레지스트를 노출시킴으로써 패터닝될 수 있다. 플라즈마 애싱 공정에 의하여 포토마스크가 제거될 수 있다.
다른 실시예에서, 비탄소 기반 유전층 등의 비탄소 기반 층은 앞서 캐핑(capping) 층으로서, 앞서 개시된 비결정형 탄소 층상에 캐핑 층으로서 증착된다. 여기서 정의된 바에 따라, 비탄소 기반 층은 약 50 원자(atom)% 보다 낮은 탄소를 포함한다. 사용 가능한 비탄소 기반 재료들의 예로는 비결정형 실리콘, 실리콘 질화물, 실리콘 옥시질화물, 실리콘 산화물, 도핑된 실리콘 산화물, 실리콘 옥시탄화물, 탄화물, 실리콘 탄화물, 티타늄 및 티타늄 질화물을 포함한다. 비탄소 기반 층은 포토레지스트의 제거와 같은 공정 단계들 동안 비결정형 탄소 층을 보호할 수 있다. 또한 비탄소 기반 층은 비반사 코팅으로서 작용할 수도 있다. 비탄소 기반 층은 비탄소 기반 층과 비결정형 탄소 층 하부의 기판 사이에 우수한 에치 선택비가 제공되도록 선택될 수 있다. 바람직하게, 비탄소 기반 층은 약 50Å-약 500Å 사이, 예컨대 적어도 약 200Å의 두께를 가질 수 있다.
도 3A-3F를 참고하여 비결정형 탄소 층상에서 캐핑 층으로서 비탄소 기반 층을 포함하는 일실시예에 대하여 설명한다. 도 2A-2F에 대하여 상술한 방법은 도 3A-3F 및 도 4A-4F을 참조하여 설명되는 실시예에서 대응하는 단계들을 수행하기 위하여 사용될 수 있다.
도 3A에 기재된 바와 같이, 비결정형 탄소 층(204)은 기판(202)상에 증착된다. 기판(202)은 하부층(200)의 위에 위치할 수 있다. 하부층(200)은 기판(202)을 에칭하는 동안에 기판(202)에 대한 에치 스탑(stop)일 수 있다. 비탄소 기반 층(205)은 비결정형 탄소 층(204)에 증착된다. 비탄소 기반 층(205)은 화학적기상증착, 물리적기상증착 및 SOG(spin on glass)와 같은 종래의 방법에 의해서 증착될 수 있다. 도 3B에 도시된 바와 같이, 비결정형 탄소 층(204) 및 비탄소 기반 층(205)은 비탄소 기반 층(205)상에 포토레지스트(206)를 증착하고, 패터닝함으로써 패터닝될 수 있다. 도 3C에 도시된 바와 같이, 포토레지스트(206)에 패터닝된 피쳐(207)는 비결정형 탄소 층(204) 및 비탄소 기반 층(205)에 피쳐(208)를 생성하기 위해 비결정형 탄소 층(204) 및 비탄소 기반 층(205)에 전사되고, 포토레지스트(206)는 제거된다. 도 3D에 도시된 바와 같이, 다음 포토레지스트(210)가 비결정형 탄소 층상에 증착되고, 피쳐(212)를 포함하도록 패터닝된다. 도 3E에 도시된 바와 같이, 포토레지스트(210)에 패터닝된 피쳐(212)는 비결정형 탄소 층(204) 및 비탄소 기반 층(205)에 피쳐(214)를 생성하기 위하여 비결정형 탄소 층(204) 및 비탄소 기반 층(205)에 전사되고, 포토레지스트(210)는 제거된다. 도 3F에 도시된 바와 같이, 비결정형 탄소 층에 패터닝된 피쳐(208, 214)는 그 후 기판에 피쳐(216)를 형성하기 위한 하드마스크로서 비결정형 탄소 층을 이용하여 기판을 통하여 전사된다. 비탄소 기반 층은 피쳐들이 기판을 통하여 전사되는 동안 또는 비결정형 탄소 층이 제거되는 동안 제거될 수 있다. 비결정형 탄소 층은 오존, 옥시젠, 암모니아, 수소 또는 이들의 조합물들을 포함하는 플라즈마를 이용하여 기판으로부터 제거될 수 있다.
도 3A-3F의 실시예에 비탄소 기반 층(205)이 도시되어 있으며, 이러한 비탄 소 기반 층(205)은 피쳐(208)가 비결정형 탄소 층(204)에 형성된 후에도 기판상에 존재하고 있는 경우에 대하여 설명하고 있으나, 다른 실시예들에서, 피쳐(208)가 비결정형 탄소 층에 형성된 후, 이러한 비탄소 기반 층(205)의 일부 또는 전부가 예컨대 포토레지스트(206)가 제거되는 동안 제거될 수 있다. 일 실시예에서, 제2 비탄소 기반 층이 기판상에 증착된다. 제2 비탄소 기반 층은 기판, 제1 비탄소 기반 층 또는 제1 비탄소 기반 층의 남아있는 부분상에 직접적으로 증착될 수 있다. 제2 비탄소 기반 층은 비결정형 실리콘, 실리콘 질화물, 실리콘 산화물, 도핑된 실리콘 산화물, 실리콘 옥시탄화물, 탄화물, 실리콘 탄화물, 티타늄 또는 티타늄 질화물을 포함할 수 있다. 제2 비탄소 기반 층은 제1 비탄소 기반 층과 동일하거나 혹은 다른 조성을 가질 수 있다.
도 4A-4D는 제1 비탄소 기반 층(205)의 모두가 공정 중에 제거되는 실시예를 도시하고 있다. 도 4A는 제1 비탄소 기반 층(205)이 제거되는 것을 제외하고 도 3C과 대응된다. 도 4B에 도시된 바와 같이, 제2 비탄소 기반 층(220)은 그 후 비결정형 탄소 층(204) 및 노출된 기판(202)상에 증착되고, 포토레지스트(222)는 제2 비탄소 기반 층(220)상에 증착된다. 도 4C에 도시된 바와 같이, 포토레지스트(222)는 피쳐(224)를 포함하도록 패터닝된다. 도 4에 도시된 바와 같이, 포토레지스트(222)에 패터닝된 피쳐(224)는 비결정형 탄소 층(204) 및 비탄소 기반 층(220)에 피쳐(208)를 생성하기 위하여 비결정형 탄소 층(204) 및 비탄소 기반 층(220)에 전사되고, 포토레지스트(222)는 제거된다. 도 4D는 실질적으로 도 3E와 대응된다. 도 3F에 도시된 바와 같이, 그 후 기판(202)이 에칭된다.
도 2A-2F 및 3A-3F에 개시된 실시예들에서, 두번 패턴화되고, 기판을 패터닝하기 위한 하드마스크로서 사용되는 되는 비결정형 탄소 층에 동일하거나 실질적으로 동일한 피쳐들이 형성된다. 바람직하게, 제1 마스크는 비결정형 탄소 층에 제1 패턴을 형성하는데 이용되고, 제2 마스크는 비결정형 탄소 층에 제2 패턴을 형성하는데 이용된다.
550Å의 비결정형 탄소 APF™ 층은 PRODUCER® 시스템의 실리콘 기판상에 증착된다. 250Å의 비탄소 기반 층, 실리콘 옥시질화물 유전체 비반사 코팅(DARC)은 PRODUCER® 시스템의 비결정형 탄소 APF™ 층상에 증착된다. 비결정형 탄소 APF™ 층 및 DARC 층은 248nm 전자복사에 대해 0.5% 미만의 반사율을 가진다. DARC 층은 2000Å의 TOK N850 네거티브 톤(negative-tone) 레지스트로 코팅된다. 레지스트는 Canon FPA-5000ES2 노광장치로, 0.68의 NA 및 0.3의 σ에서 노광된다. 레지스트는 노출된 후 110℃에서 90초동안 베이킹되고, 23℃에서 60초 동안 0.26 N 테트라메틸 암모니움 하이드록사이드(TMAH)로 현상된다. 어퍼쳐(aperture) 마스크를 대체하는 측벽(side-wall) 크롬이 노광을 위해 사용된다. 포토레지스트에 정의된 패턴은 어플라이드 머티리얼사에서 제공되는 DPS Ⅱ 챔버에서 비결정형 탄소 층을 통하여 전사된다. 또 다른 레지스트 층이 기판상에 증착되고, 상술한 바와 같이 패터닝된다. 포토레지스트에 패턴은 DPS Ⅱ 챔버에서 비결정형 탄소 층을 통하여 전사된다. 비결정형 탄소 층의 패턴들은 그 후 DPS Ⅱ 챔버에서 기판을 통하여 전사된다. 패터 닝된 기판은 75nm의 간격만큼 이격된 75nm 상호접속부(interconnects)를 가진다.
비록 본 발명의 몇몇 실시예들이 도시되고 설명되었지만, 본 발명이 속하는 기술분야의 통상의 지식을 가진 당업자라면 하기 청구항들에 의해 한정되는 본 발명의 원칙이나 정신에서 벗어나지 않으면서 본 실시예를 변형할 수 있음을 알 수 있을 것이다.

Claims (23)

  1. 기판 에칭방법으로서,
    상기 기판상에 비결정형 탄소 층을 증착하는 단계;
    상기 비결정형 탄소 층에 제1 패턴을 형성하는 단계;
    상기 비결정형 탄소 층상에 포토레지스트 층을 증착하는 단계;
    상기 포토레지스트를 패터닝하는 단계;
    상기 비결정형 탄소 층에 제2 패턴을 형성하기 위하여 상기 비결정형 탄소 층을 통하여 상기 포토레지스트에 상기 패턴을 전사하는 단계; 및
    상기 기판을 통하여 상기 비결정형 탄소 층에 상기 제1 및 제2 패턴들을 전사하는 단계
    를 포함하는 것을 특징으로 하는 기판 에칭방법.
  2. 제1항에 있어서,
    상기 비결정형 탄소 층은 일반식 CxHy를 가지는 하나 이상의 탄화수소를 포함하는 가스혼합물로부터 기상증착에 의하여 증착되며, 여기서 상기 x는 2-4의 범위이고 상기 y는 2-10의 범위인 것을 특징으로 하는 기판 에칭방법.
  3. 제1항에 있어서,
    상기 기판은 실리콘, 폴리실리콘, 산화물, 질화물, 텅스텐, 텅스텐 실리사이 드, 알루미늄, 실리콘 옥시탄화물 및 이들의 조합물들로 이루어진 그룹으로부터 선택되는 재료들을 포함하는 것을 특징으로 하는 기판 에칭방법.
  4. 제1항에 있어서,
    상기 비결정형 탄소 층을 증착하는 단계 전에, 비결정형 실리콘, 실리콘 질화물, 실리콘 옥시질화물, 실리콘 산화물, 도핑된 실리콘 산화물, 실리콘 옥시탄화물, 탄화물, 실리콘 탄화물, 티타늄 및 티타늄 질화물로 이루어진 그룹에서 선택된 비반사 코팅 층을 기판에 증착시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭방법.
  5. 제1항에 있어서,
    상기 비결정형 탄소 층은 약 1W/in2와 약 100W/in2 사이의 전력에서 증착되는 것을 특징으로 하는 기판 에칭방법.
  6. 제1항에 있어서,
    상기 비결정형 탄소 층의 제1 및 제2 패턴이 상기 기판을 통하여 전사된 후, 상기 비결정형 탄소 층을 상기 기판으로부터 제거하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭방법.
  7. 기판 에칭방법으로서,
    상기 기판상에 비결정형 탄소 층을 증착하는 단계;
    상기 비결정형 탄소 층상에 비탄소 기반 층을 증착하는 단계;
    상기 비탄소 기반 층 및 상기 비결정형 탄소 층에 제1 패턴을 형성하는 단계;
    상기 비결정형 탄소 층상에 포토레지스트 층을 증착하는 단계;
    상기 포토레지스트를 패터닝하는 단계;
    상기 비결정형 탄소 층에 제2 패턴을 형성하기 위하여 상기 비탄소 기반 층 및 상기 비결정형 탄소 층을 통하여 상기 포토레지스트에 상기 패턴을 전사하는 단계; 및
    상기 기판을 통하여 상기 제1 및 제2 패턴들을 상기 비결정형 탄소 층에 전사하는 단계
    를 포함하는 것을 특징으로 하는 기판 에칭방법.
  8. 제7항에 있어서,
    상기 비결정형 탄소 층은 일반식 CxHy를 가지는 하나 이상의 탄화수소를 포함하는 가스혼합물로부터 기상증착에 의하여 증착되며, 여기서 상기 x는 2-4의 범위이고 상기 y는 2-10의 범위인 것을 특징으로 하는 기판 에칭방법.
  9. 제7항에 있어서,
    상기 기판은 실리콘, 폴리실리콘, 산화물, 질화물, 텅스텐, 텅스텐 실리사이드, 알루미늄, 실리콘 옥시탄화물 및 이들의 조합물로 이루어진 그룹으로부터 선택되는 재료들을 포함하는 것을 특징으로 하는 기판 에칭방법.
  10. 제7항에 있어서,
    상기 비결정형 탄소 층을 증착하는 단계 전에, 비결정형 실리콘, 실리콘 질화물, 실리콘 옥시질화물, 실리콘 산화물, 도핑된 실리콘 산화물, 실리콘 옥시탄화물, 탄화물, 실리콘 탄화물, 티타늄 및 티타늄 질화물로 이루어진 그룹에서 선택된 비반사 코팅 층을 기판상에 증착시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭방법.
  11. 제7항에 있어서,
    상기 비결정형 탄소 층은 약 1W/in2와 약 100W/in2 사이의 전력에서 증착되는 것을 특징으로 하는 기판 에칭방법.
  12. 제7항에 있어서,
    상기 비탄소 기반 층은 비결정형 실리콘, 실리콘 질화물, 실리콘 옥시질화물, 실리콘 산화물, 도핑된 실리콘 산화물, 실리콘 옥시탄화물, 탄화물, 실리콘 탄화물, 티타늄 및 티타늄 질화물로 이루어진 그룹에서 선택되는 재료들을 포함하는 것을 특징으로 하는 기판 에칭방법.
  13. 제12항에 있어서,
    상기 비탄소 기반 층은 약 50Å-약 500Å의 두께인 것을 특징으로 하는 기판 에칭방법.
  14. 제7항에 있어서,
    상기 비결정형 탄소 층의 제1 및 제2 패턴이 상기 기판을 통하여 전사된 후, 상기 비결정형 탄소 층 및 상기 비탄소 기반 층을 상기 기판으로부터 제거하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭방법.
  15. 기판 에칭방법으로서,
    상기 기판상에 비결정형 탄소 층을 증착하는 단계;
    상기 비결정형 탄소 층상에 제1 비탄소 기반 층을 증착하는 단계;
    상기 비탄소 기반 층 및 상기 비결정형 탄소 층에 제1 패턴을 형성하는 단계;
    상기 비결정형 탄소 층상에 제2 비탄소 기반 층을 증착하는 단계;
    상기 제2 비탄소 기반 층상에 포토레지스트 층을 증착하는 단계;
    상기 포토레지스트를 패터닝하는 단계;
    상기 비결정형 탄소 층에 제2 패턴을 형성하기 위하여 상기 제2 비탄소 기반 층 및 상기 비결정형 탄소 층을 통하여 상기 포토레지스트에 상기 패턴을 전사하는 단계; 및
    상기 기판을 통하여 상기 제1 및 제2 패턴들을 상기 비결정형 탄소 층에 전사하는 단계를 포함하는 것을 특징으로 하는 기판 에칭방법.
  16. 제15항에 있어서,
    상기 제1 비탄소 기반 층은 비결정형 실리콘, 실리콘 질화물, 실리콘 옥시질화물, 실리콘 산화물, 도핑된 실리콘 산화물, 실리콘 옥시탄화물, 탄화물, 실리콘 탄화물, 티타늄 및 티타늄 질화물로 이루어진 그룹에서 선택되는 것을 특징으로 하는 기판 에칭방법.
  17. 제16항에 있어서,
    상기 제1 비탄소 기반 층은 약 50Å-약 500Å의 두께인 것을 특징으로 하는 기판 에칭방법.
  18. 제15항에 있어서,
    상기 제2 비탄소 기반 층은 비결정형 실리콘, 실리콘 질화물, 실리콘 옥시질화물, 실리콘 산화물, 도핑된 실리콘 산화물, 실리콘 옥시탄화물, 탄화물, 실리콘 탄화물, 티타늄 및 티타늄 질화물로 이루어진 그룹에서 선택되는 것을 특징으로 하는 기판 에칭방법.
  19. 제 15항에 있어서,
    상기 비결정형 탄소 층은 일반식 CxHy를 가지는 하나 이상의 탄화수소를 포함하는 가스혼합물로부터 기상증착에 의하여 증착되며, 여기서 상기 x는 2-4의 범위이고 상기 y는 2-10의 범위인 것을 특징으로 하는 기판 에칭방법.
  20. 제15항에 있어서,
    상기 기판은 실리콘, 폴리실리콘, 산화물, 질화물, 텅스텐, 텅스텐 실리사이드, 알루미늄, 실리콘 옥시탄화물 및 이들의 조합물들로 이루어진 그룹에서 선택되는 재료를 포함하는 것을 특징으로 하는 기판 에칭방법.
  21. 제15항에 있어서,
    상기 비결정형 탄소 층을 증착하는 단계 전에, 비결정형 실리콘, 실리콘 질화물, 실리콘 옥시질화물, 실리콘 산화물, 도핑된 실리콘 산화물, 실리콘 옥시탄화물, 탄화물, 실리콘 탄화물, 티타늄 및 티타늄 질화물로 이루어진 그룹에서 선택된 비반사 코팅 층을 기판에 증착시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭방법.
  22. 제15항에 있어서,
    상기 비결정형 탄소 층은 약 1W/in2와 약 100W/in2 사이의 전력에서 증착되는 것을 특징으로 하는 기판 에칭방법.
  23. 제15항에 있어서,
    상기 비결정형 탄소 층의 제1 및 제2 패턴들이 상기 기판을 통하여 전사된 후, 상기 비결정형 탄소 층 및 상기 제2 비탄소 기반 층을 상기 기판으로부터 제거하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭방법.
KR1020067017385A 2004-01-30 2005-01-07 다양한 에칭 및 리소 집적 설계를 위한 비정질 탄소(apf)의 사용 기술 KR101155141B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/768,724 2004-01-30
US10/768,724 US7064078B2 (en) 2004-01-30 2004-01-30 Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
PCT/US2005/000395 WO2005076337A1 (en) 2004-01-30 2005-01-07 Techniques for the use of amorphous carbon (apf) for various etch and litho integration scheme

Publications (2)

Publication Number Publication Date
KR20060129412A true KR20060129412A (ko) 2006-12-15
KR101155141B1 KR101155141B1 (ko) 2012-07-06

Family

ID=34807939

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067017385A KR101155141B1 (ko) 2004-01-30 2005-01-07 다양한 에칭 및 리소 집적 설계를 위한 비정질 탄소(apf)의 사용 기술

Country Status (5)

Country Link
US (2) US7064078B2 (ko)
KR (1) KR101155141B1 (ko)
CN (1) CN100524640C (ko)
TW (1) TWI428712B (ko)
WO (1) WO2005076337A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100822592B1 (ko) * 2007-03-23 2008-04-16 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100843239B1 (ko) * 2007-03-08 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100866735B1 (ko) * 2007-05-01 2008-11-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
KR20160105896A (ko) * 2014-01-08 2016-09-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
KR20220044081A (ko) * 2020-09-30 2022-04-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 실리콘-함유 층을 포함한 재료 패터닝 및 반도체 디바이스 제조 방법

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
KR100598038B1 (ko) * 2004-02-25 2006-07-07 삼성전자주식회사 다층 반사 방지막을 포함하는 고체 촬상 소자 및 그 다층반사 방지막의 제조 방법
US20050191584A1 (en) * 2004-02-27 2005-09-01 Kevin Shea Surface treatment of a dry-developed hard mask and surface treatment compositions used therefor
US7355384B2 (en) * 2004-04-08 2008-04-08 International Business Machines Corporation Apparatus, method, and computer program product for monitoring and controlling a microcomputer using a single existing pin
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100733421B1 (ko) * 2005-06-30 2007-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
KR100663375B1 (ko) * 2006-01-18 2007-01-02 삼성전자주식회사 금속질화막을 게이트전극으로 채택하는 반도체소자의제조방법
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7662721B2 (en) 2006-03-15 2010-02-16 Infineon Technologies Ag Hard mask layer stack and a method of patterning
US20070231748A1 (en) * 2006-03-29 2007-10-04 Swaminathan Sivakumar Patterning trenches in a photoresist layer with tight end-to-end separation
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
EP1850369A1 (en) 2006-04-28 2007-10-31 STMicroelectronics S.r.l. Manufacturing process of an organic mask for microelectronic industry
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
WO2008015212A1 (en) * 2006-08-02 2008-02-07 Koninklijke Philips Electronics N.V. Novel hard mask structure for patterning features in semiconductor devices
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7959818B2 (en) 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US7883835B2 (en) * 2006-09-22 2011-02-08 Tokyo Electron Limited Method for double patterning a thin film
US7858293B2 (en) * 2006-09-22 2010-12-28 Tokyo Electron Limited Method for double imaging a developable anti-reflective coating
US7811747B2 (en) * 2006-09-22 2010-10-12 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial developing
US7862985B2 (en) * 2006-09-22 2011-01-04 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US20080073321A1 (en) * 2006-09-22 2008-03-27 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial etching
KR100766239B1 (ko) * 2006-09-22 2007-10-10 주식회사 하이닉스반도체 반도체 소자의 금속 층간 절연막 형성 방법
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
KR100808056B1 (ko) * 2006-12-27 2008-02-28 주식회사 하이닉스반도체 하드마스크를 이용한 패턴 형성 방법
JP5154140B2 (ja) * 2006-12-28 2013-02-27 東京エレクトロン株式会社 半導体装置およびその製造方法
US7767386B2 (en) * 2007-01-15 2010-08-03 Tokyo Electron Limited Method of patterning an organic planarization layer
US7932017B2 (en) * 2007-01-15 2011-04-26 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
JP5106020B2 (ja) * 2007-02-08 2012-12-26 パナソニック株式会社 パターン形成方法
JP2008227465A (ja) * 2007-02-14 2008-09-25 Renesas Technology Corp 半導体装置の製造方法
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7943285B2 (en) * 2007-03-13 2011-05-17 Panasonic Corporation Pattern formation method
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
TWI455203B (zh) * 2007-05-03 2014-10-01 Lam Res Corp 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
CN101308330B (zh) * 2007-05-16 2010-12-15 上海华虹Nec电子有限公司 利用可显影填充材料的两次图形曝光方法
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7901869B2 (en) * 2007-06-01 2011-03-08 Applied Materials, Inc. Double patterning with a double layer cap on carbonaceous hardmask
US7846849B2 (en) * 2007-06-01 2010-12-07 Applied Materials, Inc. Frequency tripling using spacer mask having interposed regions
US20080303037A1 (en) * 2007-06-04 2008-12-11 Irving Lyn M Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
KR20100039847A (ko) * 2007-06-15 2010-04-16 어플라이드 머티어리얼스, 인코포레이티드 기판 갭내에 희생 산화물 라이너를 형성시키기 위한 산소 sacvd
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US7858514B2 (en) 2007-06-29 2010-12-28 Qimonda Ag Integrated circuit, intermediate structure and a method of fabricating a semiconductor structure
US20090023294A1 (en) * 2007-07-16 2009-01-22 Applied Materials, Inc. Method for etching using advanced patterning film in capacitive coupling high frequency plasma dielectric etch chamber
JP4476313B2 (ja) 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737049B2 (en) * 2007-07-31 2010-06-15 Qimonda Ag Method for forming a structure on a substrate and device
US20090053620A1 (en) * 2007-08-24 2009-02-26 Hynix Semiconductor Inc. Blank Mask and Method for Fabricating Photomask Using the Same
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
JP2009076661A (ja) * 2007-09-20 2009-04-09 Elpida Memory Inc 半導体装置の製造方法
US20090087993A1 (en) * 2007-09-28 2009-04-02 Steven Maxwell Methods and apparatus for cost-effectively increasing feature density using a mask shrinking process with double patterning
JP5671202B2 (ja) * 2007-10-26 2015-02-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フォトレジストテンプレートマスクを用いて頻度を倍にする方法
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
KR101344019B1 (ko) * 2007-11-01 2013-12-24 삼성전자주식회사 이온 주입 방법
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8158334B2 (en) * 2008-01-14 2012-04-17 International Business Machines Corporation Methods for forming a composite pattern including printed resolution assist features
EP2245512B1 (en) * 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
JP2009194207A (ja) * 2008-02-15 2009-08-27 Tokyo Electron Ltd パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
JP5254049B2 (ja) * 2008-02-15 2013-08-07 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
JP5086283B2 (ja) * 2008-02-15 2012-11-28 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
FR2927708A1 (fr) * 2008-02-19 2009-08-21 Commissariat Energie Atomique Procede de photolithographie ultraviolette a immersion
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
KR100919350B1 (ko) * 2008-04-24 2009-09-25 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
JP2009283674A (ja) * 2008-05-22 2009-12-03 Elpida Memory Inc 半導体装置の製造方法
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US20090311634A1 (en) * 2008-06-11 2009-12-17 Tokyo Electron Limited Method of double patterning using sacrificial structure
US8293460B2 (en) * 2008-06-16 2012-10-23 Applied Materials, Inc. Double exposure patterning with carbonaceous hardmask
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
JP2010109148A (ja) * 2008-10-30 2010-05-13 Toshiba Corp レジストパターンの形成方法
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US7972959B2 (en) * 2008-12-01 2011-07-05 Applied Materials, Inc. Self aligned double patterning flow with non-sacrificial features
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
TWI419201B (zh) * 2009-04-27 2013-12-11 Macronix Int Co Ltd 圖案化的方法
US8519540B2 (en) * 2009-06-16 2013-08-27 International Business Machines Corporation Self-aligned dual damascene BEOL structures with patternable low- K material and methods of forming same
US8659115B2 (en) * 2009-06-17 2014-02-25 International Business Machines Corporation Airgap-containing interconnect structure with improved patternable low-K material and method of fabricating
US8163658B2 (en) * 2009-08-24 2012-04-24 International Business Machines Corporation Multiple patterning using improved patternable low-k dielectric materials
US8202783B2 (en) * 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8637395B2 (en) 2009-11-16 2014-01-28 International Business Machines Corporation Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer
US8367540B2 (en) 2009-11-19 2013-02-05 International Business Machines Corporation Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
TWI409852B (zh) * 2009-12-31 2013-09-21 Inotera Memories Inc 利用自對準雙重圖案製作半導體元件微細結構的方法
US8642252B2 (en) 2010-03-10 2014-02-04 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8896120B2 (en) 2010-04-27 2014-11-25 International Business Machines Corporation Structures and methods for air gap integration
WO2011137059A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
US8241992B2 (en) 2010-05-10 2012-08-14 International Business Machines Corporation Method for air gap interconnect integration using photo-patternable low k material
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8373271B2 (en) 2010-05-27 2013-02-12 International Business Machines Corporation Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8592321B2 (en) 2011-06-08 2013-11-26 United Microelectronics Corp. Method for fabricating an aperture
US8641828B2 (en) 2011-07-13 2014-02-04 United Microelectronics Corp. Cleaning method of semiconductor manufacturing process
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8557649B2 (en) 2011-10-21 2013-10-15 International Business Machines Corporation Method for controlling structure height
US8962484B2 (en) * 2011-12-16 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming pattern for semiconductor device
SG193093A1 (en) * 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10130767B2 (en) 2012-08-30 2018-11-20 Medtronic Minimed, Inc. Sensor model supervisor for a closed-loop insulin infusion system
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) * 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9355820B2 (en) * 2013-09-12 2016-05-31 Applied Materials, Inc. Methods for removing carbon containing films
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
SG11201600440VA (en) * 2013-11-06 2016-02-26 Mattson Tech Inc Novel mask removal process strategy for vertical nand device
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371861A1 (en) * 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) * 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9928994B2 (en) 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102477091B1 (ko) 2015-07-24 2022-12-13 삼성전자주식회사 2차원 물질 하드마스크와 그 제조방법 및 하드 마스크를 이용한 물질층 패턴 형성방법
KR20170016107A (ko) 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
CN106960816B (zh) * 2016-01-08 2019-09-27 中芯国际集成电路制造(上海)有限公司 双重图形化的方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10128337B2 (en) * 2016-06-03 2018-11-13 Applied Materials, Inc. Methods for forming fin structures with desired profile for 3D structure semiconductor applications
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN110088882B (zh) 2016-12-14 2023-05-26 玛特森技术公司 与快速热活化工艺相结合的使用等离子体的原子层刻蚀工艺
CN113658868B (zh) 2016-12-15 2023-08-08 联华电子股份有限公司 半导体元件及其制作方法
WO2018119451A1 (en) 2016-12-23 2018-06-28 Board Of Regents, The University Of Texas System Heterogeneous integration of components onto compact devices using moire based metrology and vacuum based pick-and-place
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11195923B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Method of fabricating a semiconductor device having reduced contact resistance
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11562909B2 (en) 2020-05-22 2023-01-24 Applied Materials, Inc. Directional selective junction clean with field polymer protections
US20220102200A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including carbon-containing layer and method for semiconductor device fabrication
CN113078105B (zh) * 2021-03-29 2022-07-05 长鑫存储技术有限公司 掩膜结构的制备方法、半导体结构及其制备方法
US11776810B2 (en) 2021-08-31 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
EP0381109A3 (de) 1989-02-01 1990-12-12 Siemens Aktiengesellschaft Feuchtesperre für organische Dielektrika
EP0395425B1 (en) 1989-04-28 1996-10-16 Fujitsu Limited Mask, mask producing method and pattern forming method using mask
EP0411435B1 (en) 1989-07-31 1994-01-12 Matsushita Electric Industrial Co., Ltd. Apparatus for synthesizing diamondlike thin film
US5308741A (en) 1992-07-31 1994-05-03 Motorola, Inc. Lithographic method using double exposure techniques, mask position shifting and light phase shifting
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
TW302507B (ko) 1995-02-10 1997-04-11 Siemens Ag
JP3361918B2 (ja) 1995-07-26 2003-01-07 沖電気工業株式会社 半導体集積回路装置の微細ホールの形成方法
US5759746A (en) 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
JPH1126578A (ja) 1997-07-02 1999-01-29 Sony Corp 微細接続孔の形成方法
US6133618A (en) 1997-08-14 2000-10-17 Lucent Technologies Inc. Semiconductor device having an anti-reflective layer and a method of manufacture thereof
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
AU3534299A (en) 1998-04-22 1999-11-08 Nikon Corporation Exposure method and exposure system
US6184572B1 (en) 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
JP3123548B2 (ja) * 1998-06-30 2001-01-15 キヤノン株式会社 露光方法及び露光装置
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
JP3531666B2 (ja) * 1999-03-19 2004-05-31 シャープ株式会社 位相シフトマスク及びその製造方法
KR100307629B1 (ko) 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
KR100307631B1 (ko) 1999-06-01 2001-09-29 윤종용 반도체소자의 미세패턴 형성방법
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
KR100304708B1 (ko) 1999-07-14 2001-11-01 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
US6238850B1 (en) 1999-08-23 2001-05-29 International Business Machines Corp. Method of forming sharp corners in a photoresist layer
US6265319B1 (en) * 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
US20020086547A1 (en) 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6511791B1 (en) 2000-04-28 2003-01-28 International Business Machines Corporation Multiple exposure process for formation of dense rectangular arrays
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
KR100669862B1 (ko) 2000-11-13 2007-01-17 삼성전자주식회사 반도체 장치의 미세패턴 형성방법
DE10100822C2 (de) 2001-01-10 2003-04-10 Infineon Technologies Ag Plasmaätzverfahren für MoSi(ON)-Schichten
EP1364257A1 (en) 2001-02-27 2003-11-26 ASML US, Inc. Simultaneous imaging of two reticles
US6548347B2 (en) 2001-04-12 2003-04-15 Micron Technology, Inc. Method of forming minimally spaced word lines
JP2002351046A (ja) * 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US6818141B1 (en) * 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6653735B1 (en) 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20040038537A1 (en) 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US6787452B2 (en) * 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US20040166691A1 (en) 2003-02-26 2004-08-26 Chun-Feng Nieh Method of etching a metal line
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
KR100641952B1 (ko) * 2004-02-06 2006-11-02 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100843239B1 (ko) * 2007-03-08 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100822592B1 (ko) * 2007-03-23 2008-04-16 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US7563712B2 (en) 2007-03-23 2009-07-21 Hynix Semiconductor Inc. Method of forming micro pattern in semiconductor device
US7943498B2 (en) 2007-03-23 2011-05-17 Hynix Semiconductor Inc. Method of forming micro pattern in semiconductor device
KR100866735B1 (ko) * 2007-05-01 2008-11-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
KR20160105896A (ko) * 2014-01-08 2016-09-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
KR20220044081A (ko) * 2020-09-30 2022-04-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 실리콘-함유 층을 포함한 재료 패터닝 및 반도체 디바이스 제조 방법

Also Published As

Publication number Publication date
WO2005076337A1 (en) 2005-08-18
CN100524640C (zh) 2009-08-05
KR101155141B1 (ko) 2012-07-06
TWI428712B (zh) 2014-03-01
CN1914715A (zh) 2007-02-14
US20060231524A1 (en) 2006-10-19
US7064078B2 (en) 2006-06-20
US20050167394A1 (en) 2005-08-04
TW200527165A (en) 2005-08-16
US7718081B2 (en) 2010-05-18

Similar Documents

Publication Publication Date Title
KR101155141B1 (ko) 다양한 에칭 및 리소 집적 설계를 위한 비정질 탄소(apf)의 사용 기술
CN108475640B (zh) 用于侧向硬模凹槽减小的混合碳硬模
KR102398458B1 (ko) 3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소
US8465903B2 (en) Radiation patternable CVD film
US10014174B2 (en) Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
KR101003475B1 (ko) 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리
KR20060127250A (ko) 금속 에칭 하드마스크 분야용 비정질 탄소막 증착 방법
US11605539B2 (en) Defect correction on metal resists
JP2009141329A (ja) 液浸リソグラフィーにおけるパターン崩壊を防止するためのプラズマ表面処理
US7052988B1 (en) Applications and methods of making nitrogen-free anti-reflective layers for semiconductor processing
JP2005045053A (ja) 半導体装置の製造方法
US7022622B2 (en) Method and structure to improve properties of tunable antireflective coatings
US20070231746A1 (en) Treating carbon containing layers in patterning stacks
WO2001009683A1 (en) Reduction of resist poisoning

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5