KR102374206B1 - 반도체 장치 제조 방법 - Google Patents

반도체 장치 제조 방법 Download PDF

Info

Publication number
KR102374206B1
KR102374206B1 KR1020170166064A KR20170166064A KR102374206B1 KR 102374206 B1 KR102374206 B1 KR 102374206B1 KR 1020170166064 A KR1020170166064 A KR 1020170166064A KR 20170166064 A KR20170166064 A KR 20170166064A KR 102374206 B1 KR102374206 B1 KR 102374206B1
Authority
KR
South Korea
Prior art keywords
hard mask
layer
pattern
photoresist
film
Prior art date
Application number
KR1020170166064A
Other languages
English (en)
Other versions
KR20190066389A (ko
Inventor
고영민
권혁우
이준원
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170166064A priority Critical patent/KR102374206B1/ko
Priority to US16/030,212 priority patent/US10586709B2/en
Priority to CN201811285152.5A priority patent/CN109872967A/zh
Publication of KR20190066389A publication Critical patent/KR20190066389A/ko
Application granted granted Critical
Publication of KR102374206B1 publication Critical patent/KR102374206B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

반도체 장치 제조 방법이 제공된다. 반도체 장치 제조 방법은, 대상막 상에 제1 하드 마스크막, 제2 하드 마스크막 및 포토 레지스트막을 차례로 형성하고, 상기 포토 레지스트막을 패터닝하여 포토 레지스트 패턴을 형성하고, 상기 포토 레지스트 패턴을 식각 마스크로 상기 제2 하드 마스크막 및 상기 제1 하드 마스크막을 순차적으로 패터닝하여 제1 하드 마스크 패턴 및 상기 제1 하드 마스크 패턴 상의 제2 하드 마스크 패턴을 형성하고, 상기 제1 하드 마스크 패턴 및 제2 하드 마스크 패턴을 식각 마스크로 상기 대상막을 식각하는 것을 포함하되, 상기 제2 하드 마스크막은 불순물 도핑된 비정질 실리콘(amorphous silicon)을 포함한다.

Description

반도체 장치 제조 방법{METHOD OF FABRICATING SEMICONDUCTOR DEVICE}
본 발명은 반도체 장치 제조 방법에 관한 것이다.
최근의 반도체 소자는 저전압에서 고속 동작을 할 수 있는 방향으로 발전하고 있으며, 반도체 소자의 제조 공정은 집적도가 향상되는 방향으로 발전되고 있다. 따라서, 고도로 스케일링된 고집적 반도체 소자의 패턴들은 미세한 폭을 가지고 미세한 피치로 이격될 수 있다.
반도체 소자를 미세한 폭을 갖도록 형성하기 위하여 EUV(Extreme Ultraviolet) 리소그래피 기술이 도입되고 있다. EUV 리소그래피 기술을 이용한 반도체 소자의 식각에서 포토 레지스트의 두께는 생산성 향상을 위한 중요한 요소 중 하나이다. 패턴 형성을 위하여 필요한 포토 레지스트의 두께 감소를 위하여 다양한 기술들이 제안되고 있다.
본 발명이 해결하고자 하는 기술적 과제는, 패턴 형성을 위하여 필요한 포토 레지스트의 두께를 감소시킬 수 있는 반도체 장치의 제조 방법을 제공하는 것이다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법은, 대상막 상에 제1 하드 마스크막, 제2 하드 마스크막 및 포토 레지스트막을 차례로 형성하고, 상기 포토 레지스트막을 패터닝하여 포토 레지스트 패턴을 형성하고, 상기 포토 레지스트 패턴을 식각 마스크로 상기 제2 하드 마스크막 및 상기 제1 하드 마스크막을 순차적으로 패터닝하여 제1 하드 마스크 패턴 및 상기 제1 하드 마스크 패턴 상의 제2 하드 마스크 패턴을 형성하고, 상기 제1 하드 마스크 패턴 및 제2 하드 마스크 패턴을 식각 마스크로 상기 대상막을 식각하는 것을 포함하되, 상기 제2 하드 마스크막은 불순물 도핑된 비정질 실리콘(amorphous silicon)을 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법은, 기판 상에 활성 영역을 정의하는 소자 분리막을 형성하고, 상기 활성 영역 내에 매립된 게이트를 형성하고, 상기 기판 상에 상기 활성 영역과 접촉하는 금속막을 형성하고, 상기 금속막 상에 제1 하드 마스크막과, 상기 제1 하드 마스크막 상의 제2 하드 마스크막 및 상기 제2 하드 마스크막 상의 포토 레지스트막을 형성하고, 상기 포토 레지스트막을 패터닝하여 포토 레지스트 패턴을 형성하고, 상기 포토 레지스트 패턴을 식각 마스크로 상기 제2 하드 마스크막을 패터닝하여 상기 제2 하드 마스크 패턴을 형성하고, 상기 제2 하드 마스크 패턴을 식각 마스크로 이용하여 상기 제1 하드 마스크을 패터닝하여 제2 하드 마스크 패턴을 형성하고, 상기 제1 하드 마스크 패턴 및 상기 제2 하드 마스크 패턴을 식각 마스크로 이용하여 상기 금속막을 식각하여 금속막 패턴을 형성하는 것을 포함하되, 상기 제1 하드 마스크막은 불순물 도핑된 비정질 실리콘을 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법은, 대상막 상에 제1 하드 마스크막, 제2 하드 마스크막 및 포토 레지스트막을 차례로 형성하되, 상기 제1 하드 마스크막은 비정질 카본막(Amorphous Carbon Layer)을 포함하고, 제2 하드 마스크막은 불순물 도핑된 비정질 실리콘(Amorphous Silicon)을 포함하고, 상기 포토 레지스트막을 패터닝하여 포토 레지스트 패턴을 형성하고, 상기 포토 레지스트 패턴을 식각 마스크로 상기 제2 하드 마스크막을 식각하여 제2 하드 마스크 패턴을 형성하고, 상기 제2 하드 마스크 패턴을 식각 마스크로 상기 제1 하드 마스크막을 식각하여 제1 하드 마스크 패턴을 형성하는 것을 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법은, 기판 상에 활성 영역을 정의하는 소자 분리막을 형성하고, 상기 활성 영역 내에 매립된 게이트를 형성하고, 상기 기판 상에 층간 절연막과, 상기 층간 절연막 내의 비트 라인 구조물 및 랜딩 패드를 형성하되 상기 랜딩 패드는 상기 활성 영역과 전기적으로 연결되고, 상기 층간 절연막 상에 절연막을 형성하고, 상기 절연막 상에 제1 하드 마스크막과, 상기 제1 하드 마스크막 상의 제2 하드 마스크막 및 상기 제2 하드 마스크막 상의 포토 레지스트막을 형성하고, 상기 포토 레지스트막을 패터닝하여 포토 레지스트 패턴을 형성하고, 상기 포토 레지스트 패턴을 식각 마스크로 상기 제2 하드 마스크막을 패터닝하여 제2 하드 마스크 패턴을 형성하고, 상기 제2 하드 마스크 패턴을 식각 마스크로 이용하여 상기 제1 하드 마스크을 패터닝하여 제1 하드 마스크 패턴을 형성하고, 상기 제1 하드 마스크 패턴 및 상기 제2 하드 마스크 패턴을 식각 마스크로 이용하여 상기 절연막을 식각하여 트렌치를 형성하고, 상기 트렌치를 통해 상기 랜딩 패드와 전기적으로 연결되는 커패시터를 형성하는 것을 포함하되, 상기 제1 하드 마스크막은 불순물 도핑된 비정질 실리콘을 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1 내지 도 5는 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 6 내지 도 12는 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 13 내지 도 21은 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 22 내지 도 27은 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 28은 하드 마스크막에 도핑된 인(P)의 농도에 따른 식각 속도의 변화를 나타내는 그래프이다.
도 1은 내지 도 5는 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 1을 참조하면, 대상막(100) 상에 제1 하드 마스크막(200), 제2 하드 마스크막(210), 하부막(220) 및 포토 레지스트막(230)을 차례로 형성한다.
대상막(100)은 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법에 의해 형성되는 대상을 포함할 수 있다. 몇몇 실시예에서, 상기 대상은 메탈막, 산화막, 질화막 등을 포함할 수 있으나 이에 제한되지 않는다. 대상막(100)에 관한 자세한 설명은 후술한다.
제1 하드 마스크막(200)은 대상막(100) 상에 형성될 수 있다. 제1 하드 마스크막(200)은 예를 들어, 비정질 카본막(Amorphous Carbon Layer; ACL)일 수 있다. 다만 본 발명이 이에 제한되는 것은 아니며, 제1 하드 마스크막(200)은 실리콘 산화막(SiOx), 실리콘 산질화막(SiON), 실리콘 질화물(SixNy), TEOS(TetraEthylOthoSilicate) 또는 다결정 실리콘 등과 같은 실리콘 함유 물질일 수도 있다.
제1 하드 마스크막(200)은 이후 패터닝되어 대상막(100)의 식각 과정에서 식각 마스크로 기능할 수 있다.
대상막(100) 상에 제1 하드 마스크막(200)을 형성하는 것은 예를 들어 원자층 증착법(Atomic Layer Deposition, ALD), 화학 기상 증착법(Chemical Vapor Deposition, CVD) 또는 스핀 코팅 (spin coating) 등의 공정에 의해 형성하는 것을 포함할 수 있다. 경우에 따라 상기 공정에 베이크(bake) 공정이나 경화 공정이 추가될 수도 있다.
본 발명의 몇몇 실시예에서, 대상막(100)과 제1 하드 마스크막(200) 사이에 식각 정지막(etch stopping layer)이 개재될 수 있으나 이에 제한되는 것은 아니다.
제1 하드 마스크막(200) 상에 제2 하드 마스크막(210)이 형성될 수 있다. 제2 하드 마스크막(210)을 이루는 물질은 제1 하드 마스크막(200)을 이루는 물질과 다를 수 있다. 제2 하드 마스크막(210)은 제1 하드 마스크막(200)과 식각 선택비를 갖는 물질을 포함할 수 있으며, 예를 들어 제2 하드 마스크막(210)은 불순물 도핑된 비정질 실리콘(impurity doped amorphous silicon)을 포함할 수 있다.
상기 불순물은 예를 들어, 5가 원소, 즉 인(P), 비소(As) 등을 포함할 수 있다.
제2 하드 마스크막(210)을 형성하는 것은, 제1 하드 마스크막(200) 상에 비정질 실리콘막을 형성하고, 불순물을 이온 주입 공정(ion implantation process)을 통해 비정질 실리콘막에 도핑하고, 불순물 도핑된 비정질 실리콘막을 어닐링하는 것을 포함할 수 있다.
제2 하드 마스크막(210)은 제1 하드 마스크막(200)을 형성하기 위한 식각 마스크로 기능할 수 있다.
본 발명의 몇몇 실시예에서, 제1 하드 마스크막(200)의 두께(H1)는 제2 하드 마스크막(210)의 두께(H2)보다 클 수 있다.
제2 하드 마스크막(210) 상에 하부막(220)이 형성될 수 있다. 하부막(220)은 제2 하드 마스크막(210)과 포토 레지스트막(230) 사이에 개재되어, 포토 레지스트막(230)이 제2 하드 마스크막(210) 상에 고정되도록 할 수 있다. 하부막(220)은 예를 들어 탄소계 물질을 포함할 있으나 이에 제한되는 것은 아니다.
하부막(220) 상에 포토 레지스트막(230)이 형성될 수 있다. 포토 레지스트막(230)은 예를 들어 스핀 코팅 공정, 딥 코팅 공정, 스프레이 코팅 공정 등과 같은 막 도포 공정을 통해 형성될 수 있다. 본 발명의 몇몇 실시예에서, 상기 막 도포 공정을 통해 형성된 예비 포토레지스트막을 베이크 공정 또는 경화 공정을 통해 포토 레지스트막(230)이 형성될 수 있다.
포토 레지스트막(230)은 상기 하부의 하부막(220), 제2 하드 마스크막(210) 및 제1 하드 마스크막(200)을 식각하기 위한 식각 마스크로 기능할 수 있다.
포토 레지스트막(230)은 제2 하드 마스크막(210)과 다른 물질을 포함할 수 있다. 포토 레지스트막(230)은 제2 하드 마스크막(210)과 식각 선택비를 갖는 물질을 포함할 수 있다.
도 2를 참조하면, 포토 레지스트막(230) 상에 광(L1)을 조사함으로써 노광 공정을 수행한다. 상기 노광 공정은 포토 레지스트막(230) 상에 노광 마스크(500)를 배치하고, 노광 마스크(500)에 포함된 개구를 통해 광을 조사하여 진행될 수 있다. 몇몇 실시예에서, 노광 공정에 수행되는 광(L1)은 EUV(Extreme Ultraviolet) 광을 포함할 수 있으나 본 발명이 이에 제한되는 것은 아니며, 예를 들어 ArF, KrF, 전자빔 등의 광원으로부터의 광을 포함할 수 있다.
광(L1)에 의해 노광 공정을 수행함으로써, 포토 레지스트막(230)은 노광부(231)와 비노광부(235)로 구분될 수 있다.
이어서 도 3을 참조하면, 현상 공정을 통해 포토 레지스트막(230)에서 노광부(231)를 선택적으로 제거할 수 있다. 현상 공정이 수행되고 제2 하드 마스크막(210) 상에 제거되지 않고 잔류하는 비노광부는 포토 레지스트 패턴(235)으로 정의될 수 있다.
몇몇 실시예에서, 상기 현상 공정은 예를 들어 알코올, TMAH(Tetramethyl-Ammonium-Hydroxide)와 같은 수용액일 수 있으나 이에 제한되는 것은 아니다.
도 4를 참조하면, 포토 레지스트 패턴(235)을 식각 마스크로 하여 하부막(220)과 제2 하드 마스크막(210)을 식각한다.
포토 레지스트 패턴(235)을 식각 마스크로 하여 하부막(220)을 먼저 식각하고, 이어서 제2 하드 마스크막(210)이 순차적으로 식각될 수 있으나 이에 제한되지 않는다. 하부막(220)과 제2 하드 마스크막(210)은 동일한 공정 내에서 식각될 수 있다.
하부막(220)과 제2 하드 마스크막(210)을 식각하는 것은 예를 들어, CF4 등의 식각 가스(240)를 이용한 건식 식각 공정일 수 있다.
포토 레지스트 패턴(235)은 제2 하드 마스크막(210)에 대하여 식각 선택비를 가질 수 있다. 상술한 것과 같이 제2 하드 마스크막(210)은 불순물 도핑된 비정질 실리콘막일 수 있다. 일반적인 제2 하드 마스크막(210)이 불순물 도핑된 비정질 실리콘막을 포함하는 경우, 도핑되지 않은 비정질 실리콘막을 이용하는 경우보다 동일한 시간 내의 제2 하드 마스크막(210)의 식각량은 증가할 수 있다. 즉, 제2 하드 마스크막(210)에 불순물이 도핑되는 경우, 포토 레지스트 패턴(235)의 식각 선택비는 증가할 수 있다.
특히, 상술한 것과 같이 비정질 실리콘 상태의 제2 하드 마스크막(210)에 인(P), 비소(As)와 같은 5가 원소들이 도핑된 경우, 제2 하드 마스크막(210)의 식각 속도가 증가할 수 있다.
도 28에서, 제2 하드 마스크막(210)에 5가 원소 중 인(P)이 도핑된 때, 제2 하드 마스크막(210)에 도핑된 인(P)의 농도가 증가함에 따라, 제2 하드 마스크막(210)의 식각 속도가 증가할 수 있다.
포토 레지스트 패턴(235) 하부의 하부막(220), 제2 하드 마스크막(210), 제1 하드 마스크막(200)의 식각 과정에서 포토 레지스트 패턴(235)은 식각 마스크로 사용된다. 포토 레지스트 패턴(235)은 상기 식각에 의해 데미지(damage)를 입어 두께가 점점 감소된다. 제1 하드 마스크막(200)을 패터닝할 때까지 포토 레지스트 패턴(235)이 남아있게 하기 위해서는 최초 포토 레지스트막(230)이 충분한 두께 마진을 가지도록 형성될 필요가 있다.
그러나, 포토 레지스트막(230)의 두께 증가는, 상기 도 2를 통해 설명한 노광 공정의 소요 시간을 증가시킬 수 있다. 즉, 포토 레지스트막(230)의 두께가 증가할수록 포토 레지스트막(230) 충분한 광(L1)을 흡수하여 노광이 완료될 때까지 걸리는 시간이 증가할 수 있다. 이러한 노광 시간의 증가는 UPEH(Unit Per Equipment Hour) 증가로 이어져 반도체 장치의 생산량을 감소시킬 수 있다.
본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법은, 제2 하드 마스크막(210)이 불순물 도핑된 비정질 실리콘을 포함할 수 있다. 이 경우 제2 하드 마스크막(210)이 불순물이 도핑되지 않은 비정질 실리콘에 비해 포토 레지스트 패턴(235)의 식각 선택비가 증가하며, 제1 하드 마스크막(200)의 식각 완료에 필요한 포토 레지스트막(230)의 두께도 감소할 수 있다.
하부막(220)과 제2 하드 마스크막(210)의 식각이 완료되어 하부막 패턴(225)과 제2 하드 마스크 패턴(215)이 형성될 수 있다. 하부막 패턴(225)과 제2 하드 마스크 패턴(215)의 형성이 완료된 이후에도, 포토 레지스트 패턴(235)은 완전히 식각되지 않고 남아있을 수 있다.
이어서 도 5를 참조하면, 포토 레지스트 패턴(235), 하부막 패턴(225) 및 제2 하드 마스크 패턴(215)을 식각 마스크로 하여 제1 하드 마스크막(200)을 식각한다.
제1 하드 마스크막(200)을 식각하는 것은 예를 들어 산소(O2) 가스와 같은 식각 가스(250)를 이용한 건식 식각 공정일 수 있다.
제1 하드 마스크막(200)이 식각되어 대상막(100) 상에는 제1 하드 마스크 패턴(205)이 형성될 수 있다. 제1 하드 마스크 패턴(205)의 형성이 완료되면 제1 하드 마스크 패턴(205) 상에는 포토 레지스트 패턴(235), 하부막 패턴(225) 및 제2 하드 마스크 패턴(215)이 완전히 제거될 수 있으나 이에 제한되는 것은 아니다. 경우에 따라 제1 하드 마스크 패턴(205) 상에는 상기 패턴들이 완전히 제거되지 않고 남아있을 수 있다.
제1 하드 마스크 패턴(205)은 이후 진행될 대상막(100)의 패터닝 과정에서 식각 마스크로 기능할 수 있다.
도 6 내지 도 12는 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명하기 위한 중간 단계 도면들이다. 이후에서, 앞서 설명한 실시예에서 설명한 구성 요소의 도면 부호와 유사한 도면 부호를 갖는 구성 요소는 유사한 구성 요소를 나타내는 것일 수 있다.
도 6을 참조하면, 기판(300), 매립된 게이트(320), 비트 라인 컨택 플러그막(330), 비트 라인 전극막(340)이 형성된 식각 대상물을 제공한다.
구체적으로, 식각 대상물을 제공하는 것은, 기판(300) 상에 소자 분리막(310)을 형성하여 활성 영역(ACT)을 정의하고, 활성 영역(ACT) 내에 매립된 게이트(320)를 형성하고, 소자 분리막(310), 매립된 게이트(320) 상에 비트 라인 컨택 플러그막(330)과 비트 라인 전극막(340)을 형성하는 것을 포함한다.
기판(300)은 예를 들어, 벌크 실리콘 또는 SOI(silicon-on-insulator)일 수 있다. 이와는 달리, 기판(300)은 실리콘 기판일 수도 있고, 또는 다른 물질, 예를 들어, 실리콘 게르마늄, 안티몬화 인듐, 납 텔루르 화합물, 인듐 비소, 인듐 인화물, 갈륨 비소 또는 안티몬화 갈륨을 포함할 수 있다. 또는, 기판(300)은 베이스 기판 상에 에피층이 형성된 것일 수도 있다. 이하에서 기판(300)은 실리콘 기판인 것으로 설명한다.
소자 분리막(310)은 기판(300) 내에 형성되어, 활성 영역(ACT)을 정의할 수 있다. 즉, 소자 분리막(310)의 하면은 기판(300)의 상면보다 낮게 형성되어, 활성 영역(ACT)이 정의될 수 있다. 소자 분리막(310)은 예를 들어, 산화막, 산질화막 또는 질화막 중 어느 하나를 포함할 수 있다.
매립된 게이트를 형성하는 것은, 활성 영역(ACT) 내에 트렌치를 형성하고, 트렌치 내 게이트 절연막(322), 게이트 전극(323) 및 게이트 캡핑 패턴(321)을 형성하는 것을 포함할 수 있다.
게이트 절연막(322)은 예를 들어, 산화막을 포함할 수 있으나 본 발명이 이에 제한되는 것은 아니며, 예를 들어 하프늄 산화물(hafnium oxide), 하프늄 실리콘 산화물(hafnium silicon oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide) 등의 고유전율 유전막을 포함할 수도 있다. 게이트 절연막(322)은 게이트 전극(323)과 게이트 캡핑 패턴(321)을 감싸는 구조로 형성될 수 있다.
게이트 절연막(322)은, 활성 영역(ACT)의 일부를 식각하여 게이트 트렌치를 형성하고, 게이트 트렌치에 의해 노출된 활성 영역(ACT)의 표면에 대해 열산화 공정을 수행하거나, 활성 영역(ACT)의 표면 상에 예를 들어 CVD 공정을 통해 실리콘 산화물 또는 금속 산화물을 형성하는 것에 의해 형성될 수 있다.
게이트 전극(323)은 예를 들어, 도전성 물질을 포함할 수 있다. 이러한 도전성 물질의 예로는 도핑된 폴리 실리콘, 질화 티타늄(TiN), 질화 탄탈륨(TaN), 질화 텅스텐(WN), 티타늄(Ti), 탄탈륨(Ta) 및 텅스텐(W) 등을 들 수 있으나, 본 발명이 이에 제한되는 것은 아니다.
게이트 전극(323)은 게이트 트렌치 내의 게이트 절연막(322) 상에 예를 들어 ALD 공정, 스퍼터링 공정 등을 통해 도전 물질을 채워 게이트 전극막을 형성하고, CMP(Chemical Mechanical Polishing) 공정을 통해 액티브 영역(ACT)의 상면이 노출되도록 게이트 전극막을 평탄화하고, 에치백(etch back) 공정을 통해 게이트 트렌치 내부의 내부에 형성된 게이트 전극막을 일부 제거함으로써 형성될 수 잇다.
게이트 캡핑 패턴(321)은 예를 들어, 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물 중 하나를 포함할 수 있다. 게이트 캡핑 패턴(321)은 게이트 절연막(322), 게이트 전극(323)에 의하여 채워진 게이트 트렌치의 나머지를 채울 수 있다.
게이트 캡핑 패턴(321)은 게이트 트렌치의 게이트 절연막(322) 및 게이트 전극(323) 상에 예를 들어 실리콘 질화물 등을 이용하여 캡핑막을 형성하고, 액티브 영역(ACT)의 표면이 노출되도록 캡핑막을 평탄화하여 형성될 수 있다.
비트 라인 컨택 플러그막(330)을 형성하는 것은 예를 들어, 기판(300)의 활성 영역(ACT)으로부터 에피택셜 성장 공정을 이용하여 단결정 실리콘을 형성하는 것을 포함할 수 있다. 이와는 달리, 비트 라인 컨택 플러그막(330)은 액티브 영역(20) 상에 도핑된 반도체 물질, 도전성 금속 질화물, 금속 및 금속-반도체 화합물 스퍼터링 공정, PVD 공정 또는 ALD 공정 등을 통해 형성될 수 있다.
비트 라인 전극막(340)은 예를 들어 비트 라인 컨택 플러그막(330) 상에 텅스텐과 같은 도전성 물질을 포함하도록 형성될 수 있다. 도시되지는 않았지만 비트 라인 전극막(340)과 비트 라인 컨택 플러그막(330) 사이에는 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물을 포함하는 배리어막이 추가로 형성될 수 있다.
도 7을 참조하면, 비트 라인 전극막(340) 상에 제1 하드 마스크막(1200), 제2 하드 마스크막(1210), 하부막(1220) 및 포토 레지스트막(1230)을 차례로 형성한다.
제1 하드 마스크막(1200)은 비트 라인 컨택 플러그막(330) 상에 예를 들어, 비정질 카본막(ACL)을 ALD, CVD 또는 스핀 코팅 등의 공정을 수행하는 것에 의해 형성될 수 있다. 즉, 제1 하드 마스크막(1200)은 도 1을 이용하여 설명한 제1 하드 마스크막(200)과 유사하도록 형성될 수 있다.
제2 하드 마스크막(1210)은 제1 하드 마스크막(1200) 상에 형성될 수 있다. 제2 하드 마스크막(1210)을 형성하는 것은 상술한 도 1을 이용하여 설명한 제1 하드 마스크막(210)과 유사하도록, 제1 하드 마스크막(1200) 상에 비정질 실리콘막을 형성하고, 이에 인(P), 비소(As) 등과 같은 5가 원소를 불순물로서 도핑하여 도핑된 비정질 실리콘막을 형성하는 것을 포함할 수 있다.
제2 하드 마스크막(1210) 상에 하부막(1220)과 포토 레지스트막(1230)을 형성할 수 있다. 하부막(1220)과 포토 레지스트막(1230)은 각각 앞서 도 1의 하부막(220)과 포토 레지스트막(230)과 유사한 공정을 통해 형성될 수 있다.
이어서 도 8을 참조하면, 포토 레지스트막(1230) 상에 광(L1)을 조사함으로써 노광 공정을 수행한다. 노광 공정은 포토 레지스트막(1230) 상에 노광 마스크(500)를 배치하고, 노광 마스크(500)에 포함된 개구를 통해 광을 조사하여 진행될 수 있다. 도 8에 도시된 것과 같이, 노광 공정을 통해 포토 레지스트막(1230)은 광(L1)에 의해 노출된 노광부(1231)와 비노광부(1235)로 구분될 수 있다.
이어서 도 9를 참조하면, 현상 공정을 통해 포토 레지스트막(1230)에서 노광부(1231)가 선택적으로 제거된다. 제거되지 않고 남은 포토 레지스트막(1230)의 일부는 포토 레지스트 패턴(1235)으로 하부막(1220) 상에 형성될 수 있다.
도 10을 참조하면, 포토 레지스트 패턴(1235)을 식각 마스크로 하여 하부막(1220)과 제2 하드 마스크막(1210)을 패터닝한다.
상술한 것과 같이, 포토 레지스트 패턴(1235)은 제2 하드 마스크막(1210)에 대하여 식각 선택비를 가질 수 있다. 또한, 제2 하드 마스크막(1210)이 불순물 도핑된 비정질 실리콘막을 포함함에 따라, 도핑되지 않은 비정질 실리콘막인 경우에 비하여 포토 레지스트 패턴(1235)의 식각 선택비가 증가할 수 있다. 따라서 동일한 두께의 제2 하드 마스크막(1210)의 식각에 필요한 포토 레지스트 패턴(1235)의 두께가 줄어들 수 있으며, 반도체 장치 제조 방법에 소요되는 시간 또한 감소될 수 있다.
하부막(1220)과 제2 하드 마스크막(1210)의 식각이 완료되어 하부막 패턴(1225)과 제2 하드 마스크 패턴(1215)이 형성될 수 있다. 하부막 패턴(1225)과 제2 하드 마스크 패턴(1215)의 형성이 완료된 이후에도, 포토 레지스트 패턴(1235)은 완전히 식각되지 않고 남아있을 수 있다.
도 11을 참조하면, 포토 레지스트 패턴(1235), 하부막 패턴(1225) 및 제2 하드 마스크 패턴(1215)을 식각 마스크로 하여 제1 하드 마스크막(1200)을 패터닝한다. 패터닝 결과 제1 하드 마스크막(1200)이 식각되어 비트 라인 전극막(340) 상에는 제1 하드 마스크 패턴(1205)이 형성된다.
도 11에는 제1 하드 마스크 패턴(1205) 상에 제2 하드 마스크 패턴(1215)이 남아 있는 것으로 도시되었으나 이에 제한되는 것은 아니며, 몇몇 실시예에서 제1 하드 마스크 패턴(1205) 상에는 제2 하드 마스크 패턴(1215)이 완전히 제거될 수도 있다.
도 12를 참조하면, 제2 하드 마스크 패턴(1215)과 제1 하드 마스크 패턴(1205)을 식각 마스크로 하여 비트 라인 컨택 플러그막(330), 비트 라인 전극막(340)을 식각한다. 식각 결과 비트 라인 컨택 플러그(335)와 비트 라인 전극(345)은 비트 라인 구조체(350)의 일부로 형성될 수 있다.
비트 라인 컨택 플러그막(330), 비트 라인 전극막(340)을 식각하는 것은, 예를 들어 HBr과 같은 식각 가스(1260)를 이용한 건식 식각일 수 있다.
도 13 내지 도 21은 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명하기 위한 중간 단계 도면들이다. 이후에서, 앞서 설명한 실시예에서 설명한 구성 요소의 도면 부호와 유사한 도면 부호를 갖는 구성 요소는 유사한 구성 요소를 나타내는 것일 수 있다.
도 13을 참조하면, 상기 도 12를 이용하여 설명한 반도체 장치 제조 방법이 이어서 설명된다. 구체적으로, 매립된 게이트(320) 상에 층간 절연막(360)을 형성하고, 층간 절연막(360) 내에 비트 라인 컨택 플러그(335), 비트 라인 전극(345) 및 비트 라인 캡핑막(355) 및 비트 라인 스페이서(365)를 포함하는 비트 라인 구조체(350)를 형성하고, 층간 절연막(360) 내에 랜딩 패드(305)를 형성하고, 층간 절연막(360) 상에 절연막(370)이 형성된다.
비트 라인 캡핑막(355)은 비트 라인 전극(345)의 상면을 덮도록 형성될 수 있다. 비트 라인 캡핑막(355)은 앞서 도 6 내지 도 12를 이용하여 설명한 반도체 장치 제조 방법에서 비트 라인 컨택 플러그(335)와 비트 라인 전극(345)의 라인 형상을 패터닝하기 위하여 사용된 제1 하드 마스크 패턴(1205)의 일부가 남아 형성된 것일 수 있다.
비트 라인 스페이서(365)는 예를 들어, 비트 라인 컨택 플러그(335), 비트 라인 전극(345), 비트 라인 캡핑막(355)이 적층된 구조와 활성 영역(ACT)의 상면을 덮도록 스페이서막을 형성하고, 상기 스페이서 막을 이방성 식각하여 비트 라인 스페이서(365)를 형성할 수 있다.
층간 절연막(360)은 기판(300)의 상면을 덮도록 형성될 수 있다. 층간 절연막(360)은 예를 들어 산화막을 포함할 수 있다. 또한, 층간 절연막(360)은 비트 라인 구조체(350) 및 랜딩 패드(305)의 측면을 감싸도록 형성될 수 있다.
랜딩 패드(305)는 층간 절연막(360) 내에 형성될 수 있다. 랜딩 패드(305)는 층간 절연막(360)을 관통할 수 있다. 랜딩 패드(60)의 하면은 기판(300)에 접촉하고, 그 상면은 하부 전극(도 21의 382)의 하면과 접촉할 수 있다.
랜딩 패드(305)는, 층간 절연막(360) 내에 트렌치를 형성하고, 상기 트렌치에 예를 들어 텅스텐과 같은 금속 물질, 도핑된 반도체 물질, 도전성 금속 질화물, 금속-반도체 화합물 등을 채우는 것에 의해 형성될 수 있다. 랜딩 패드(305)와 접촉하는 활성 영역(ACT) 내에는 도핑된 영역이 형성되고, 이는 소오스/드레인 영역으로 기능할 수 있다.
도 14를 참조하면, 절연막(370) 상에 제1 하드 마스크막(2200), 제2 하드 마스크막(2210), 하부막(2220) 및 포토 레지스트막(2230)을 차례로 형성한다.
제1 하드 마스크막(2200)은 비트 라인 컨택 플러그막(330) 상에 예를 들어, 비정질 카본막을 ALD, CVD 또는 스핀 코팅 등의 공정을 수행하는 것에 의해 형성될 수 있다. 즉, 제1 하드 마스크막(2200)은 도 1을 이용하여 설명한 제1 하드 마스크막(200)과 유사하도록 형성될 수 있다.
제2 하드 마스크막(2210)은 제1 하드 마스크막(2210) 상에 형성될 수 있다. 제2 하드 마스크막(2210)을 형성하는 것은 상술한 도 1을 이용하여 설명한 제1 하드 마스크막(210)과 유사하도록, 제1 하드 마스크막(2200) 상에 비정질 실리콘막을 형성하고, 이에 인(P), 비소(As) 등과 같은 5가 원소를 불순물로서 도핑하여 도핑된 비정질 실리콘막을 형성하는 것을 포함할 수 있다.
제2 하드 마스크막(2210) 상에 하부막(2220)과 포토 레지스트막(2230)을 형성할 수 있다. 하부막(2220)과 포토 레지스트막(2230)은 각각 앞서 도 1의 하부막(220)과 포토 레지스트막(230)과 유사한 공정을 통해 형성될 수 있다.
이어서 도 15를 참조하면, 포토 레지스트막(2230) 상에 광(L1)을 조사함으로써 노광 공정을 수행한다. 노광 공정은 포토 레지스트막(2230) 상에 노광 마스크(500)를 배치하고, 노광 마스크(500)에 포함된 개구를 통해 광을 조사하여 진행될 수 있다. 도 8에 도시된 것과 같이, 노광 공정을 통해 포토 레지스트막(2230)은 광(L1)에 의해 노출된 노광부(2231)와 비노광부(2235)로 구분될 수 있다.
이어서 도 16을 참조하면, 현상 공정을 통해 포토 레지스트막(2230)에서 노광부(2231)가 선택적으로 제거된다. 제거되지 않고 남은 포토 레지스트막(2230)의 일부는 포토 레지스트 패턴(2235)으로 하부막(2220) 상에 형성될 수 있다.
도 17을 참조하면, 포토 레지스트 패턴(2235)을 식각 마스크로 하여 하부막(2220)과 제2 하드 마스크막(2210)을 패터닝한다.
상술한 것과 같이, 포토 레지스트 패턴(2235)은 제2 하드 마스크막(2210)에 대하여 식각 선택비를 가질 수 있다. 또한, 제2 하드 마스크막(2210)이 불순물 도핑된 비정질 실리콘막을 포함함에 따라, 도핑되지 않은 비정질 실리콘막인 경우에 비하여 포토 레지스트 패턴(2235)의 식각 선택비가 증가할 수 있다. 따라서 동일한 두께의 제2 하드 마스크막(2210)의 식각에 필요한 포토 레지스트 패턴(2235)의 두께가 줄어들 수 있으며, 반도체 장치 제조 방법에 소요되는 시간 또한 감소될 수 있다.
하부막(2220)과 제2 하드 마스크막(2210)의 식각이 완료되어 하부막 패턴(1225)과 제2 하드 마스크 패턴(2215)이 형성될 수 있다. 하부막 패턴(1225)과 제2 하드 마스크 패턴(2215)의 형성이 완료된 이후에도, 포토 레지스트 패턴(2235)은 완전히 식각되지 않고 남아있을 수 있다.
도 18을 참조하면, 포토 레지스트 패턴(2235), 하부막 패턴(2225) 및 제2 하드 마스크 패턴(2215)을 식각 마스크로 하여 제1 하드 마스크막(2200)을 패터닝한다. 패터닝 결과 제1 하드 마스크막(2200)이 식각되어 절연막(370) 상에는 제1 하드 마스크 패턴(2205)이 형성된다.
도 18에는 제1 하드 마스크 패턴(2205) 상에 제2 하드 마스크 패턴(2215)이 남아 있는 것으로 도시되었으나 이에 제한되는 것은 아니며, 몇몇 실시예에서 제1 하드 마스크 패턴(2205) 상에는 제2 하드 마스크 패턴(2215)이 완전히 제거될 수도 있다.
도 19를 참조하면, 제2 하드 마스크 패턴(2215)과 제1 하드 마스크 패턴(2205)을 식각 마스크로 하여 절연막(370)을 패터닝한다. 패터닝 결과 트렌치(376)와 절연막 패턴(375)이 형성된다. 절연막(370)을 식각하기 위한 식각 에천트(2250)는 예를 들어, CF4 등의 가스를 포함할 수 있다.
도 20을 참조하면, 트렌치(376) 내부에 하부 전극(380)을 형성한다. 하부 전극(380)을 형성하는 것은 예를 들어, 트렌치(376)를 도전성 물질로 채운 후, 절연막 패턴(375) 상면의 도전성 물질을 에치백 또는 CMP(Chemical Mechanical Polishing) 등의 공정을 통해 제거하는 것을 포함할 수 있다. 상기 도전성 물질은 금속 및 금속 화합물 또는 이들의 조합을 포함할 수 있다.
이어서 도 21을 참조하면, 하부 전극(380) 주위의 절연막 패턴(375)을 완전히 제거하고, 하부 전극(380) 및 층간 절연막(360) 상에 유전막(3820)을 컨포멀하게 형성하고, 유전막(382) 및 하부 전극(380)을 덮도록 상부 전극(381)을 형성하여 커패시터(390)를 형성한다.
절연막 패턴(375)을 제거하는 것은, 앞서 절연막(370)의 식각 공정과 마찬가지로 CF4 등의 가스를 포함하는 식각 에천트를 이용하여 제거하는 것을 포함할 수 있다.
유전막(382)을 형성하는 것은, 예를 들어 하프늄 또는 지르코늄(Zr) 등의 고유전율 물질을 하부 전극(380) 및 층간 절연막(360) 상에 컨포멀하게 형성하는 것을 포함할 수 있으나 본 발명이 이에 제한되는 것은 아니다. 유전막(382)은 예를 들어, 하프늄 산화물, 하프늄 실리콘 산화물, 하프늄 산질화물(hafnium oxynitride), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide) 중에서 하나 이상을 포함할 수도 있다.
상부 전극(381)을 형성하는 것은, 유전막(382) 및 하부 전극(380)을 덮도록 도전성 물질을 형성하는 것일 수 있다. 상부 전극(160)은 예를 들어, 금속 및 금속 화합물 또는 이들의 조합을 포함할 수 있다.
하부 전극(380), 상부 전극(3810) 및 유전막(382)의 형성에 의해, 커패시터(390)의 형성이 완료될 수 있다.
도 22 내지 도 27은 본 발명의 몇몇 실시예에 따른 반도체 장치 제조 방법을 설명하기 위한 중간 단계 도면들이다. 이후에서, 앞서 설명한 실시예에서 설명한 구성 요소의 도면 부호와 유사한 도면 부호를 갖는 구성 요소는 유사한 구성 요소를 나타내는 것일 수 있다.
도 22를 참조하면, 기판(1000) 상에 제1 하드 마스크막(3200), 제2 하드 마스크막(3210), 하부막(3220) 및 포토 레지스트막(3230)을 차례로 형성한다.
기판(1000)은 앞서 설명한 것과 같이 벌크 실리콘 또는 SOI(silicon-on-insulator) 등일 수 있으며, 본 실시예에서는 기판(10000이 실리콘 기판인 것으로 설명한다.
도 23 내지 도 26로 이어지는 제1 하드 마스크 패턴(3205)과 제2 하드 마스크 패턴(3215)의 형성 과정은 앞서 도 2 내지 도 5를 이용하여 설명한 제1 하드 마스크 패턴(205)과 제2 하드 마스크 패턴(215)의 형성 과정과 유사하므로 생략한다.
도 27을 참조하면, 제1 하드 마스크 패턴(3205)과 제2 하드 마스크 패턴(3215)을 식각 마스크로 하여 기판(1000)을 패터닝한다. 기판(1000)의 패터닝 결과 일방향으로 길게 연장되는 핀 패턴(1100)이 형성될 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였으나, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
100: 대상막 300, 1000: 기판
200: 제1 하드 마스크막 205: 제1 하드 마스크 패턴
210: 제2 하드 마스크막 215: 제2 하드 마스크 패턴
220: 하부막 225: 하부막 패턴
230: 포토 레지스트막 235: 포토 레지스트 패턴

Claims (20)

  1. 대상막 상에 제1 하드 마스크막, 제2 하드 마스크막 및 포토 레지스트막을 차례로 형성하고,
    상기 포토 레지스트막을 패터닝하여 포토 레지스트 패턴을 형성하고,
    상기 포토 레지스트 패턴을 식각 마스크로 상기 제2 하드 마스크막 및 상기 제1 하드 마스크막을 순차적으로 패터닝하여 제1 하드 마스크 패턴 및 상기 제1 하드 마스크 패턴 상의 제2 하드 마스크 패턴을 형성하고,
    상기 제1 하드 마스크 패턴 및 제2 하드 마스크 패턴을 식각 마스크로 상기 대상막을 식각하는 것을 포함하되,
    상기 제2 하드 마스크막은 불순물 도핑된 비정질 실리콘(amorphous silicon)을 포함하고,
    상기 불순물 도핑된 비정질 실리콘은 5가 원소가 불순물로 도핑된 반도체 장치 제조 방법.
  2. 삭제
  3. 제 1항에 있어서,
    상기 5가 원소는 인(phosphorous)인 반도체 장치 제조 방법.
  4. 제 1항에 있어서,
    상기 포토 레지스트막을 패터닝하여 상기 포토 레지스트 패턴을 형성하는 것은,
    상기 포토 레지스트막을 EUV(Extreme Ultraviolet) 광으로 노광하고,
    상기 노광된 포토 레지스트막을 현상하여 상기 포토 레지스트 패턴을 형성하는 것을 포함하는 반도체 장치 제조 방법.
  5. 제 1항에 있어서,
    상기 제1 하드 마스크막은 비정질 카본막(Amorphous Carbon Layer)을 포함하는 반도체 장치 제조 방법.
  6. 제 5항에 있어서,
    상기 대상막은 금속막을 포함하고,
    상기 제1 하드 마스크 패턴을 식각 마스크로 하여 상기 대상막을 식각하는 것은,
    상기 금속막을 식각하여 금속막 패턴을 형성하는 것을 포함하는 반도체 장치 제조 방법.
  7. 제 6항에 있어서,
    상기 대상막을 식각하는 것은 상기 대상막을 건식 식각하는 것을 포함하는 반도체 장치 제조 방법.
  8. 제 1항에 있어서,
    상기 제1 하드 마스크막은 실리콘 산화물을 포함하는 반도체 장치 제조 방법.
  9. 제 8항에 있어서,
    상기 대상막은 절연막을 포함하고,
    상기 제2 하드 마스크 패턴을 식각 마스크로 하여 상기 대상막을 식각하는 것은,
    상기 절연막을 식각하여 상기 절연막 내에 트렌치를 형성하는 것을 포함하는 반도체 장치 제조 방법.
  10. 제 1항에 있어서,
    상기 포토 레지스트막과 상기 제2 하드 마스크막 사이에 하부막을 형성하는 것을 더 포함하는 반도체 장치 제조 방법.
  11. 기판 상에 활성 영역을 정의하는 소자 분리막을 형성하고,
    상기 활성 영역 내에 매립된 게이트를 형성하고,
    상기 기판 상에 상기 활성 영역과 접촉하는 금속막을 형성하고,
    상기 금속막 상에 제1 하드 마스크막과, 상기 제1 하드 마스크막 상의 제2 하드 마스크막 및 상기 제2 하드 마스크막 상의 포토 레지스트막을 형성하고,
    상기 포토 레지스트막을 패터닝하여 포토 레지스트 패턴을 형성하고,
    상기 포토 레지스트 패턴을 식각 마스크로 상기 제2 하드 마스크막을 패터닝하여 제2 하드 마스크 패턴을 형성하고,
    상기 제2 하드 마스크 패턴을 식각 마스크로 이용하여 상기 제1 하드 마스크를 패터닝하여 제1 하드 마스크 패턴을 형성하고,
    상기 제1 하드 마스크 패턴 및 상기 제2 하드 마스크 패턴을 식각 마스크로 이용하여 상기 금속막을 식각하여 금속막 패턴을 형성하는 것을 포함하되,
    상기 제2 하드 마스크막은 불순물 도핑된 비정질 실리콘을 포함하고,
    상기 불순물 도핑된 비정질 실리콘은 5가 원소가 불순물로 도핑된 반도체 장치 제조 방법.
  12. 삭제
  13. 제 11항에 있어서,
    상기 5가 원소는 인(phosphorous)인 반도체 장치 제조 방법.
  14. 제 11항에 있어서,
    상기 포토 레지스트막을 패터닝하여 상기 포토 레지스트 패턴을 형성하는 것은,
    상기 포토 레지스트막을 EUV(Extreme Ultraviolet)로 노광하고,
    상기 노광된 포토 레지스트막을 현상하여 상기 포토 레지스트 패턴을 형성하는 것을 포함하는 반도체 장치 제조 방법.
  15. 제 11항에 있어서,
    상기 제1 하드 마스크막은 비정질 카본막(Amorphous Carbon Layer)을 포함하는 반도체 장치 제조 방법.
  16. 제 11항에 있어서,
    상기 금속막 패턴은 비트 라인 구조체를 포함하고,
    상기 비트 라인 구조체는 상기 활성 영역 상의 비트 라인 플러그 및 상기 비트 라인 플러그 상의 비트 라인 전극을 포함하는 반도체 장치 제조 방법.
  17. 제 16항에 있어서,
    상기 제2 하드 마스크 패턴은 상기 비트 라인 전극을 덮는 캡핑막인 반도체 장치 제조 방법.
  18. 대상막 상에 제1 하드 마스크막, 제2 하드 마스크막 및 포토 레지스트막을 차례로 형성하되, 상기 제1 하드 마스크막은 비정질 카본막(Amorphous Carbon Layer)을 포함하고, 제2 하드 마스크막은 불순물 도핑된 비정질 실리콘(Amorphous Silicon)을 포함하고,
    상기 포토 레지스트막을 패터닝하여 포토 레지스트 패턴을 형성하고,
    상기 포토 레지스트 패턴을 식각 마스크로 상기 제2 하드 마스크막을 식각하여 제2 하드 마스크 패턴을 형성하고,
    상기 제2 하드 마스크 패턴을 식각 마스크로 상기 제1 하드 마스크막을 식각하여 제1 하드 마스크 패턴을 형성하는 것을 포함하고,
    상기 불순물 도핑된 비정질 실리콘은 5가 원소가 불순물로 도핑된 반도체 장치 제조 방법.
  19. 삭제
  20. 제 18항에 있어서,
    상기 5가 원소는 인(phosphorous)인 반도체 장치 제조 방법.
KR1020170166064A 2017-12-05 2017-12-05 반도체 장치 제조 방법 KR102374206B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020170166064A KR102374206B1 (ko) 2017-12-05 2017-12-05 반도체 장치 제조 방법
US16/030,212 US10586709B2 (en) 2017-12-05 2018-07-09 Methods of fabricating semiconductor devices
CN201811285152.5A CN109872967A (zh) 2017-12-05 2018-10-31 制造半导体装置的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170166064A KR102374206B1 (ko) 2017-12-05 2017-12-05 반도체 장치 제조 방법

Publications (2)

Publication Number Publication Date
KR20190066389A KR20190066389A (ko) 2019-06-13
KR102374206B1 true KR102374206B1 (ko) 2022-03-14

Family

ID=66657704

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170166064A KR102374206B1 (ko) 2017-12-05 2017-12-05 반도체 장치 제조 방법

Country Status (3)

Country Link
US (1) US10586709B2 (ko)
KR (1) KR102374206B1 (ko)
CN (1) CN109872967A (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7325167B2 (ja) * 2017-03-16 2023-08-14 富士電機株式会社 半導体装置の製造方法
CN112582261B (zh) * 2019-09-27 2022-03-08 长鑫存储技术有限公司 存储器节点接触窗的制作方法
KR20210050319A (ko) * 2019-10-28 2021-05-07 삼성전자주식회사 패턴 형성을 위한 포토마스크 세트의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102455023B1 (ko) * 2019-12-16 2022-10-13 삼성에스디아이 주식회사 화합물, 화합물의 합성 방법, 하드마스크 조성물 및 패턴 형성 방법
US20210335599A1 (en) * 2020-04-28 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Euv photomask and related methods
KR20210148674A (ko) * 2020-06-01 2021-12-08 에스케이하이닉스 주식회사 하드마스크를 이용한 반도체 장치 및 그의 제조 방법
US11871560B2 (en) * 2021-01-04 2024-01-09 Changxin Memory Technologies, Inc. Method for manufacturing semiconductor structure and semiconductor structure
CN116113231A (zh) * 2021-11-08 2023-05-12 长鑫存储技术有限公司 半导体结构及其制作方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090111281A1 (en) * 2007-10-26 2009-04-30 Christopher Dennis Bencher Frequency doubling using a photo-resist template mask
US20140057442A1 (en) * 2012-08-24 2014-02-27 SK Hynix Inc. Semiconductor device with silicon-containing hard mask and method for fabricating the same

Family Cites Families (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165695A (en) * 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6156658A (en) 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
JP3259704B2 (ja) * 1998-12-30 2002-02-25 日本電気株式会社 半導体装置の製造方法
KR100585001B1 (ko) * 2000-06-30 2006-05-29 주식회사 하이닉스반도체 캐패시터의 제조 방법
DE10341321B4 (de) * 2003-09-08 2009-11-26 Qimonda Ag Verfahren zur Bildung eines Grabens in einer Schicht oder einem Schichtstapel auf einem Halbleiterwafer
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
KR20060132680A (ko) 2004-02-05 2006-12-21 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 광학 검사 장치, 광학 검사 방법, 피사체 제조 방법 및마스크
CN1860612A (zh) * 2004-05-21 2006-11-08 富士胶片株式会社 制造固态图像拾取器件的方法以及固态图像拾取器件
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
KR101309880B1 (ko) 2005-05-13 2013-09-17 칼 짜이스 에스엠티 게엠베하 낮은 입사각을 갖는 육-미러 euv 프로젝션 시스템
US7662721B2 (en) * 2006-03-15 2010-02-16 Infineon Technologies Ag Hard mask layer stack and a method of patterning
US7626682B2 (en) 2006-04-13 2009-12-01 Infineon Technologies Ag Reticle stages for lithography systems and lithography methods
JP4996155B2 (ja) * 2006-07-18 2012-08-08 株式会社東芝 半導体装置及びその製造方法
EP1882984B1 (en) 2006-07-28 2011-10-12 Media Lario s.r.l. Multi-reflection optical systems and their fabrication
JP2010506224A (ja) 2006-10-13 2010-02-25 メディア ラリオ ソシエタ ア レスポンサビリタ リミタータ コーティングされたミラー及びその製造
KR20090045754A (ko) 2007-11-02 2009-05-08 주식회사 하이닉스반도체 하드마스크를 이용하는 반도체 소자의 패턴 형성 방법
JP2009272347A (ja) 2008-04-30 2009-11-19 Toshiba Corp 光反射型マスク、露光装置、測定方法、及び半導体装置の製造方法
KR20100001817A (ko) 2008-06-27 2010-01-06 주식회사 하이닉스반도체 Euv용 노광마스크 및 이를 이용한 반도체 소자의 형성방법
JP2010034179A (ja) 2008-07-28 2010-02-12 Toshiba Corp 反射型マスクおよび半導体デバイスの製造方法
JP2010056361A (ja) 2008-08-29 2010-03-11 Toshiba Corp 露光装置及び露光方法
US20140353526A1 (en) 2008-09-01 2014-12-04 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
KR101065078B1 (ko) 2008-11-05 2011-09-15 삼성전자주식회사 바이오칩용 기판 및 그 제조 방법
KR20100053911A (ko) 2008-11-13 2010-05-24 주식회사 하이닉스반도체 반도체 장치 제조 방법
NL2003658A (en) 2008-12-31 2010-07-01 Asml Holding Nv Euv mask inspection.
JPWO2010087345A1 (ja) 2009-01-28 2012-08-02 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクの製造方法
JP2010182732A (ja) 2009-02-03 2010-08-19 Toshiba Corp 半導体装置の製造方法
JP2010211117A (ja) 2009-03-12 2010-09-24 Toshiba Corp パターン補正装置およびパターン補正方法
DE102009016319A1 (de) 2009-04-06 2010-10-14 Carl Zeiss Smt Ag Verfahren zur Kontaminationsvermeidung und EUV-Lithographieanlage
CN102414619A (zh) 2009-04-21 2012-04-11 日产化学工业株式会社 Euv光刻用抗蚀剂下层膜形成用组合物
JP2011040460A (ja) 2009-08-07 2011-02-24 Toshiba Corp 露光装置、及び半導体装置の製造方法
JP2011108942A (ja) 2009-11-19 2011-06-02 Renesas Electronics Corp 反射型露光用マスク、反射型露光用マスクの製造方法、および、半導体装置の製造方法
JPWO2011065374A1 (ja) 2009-11-24 2013-04-18 株式会社ニコン 結像光学系、露光装置、およびデバイス製造方法
JP2011129582A (ja) 2009-12-15 2011-06-30 Toshiba Corp 露光量モニタ方法及び露光量モニタ用マスクの作製方法
NL2005463A (en) 2009-12-16 2011-06-20 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
DE102010009022B4 (de) 2010-02-22 2019-10-24 Carl Zeiss Smt Gmbh Beleuchtungssystem sowie Projektionsobjektiv einer Maskeninspektionsanlage
KR20110109561A (ko) 2010-03-31 2011-10-06 삼성전자주식회사 반도체 소자의 제조 방법
KR20110110578A (ko) 2010-04-01 2011-10-07 삼성전자주식회사 극자외선 마스크의 위상 거칠기 측정 방법 및 이에 이용되는 장치
JP5704519B2 (ja) 2010-04-02 2015-04-22 株式会社ニコン 光源装置、光学装置、露光装置、デバイス製造方法、照明方法、露光方法、および光学装置の製造方法
WO2011125407A1 (ja) 2010-04-02 2011-10-13 信越化学工業株式会社 フォトマスクユニット及びその製造方法
JP2011243869A (ja) 2010-05-20 2011-12-01 Toshiba Corp Euv露光用マスクの管理方法及び露光方法
KR101118829B1 (ko) 2010-06-21 2012-03-12 삼성전기주식회사 전자종이 표시장치 및 그 제조 방법
NL2007287A (en) 2010-09-14 2012-03-15 Asml Netherlands Bv Correction for flare effects in lithography system.
US20120128228A1 (en) 2010-11-18 2012-05-24 D2S, Inc. Method for Matching of Patterns
KR20120069020A (ko) 2010-11-22 2012-06-28 삼성전자주식회사 극자외선 노광용 반사마스크, 극자외선 노광용 시스템 및 극자외선 노광용 반사마스크의 고정방법
JP2012114140A (ja) 2010-11-22 2012-06-14 Renesas Electronics Corp 露光方法および露光装置
JP2012129439A (ja) 2010-12-17 2012-07-05 Renesas Electronics Corp 半導体装置の製造方法、露光装置の露光方法、露光装置および露光装置用の光源
JP2012151158A (ja) 2011-01-17 2012-08-09 Shin Etsu Chem Co Ltd Euv用ペリクル膜及びペリクル、並びに該膜の製造方法
JP5741521B2 (ja) 2011-05-11 2015-07-01 信越化学工業株式会社 レジスト組成物及びパターン形成法
US9485846B2 (en) 2011-05-20 2016-11-01 Hermes Microvision Inc. Method and system for inspecting an EUV mask
US9859089B2 (en) 2011-05-20 2018-01-02 Hermes Microvision Inc. Method and system for inspecting and grounding an EUV mask
JP2012252055A (ja) 2011-05-31 2012-12-20 Toshiba Corp マスク検査方法、マスク作製方法および半導体装置の製造方法
JP5817650B2 (ja) 2011-06-13 2015-11-18 信越化学工業株式会社 パターン形成方法及びレジスト組成物
DE102011079382B4 (de) 2011-07-19 2020-11-12 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Analysieren und zum Beseitigen eines Defekts einer EUV Maske
KR20130028179A (ko) 2011-08-09 2013-03-19 삼성전자주식회사 마스크 검사 방법 및 이를 수행하기 위한 장치
KR20130044387A (ko) 2011-09-06 2013-05-03 삼성전자주식회사 Euv 마스크용 공간 영상 측정 장치 및 방법
DE102011083461A1 (de) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh Verfahren zum Erzeugen einer Deckschicht aus Siliziumoxid an einem EUV-Spiegel
WO2013055586A1 (en) 2011-10-13 2013-04-18 Applied Materials, Inc. Method for etching euv reflective multi-material layers utilized to form a photomask
US9715050B2 (en) 2011-11-25 2017-07-25 Cheng-Hao KO Optical wavelength dispersion device and method of manufacturing the same
US8592137B2 (en) 2011-12-16 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for small trench patterning using chemical amplified photoresist compositions
KR20130073429A (ko) 2011-12-23 2013-07-03 삼성전자주식회사 존 플레이트 및 이를 포함하는 마스크 패턴 측정 장치
US10838124B2 (en) 2012-01-19 2020-11-17 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
US10838123B2 (en) 2012-01-19 2020-11-17 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
US20130189844A1 (en) 2012-01-23 2013-07-25 Vigma Nanoelectronics Method to increase the pattern density of integrated circuits using near-field EUV patterning technique
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US20130200498A1 (en) 2012-02-03 2013-08-08 Applied Materials, Inc. Methods and apparatus for lithography using a resist array
JP6460617B2 (ja) 2012-02-10 2019-01-30 Hoya株式会社 反射型マスクブランク、反射型マスクの製造方法、及び反射型マスクブランクの製造方法
JP5668710B2 (ja) 2012-02-27 2015-02-12 信越化学工業株式会社 高分子化合物及びそれを含んだレジスト材料並びにパターン形成方法、該高分子化合物の製造方法
US9091930B2 (en) 2012-04-02 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced EUV lithography system
US20130255717A1 (en) 2012-04-03 2013-10-03 Kla-Tencor Corporation System and method for cleaning surfaces and components of mask and wafer inspection systems based on the positive column of a glow discharge plasma
DE102012206153A1 (de) 2012-04-16 2013-10-17 Carl Zeiss Smt Gmbh Optisches System einer mikrolithographischen Projektionsbelichtungsanlage
TW201411692A (zh) 2012-04-23 2014-03-16 Nanocrystal Asia Inc 以壓印方式製造選擇性成長遮罩之方法
KR20130120586A (ko) 2012-04-26 2013-11-05 삼성전자주식회사 패턴 형성 방법
DE102012207866A1 (de) 2012-05-11 2013-11-14 Carl Zeiss Smt Gmbh Baugruppe für eine Projektionsbelichtungsanlage für die EUV-Projektionslithografie
WO2013178277A1 (en) 2012-05-31 2013-12-05 Carl Zeiss Smt Gmbh Optical imaging arrangement with multiple metrology support units
US9715175B2 (en) 2012-06-15 2017-07-25 Nikon Corporation Mask protection device, exposure apparatus, and method for manufacturing device
CN104471487B (zh) 2012-07-16 2019-07-09 巴斯夫欧洲公司 用于制造集成电路装置、光学装置、微机械及机械精密装置的组合物
WO2014044670A1 (en) 2012-09-21 2014-03-27 Asml Netherlands B.V. Lithographic method and apparatus
JP2014107520A (ja) 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
US20140158914A1 (en) 2012-12-11 2014-06-12 Sandia Corporation Optical component with blocking surface and method thereof
DE102012223233A1 (de) 2012-12-14 2014-06-18 Carl Zeiss Smt Gmbh Optisches System einer mikrolithographischen Projektionsbelichtungsanlage
KR101995879B1 (ko) 2012-12-28 2019-07-03 호야 가부시키가이샤 마스크 블랭크용 기판, 다층 반사막 부착 기판, 반사형 마스크 블랭크, 반사형 마스크 및 반도체 장치의 제조방법
US9104113B2 (en) 2013-01-07 2015-08-11 International Business Machines Corporation Amplification method for photoresist exposure in semiconductor chip manufacturing
JP5859466B2 (ja) 2013-01-08 2016-02-10 信越化学工業株式会社 チタン含有レジスト下層膜形成用組成物及びパターン形成方法
DE102013200394A1 (de) 2013-01-14 2014-07-17 Carl Zeiss Smt Gmbh Polarisationsmessvorrichtung, Lithographieanlage, Messanordnung, und Verfahren zur Polarisationsmessung
JP6013930B2 (ja) 2013-01-22 2016-10-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5830041B2 (ja) 2013-01-24 2015-12-09 信越化学工業株式会社 ポリシロキサン含有レジスト下層膜形成用組成物、及びこれを用いたパターン形成方法
KR20140096750A (ko) 2013-01-29 2014-08-06 삼성전자주식회사 노광 방법 및 이를 이용한 패턴 형성 방법
US9442387B2 (en) 2013-02-01 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process
JP6068171B2 (ja) 2013-02-04 2017-01-25 株式会社日立ハイテクノロジーズ 試料の処理方法および試料処理装置
KR102330533B1 (ko) 2013-02-22 2021-11-24 호야 가부시키가이샤 반사형 마스크블랭크의 제조방법, 및 반사형 마스크의 제조방법
US20140253892A1 (en) 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme Ultraviolet Lithography Projection Optics System and Associated Methods
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
DE102013204444A1 (de) 2013-03-14 2014-09-18 Carl Zeiss Smt Gmbh Beleuchtungsoptik für ein Maskeninspektionssystem sowie Maskeninspektionssystem mit einer derartigen Beleuchtungsoptik
DE102013204445A1 (de) 2013-03-14 2014-09-18 Carl Zeiss Smt Gmbh Vergrößernde abbildende Optik sowie EUV-Maskeninspektionssystem mit einer derartigen abbildenden Optik
US9425062B2 (en) 2013-03-14 2016-08-23 Applied Materials, Inc. Method for improving CD micro-loading in photomask plasma etching
US9310675B2 (en) 2013-03-15 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US10459353B2 (en) 2013-03-15 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography system with an embedded cleaning module
WO2014200648A2 (en) 2013-06-14 2014-12-18 Kla-Tencor Corporation System and method for determining the position of defects on objects, coordinate measuring unit and computer program for coordinate measuring unit
DE102013211310A1 (de) 2013-06-17 2014-12-18 Carl Zeiss Smt Gmbh EUV-Abbildungsvorrichtung
JP2016526702A (ja) 2013-06-17 2016-09-05 パウル・シェラー・インスティトゥート Euvリソグラフィのアクティニックマスク検査用の走査型コヒーレント回折イメージング方法およびシステム
EP2824511A1 (en) 2013-07-11 2015-01-14 Basf Se The use of surfactants having at least three short-chain perfluorinated groups in formulations for photo mask cleaning
WO2015012151A1 (ja) 2013-07-22 2015-01-29 Hoya株式会社 多層反射膜付き基板、euvリソグラフィー用反射型マスクブランク、euvリソグラフィー用反射型マスク及びその製造方法、並びに半導体装置の製造方法
US9195134B2 (en) 2013-08-01 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for integrated circuit mask patterning
WO2015035088A1 (en) 2013-09-05 2015-03-12 Applied Materials, Inc Methods and apparatus for forming a resist array using chemical mechanical planarization
US9182659B2 (en) 2013-09-06 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9250513B2 (en) 2013-09-06 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing an extreme ultraviolet (EUV) mask and the mask manufactured therefrom
US9720317B2 (en) 2013-09-11 2017-08-01 Hoya Corporation Substrate with a multilayer reflective film, reflective mask blank for EUV lithography, reflective mask for EUV lithography and method of manufacturing the same, and method of manufacturing a semiconductor device
US20150070681A1 (en) 2013-09-11 2015-03-12 Kabushiki Kaisha Toshiba Pattern generating method, pattern forming method, and pattern generating program
KR20150031672A (ko) * 2013-09-16 2015-03-25 삼성전자주식회사 반도체 소자의 제조 방법
DE102013218749A1 (de) 2013-09-18 2015-03-19 Carl Zeiss Smt Gmbh Beleuchtungssystem sowie Beleuchtungsoptik für die EUV-Projektionslithografie
US9274417B2 (en) 2013-09-18 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
CN110083019B (zh) 2013-09-25 2021-05-25 Asml荷兰有限公司 光学元件、辐射系统及光刻系统
JP6438645B2 (ja) 2013-09-26 2018-12-19 富士フイルム株式会社 感活性光線性又は感放射線性組成物、並びに、これを用いた、レジスト膜、パターン形成方法、レジスト塗布マスクブランクス、フォトマスクの製造方法、及び電子デバイスの製造方法
KR102162035B1 (ko) 2013-09-30 2020-10-07 칼 짜이스 에스엠테 게엠베하 간단화된 제조를 갖는 광학 영상화 장치
US9377696B2 (en) 2013-10-07 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9291890B2 (en) 2013-10-11 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for repairing a mask
US9316900B2 (en) 2013-10-11 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9798225B2 (en) 2013-11-05 2017-10-24 Asml Netherlands B.V. Method of characterizing, method of forming a model, method of simulating, mask manufacturing method and device manufacturing method
DE102013223935A1 (de) 2013-11-22 2015-05-28 Carl Zeiss Smt Gmbh Beleuchtungssystem für die EUV-Belichtungslithographie
DE102013224435A1 (de) 2013-11-28 2015-05-28 Carl Zeiss Smt Gmbh Messanordnung zur Messung optischer Eigenschaften eines reflektiven optischen Elements, insbesondere für die Mikrolithographie
US9829785B2 (en) 2013-12-13 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
TWI646401B (zh) 2013-12-19 2019-01-01 美商應用材料股份有限公司 帶有簡化光學元件的極紫外線(euv)基板檢查系統及其製造方法
SG10201805252RA (en) 2013-12-22 2018-08-30 Applied Materials Inc Extreme ultraviolet lithography system having chuck assembly and method of manufacturing thereof
US9810991B2 (en) 2013-12-23 2017-11-07 Kla-Tencor Corporation System and method for cleaning EUV optical elements
JP6301127B2 (ja) 2013-12-25 2018-03-28 Hoya株式会社 反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
KR101674972B1 (ko) 2013-12-26 2016-11-10 한국과학기술원 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자
US20150192459A1 (en) 2014-01-08 2015-07-09 Kla-Tencor Corporation Extreme ultra-violet (euv) inspection systems
JP6264675B2 (ja) 2014-01-22 2018-01-24 華為技術有限公司Huawei Technologies Co.,Ltd. シリコン・オン・インシュレータ(soi)基板製造方法及びsoi基板
JP5725681B1 (ja) 2014-01-22 2015-05-27 レーザーテック株式会社 干渉計及び位相シフト量測定装置
US20170146902A1 (en) 2014-01-27 2017-05-25 Luxel Corporation Monolithic euv transparent membrane and support mesh and method of manufacturing same
TWI639179B (zh) 2014-01-31 2018-10-21 美商蘭姆研究公司 真空整合硬遮罩製程及設備
JP6313604B2 (ja) 2014-02-05 2018-04-18 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、感活性光線性又は感放射線性膜を備えたマスクブランクス、パターン形成方法、及び電子デバイスの製造方法
JP6267982B2 (ja) 2014-02-05 2018-01-24 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、感活性光線性又は感放射線性膜を備えたマスクブランクス、パターン形成方法、電子デバイスの製造方法、新規化合物、及び、新規化合物の製造方法
CN105940348B (zh) 2014-02-12 2019-12-06 日产化学工业株式会社 包含含有氟的表面活性剂的膜形成用组合物
JP6220695B2 (ja) 2014-02-18 2017-10-25 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、感活性光線性又は感放射線性膜を備えたマスクブランクス、パターン形成方法及び電子デバイスの製造方法
DE102014203144A1 (de) 2014-02-21 2015-08-27 Carl Zeiss Smt Gmbh Baugruppe eines optischen Systems, insbesondere in einer mikrolithographischen Projektionsbelichtungsanlage
JP6286227B2 (ja) 2014-02-21 2018-02-28 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、感活性光線性又は感放射線性膜を備えたマスクブランクス、パターン形成方法、及び電子デバイスの製造方法
JP2015200874A (ja) 2014-02-21 2015-11-12 東洋合成工業株式会社 化学種発生向上剤
CN106030405B (zh) 2014-02-21 2020-11-03 保罗·谢勒学院 使用相干衍射成像方法以及使用微型小孔和孔系统的反射模式的成像系统
US9746774B2 (en) 2014-02-24 2017-08-29 Tokyo Electron Limited Mitigation of EUV shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist (PS-CAR)
US10558126B2 (en) 2014-02-24 2020-02-11 Asml Netherlands B.V. Lithographic apparatus and method
US9989863B2 (en) 2014-02-24 2018-06-05 Asml Netherlands B.V. Lithographic system
DE112015000546T5 (de) 2014-02-25 2016-11-10 Tokyo Electron Limited Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists
DE102014204171A1 (de) 2014-03-06 2015-09-24 Carl Zeiss Smt Gmbh Optisches Element und optische Anordnung damit
US9377693B2 (en) 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
JP2015176928A (ja) 2014-03-13 2015-10-05 株式会社東芝 電子ビーム描画方法、電子ビーム描画装置、および、データ作成方法
JP6316036B2 (ja) 2014-03-14 2018-04-25 東芝メモリ株式会社 フォトマスクの製造方法
JP6353681B2 (ja) 2014-03-31 2018-07-04 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物の製造方法、感活性光線性又は感放射線性膜の製造方法、感活性光線性又は感放射線性膜を備えたマスクブランクスの製造方法、フォトマスクの製造方法、パターン形成方法及び電子デバイスの製造方法
US20150303055A1 (en) 2014-04-16 2015-10-22 GlobalFoundries, Inc. Methods for fabricating integrated circuits including surface treating for directed self-assembly
US10014165B2 (en) 2014-05-20 2018-07-03 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Radiation sensor device for high energy photons
TWI663481B (zh) 2014-06-03 2019-06-21 荷蘭商Asml荷蘭公司 用於補償一曝光誤差的方法、元件製造方法、基板台、微影裝置、控制系統、用於量測反射率的方法、及用於量測一極紫外線輻射劑量的方法
JP6529985B2 (ja) 2014-06-13 2019-06-12 インテル・コーポレーション 規則的なグリッドの選択的削減による縦型チャネルトランジスタ製造のための処理方法
KR20150145837A (ko) 2014-06-19 2015-12-31 삼성전자주식회사 2차원 물질 식각장치 및 이를 이용하여 2차원 물질층을 패터닝하는 방법
US9395632B2 (en) 2014-06-30 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Efficient solution for removing EUV native defects
DE102014213198B4 (de) 2014-07-08 2020-08-06 Carl Zeiss Ag Verfahren zur Lokalisierung von Defekten auf Substraten
US9581889B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US9698014B2 (en) 2014-07-30 2017-07-04 Taiwan Semiconductor Manufacturing Co., Ltd Photoresist composition to reduce photoresist pattern collapse
US9964850B2 (en) 2014-07-31 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to mitigate defect printability for ID pattern
KR20160016430A (ko) 2014-08-05 2016-02-15 삼성전자주식회사 반도체 소자의 레이아웃 방법 및 반도체 소자 형성 방법
DE102014216458A1 (de) 2014-08-19 2016-02-25 Carl Zeiss Smt Gmbh Optisches Element mit einer Beschichtung zur Beeinflussung von Heizstrahlung und optische Anordnung
US10146141B2 (en) 2014-08-28 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process and system with enhanced overlay quality
JP6293023B2 (ja) 2014-09-04 2018-03-14 株式会社ニューフレアテクノロジー 検査方法
JP2016058640A (ja) 2014-09-11 2016-04-21 株式会社東芝 パターン形成方法、フォトマスク、及びナノインプリント用テンプレート
KR102193687B1 (ko) 2014-09-15 2020-12-21 삼성전자주식회사 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법
DE102014218474A1 (de) 2014-09-15 2016-03-17 Carl Zeiss Smt Gmbh Projektionsobjektiv, Projektionsbelichtungsanlage und Projektionsbelichtungsverfahren für die EUV-Mikrolithographie
TWM496146U (zh) 2014-09-24 2015-02-21 Gudeng Prec Ind Co Ltd 具有標記之極紫外光光罩盒
JP6250513B2 (ja) 2014-10-03 2017-12-20 信越化学工業株式会社 塗布型ケイ素含有膜形成用組成物、基板、及びパターン形成方法
JP6250514B2 (ja) 2014-10-03 2017-12-20 信越化学工業株式会社 塗布型bpsg膜形成用組成物、基板、及びパターン形成方法
JP6601118B2 (ja) 2014-10-20 2019-11-06 Agc株式会社 Euvリソグラフィ用反射型マスクブランク、ならびに、その検査方法および製造方法
TWI650607B (zh) 2014-10-21 2019-02-11 日商Agc股份有限公司 Euvl用附反射層之基板及其製造方法、以及euvl用反射型光罩基底及euvl用反射型光罩
KR102402035B1 (ko) 2014-11-14 2022-05-26 삼성전자주식회사 펠리클을 포함하는 마스크, 펠리클 리페어 장치, 및 기판 제조 설비
US9709884B2 (en) 2014-11-26 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and manufacturing method by using the same
US10031411B2 (en) 2014-11-26 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for EUV mask and fabrication thereof
CN105719956B (zh) * 2014-12-04 2019-05-28 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10055833B2 (en) 2014-12-11 2018-08-21 Carl Zeiss Smt Gmbh Method and system for EUV mask blank buried defect analysis
US9870935B2 (en) 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US10338474B2 (en) * 2015-01-14 2019-07-02 Intel Corporation Underlying absorbing or conducting layer for Ebeam direct write (EBDW) lithography
KR102323251B1 (ko) * 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
JP6372007B2 (ja) 2015-02-03 2018-08-15 Agc株式会社 マスクブランク用ガラス基板
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US10274819B2 (en) 2015-02-05 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. EUV pellicle fabrication methods and structures thereof
KR102271772B1 (ko) 2015-03-11 2021-07-01 삼성전자주식회사 Euv 대역외 광량 분포의 측정 방법 및 이를 이용한 euv 노광기의 성능 검사 방법
JP6418603B2 (ja) 2015-03-16 2018-11-07 東芝メモリ株式会社 反射型露光マスクの製造方法およびマスクパターン作製プログラム
DE102015206114A1 (de) 2015-04-07 2016-05-25 Carl Zeiss Smt Gmbh Kühler zur Verwendung in einer Vorrichtung im Vakuum
JP6445382B2 (ja) 2015-04-24 2018-12-26 信越化学工業株式会社 リソグラフィー用塗布膜形成用組成物の製造方法及びパターン形成方法
US10935889B2 (en) 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method
US10036951B2 (en) 2015-05-29 2018-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and fabrication methods thereof
KR101726045B1 (ko) 2015-06-04 2017-04-13 한양대학교 산학협력단 극자외선 노광 공정용 마스크, 및 그 제조 방법
TWI694304B (zh) 2015-06-08 2020-05-21 日商Agc股份有限公司 Euv微影術用反射型光罩基底
KR102385375B1 (ko) 2015-07-13 2022-04-11 에스케이이노베이션 주식회사 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 레지스트 패턴의 형성 방법
KR102421597B1 (ko) 2015-07-14 2022-07-18 에스케이이노베이션 주식회사 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 레지스트 패턴의 형성 방법
US9766536B2 (en) 2015-07-17 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mask with multilayer structure and manufacturing method by using the same
US9881793B2 (en) 2015-07-23 2018-01-30 International Business Machines Corporation Neutral hard mask and its application to graphoepitaxy-based directed self-assembly (DSA) patterning
US9885952B2 (en) 2015-07-29 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of EUV mask cleaning
KR20170016107A (ko) 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
JP6374360B2 (ja) 2015-08-04 2018-08-15 東芝メモリ株式会社 Euvマスク及びその製造方法
US9740094B2 (en) 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
US10459352B2 (en) 2015-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask cleaning
JP6436883B2 (ja) 2015-09-11 2018-12-12 東芝メモリ株式会社 欠陥検査方法及び欠陥検査装置
US11358172B2 (en) 2015-09-24 2022-06-14 Suss Microtec Photomask Equipment Gmbh & Co. Kg Method for treating substrates with an aqueous liquid medium exposed to UV-radiation
US10025079B2 (en) 2015-09-28 2018-07-17 Kenneth Carlisle Johnson Actinic, spot-scanning microscope for EUV mask inspection and metrology
US20170090278A1 (en) 2015-09-30 2017-03-30 G-Force Nanotechnology Ltd. Euv pellicle film and manufacturing method thereof
KR102520797B1 (ko) 2015-10-15 2023-04-12 삼성전자주식회사 반사형 포토마스크 및 그 제조 방법
KR102469807B1 (ko) 2015-10-28 2022-11-23 에스케이하이닉스 주식회사 반사형 포토마스크의 제조방법
KR102491578B1 (ko) 2015-11-02 2023-01-25 삼성전자주식회사 Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법
US9915866B2 (en) 2015-11-16 2018-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focused radiation beam induced deposition
DE102015015423B4 (de) 2015-11-27 2018-07-19 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zum Lagern einer Lithographiemaske
US9759997B2 (en) 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
JP2017111356A (ja) 2015-12-18 2017-06-22 株式会社東芝 パターン形成方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090111281A1 (en) * 2007-10-26 2009-04-30 Christopher Dennis Bencher Frequency doubling using a photo-resist template mask
US20140057442A1 (en) * 2012-08-24 2014-02-27 SK Hynix Inc. Semiconductor device with silicon-containing hard mask and method for fabricating the same

Also Published As

Publication number Publication date
US20190172717A1 (en) 2019-06-06
US10586709B2 (en) 2020-03-10
CN109872967A (zh) 2019-06-11
KR20190066389A (ko) 2019-06-13

Similar Documents

Publication Publication Date Title
KR102374206B1 (ko) 반도체 장치 제조 방법
US11037824B2 (en) Semiconductor device and method for manufacturing the same
US11923201B2 (en) Self-protective layer formed on high-K dielectric layer
US9412837B2 (en) Methods of manufacturing semiconductor devices
US20150287595A1 (en) Devices and methods of forming fins at tight fin pitches
US11114347B2 (en) Self-protective layer formed on high-k dielectric layers with different materials
TWI679685B (zh) 半導體裝置及其製造方法
US10283417B1 (en) Self-protective layer formed on high-k dielectric layers with different materials
US10177037B2 (en) Methods of forming a CT pillar between gate structures in a semiconductor
US10522662B1 (en) FinFET device with T-shaped fin and method for forming the same
TWI725557B (zh) 半導體裝置的製造方法
US20220231139A1 (en) Semiconductor Devices and Methods
CN107785320B (zh) 具有通过鳍片间的导电路径的接触至栅极短路的装置及制法
CN109841507B (zh) 半导体器件及其形成方法
CN108305894B (zh) 半导体器件及其制造方法
CN107452680B (zh) 半导体装置及其制造方法
TWI748496B (zh) 半導體結構及形成半導體結構的方法
US20170309560A1 (en) Devices and methods for forming cross coupled contacts
CN107706110B (zh) FinFET器件的制造方法
CN110571194B (zh) 半导体器件的制造方法
US20120220120A1 (en) Method for fabricating buried bit line in semiconductor device
US20200105537A1 (en) Semiconductor FinFET Device and Method
CN112151374A (zh) 半导体器件及其形成方法
CN117316874A (zh) 半导体结构的形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant