TWI748496B - 半導體結構及形成半導體結構的方法 - Google Patents

半導體結構及形成半導體結構的方法 Download PDF

Info

Publication number
TWI748496B
TWI748496B TW109118656A TW109118656A TWI748496B TW I748496 B TWI748496 B TW I748496B TW 109118656 A TW109118656 A TW 109118656A TW 109118656 A TW109118656 A TW 109118656A TW I748496 B TWI748496 B TW I748496B
Authority
TW
Taiwan
Prior art keywords
semiconductor
fin
dummy
fins
layer
Prior art date
Application number
TW109118656A
Other languages
English (en)
Other versions
TW202119622A (zh
Inventor
陳冠蓉
陳奕志
謝昇霖
林景彬
黃智睦
Original Assignee
台灣積體電路製造股份有限公司
大陸商台積電(南京)有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司, 大陸商台積電(南京)有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202119622A publication Critical patent/TW202119622A/zh
Application granted granted Critical
Publication of TWI748496B publication Critical patent/TWI748496B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種半導體結構包含主動半導體鰭、虛設半導體鰭、隔離結構及介電質帽蓋。主動半導體鰭具有第一高度。虛設半導體鰭與主動半導體鰭相鄰,並且具有小於第一高度的第二高度。隔離結構位於主動半導體鰭和虛設半導體鰭之間。介電質帽蓋位於虛設半導體鰭上方。介電質帽蓋與主動半導體鰭分離。

Description

半導體結構及形成半導體結構的方法
本公開有關於控制半導體鰭之關鍵尺寸的結構和方法。
半導體工業經歷了快速增長。在增長過程中,半導體器件的功能密度增加,而特徵尺寸或幾何形狀減小。已經開發了鰭式場效應電晶體(FinFET)以滿足對積體電路的持續縮小的需要以及對提高積體電路速度的不斷增長的需求。在FinFET中,溝道被形成為從基板和閘極的表面延伸的鰭形結構,其控制FinFET中圍繞溝道的側面的電流。FinFET架構提供了與平面FET對應物相比改進的器件靜電控制。
本公開的一個實施例,提供了一種半導體結構,包含:主動半導體鰭,具有第一高度;虛設半導體鰭,與主動半導體鰭相鄰,虛設半導體鰭具有小於第一高度的第二高 度;隔離結構,位於主動半導體鰭和虛設半導體鰭之間;以及介電質帽蓋,位於虛設半導體鰭上方,其中,介電質帽蓋與主動半導體鰭分離。
根據本公開的另一實施例,提供了一種半導體結構,包含:基板;多個主動半導體鰭,其中,多個主動半導體鰭中的每個主動半導體鰭具有第一高度;多個虛設半導體鰭,其中,多個虛設半導體鰭中的每個虛設半導體鰭具有小於第一高度的第二高度;多個隔離結構,位於基板上,其中,多個隔離結構中的每個隔離結構將多個主動半導體鰭中的相應的主動半導體鰭或多個虛設半導體鰭中的相應的虛設半導體鰭彼此分離;以及介電質帽蓋,位於多個虛設半導體鰭上方,其中,介電質帽蓋與多個主動半導體鰭間隔開。
本公開的又一實施例,提供了一種形成半導體結構的方法,包含:蝕刻半導體基板以形成多個半導體鰭;在多個半導體鰭之間的溝槽中形成淺溝槽隔離(STI)結構;凹陷多個半導體鰭中的至少一個半導體鰭以形成至少一個虛設半導體鰭;在至少一個虛設半導體鰭和多個半導體鰭中的未被凹陷的半導體鰭上方形成介電質帽蓋層;以及圖案化介電質帽蓋層以從除了至少一個虛設半導體鰭之外的多個半導體鰭中的未被凹陷的半導體鰭移除介電質帽蓋層。
100:FinFET
102:半導體基板
110:半導體鰭
120:閘極結構
130:STI結構
200:方法
202:操作
204:操作
206:操作
208:操作
210:操作
212:操作
214:操作
216:操作
218:操作
220:操作
222:操作
224:操作
300:半導體結構
302:半導體基板
310:圖案化遮罩層
310L:遮罩層
312:圖案化襯墊氧化物層
312L:襯墊氧化物層
314:圖案化硬遮罩層
314L:硬遮罩層
315:開口
316:心軸結構
316L:心軸材料層
320L:抗蝕劑層
322:圖案化平坦化層
322L:平坦化層
324:圖案化ARC層
324L:ARC層
326:圖案化光阻層
330:間隔件
340:半導體鰭
340a:第一虛設半導體鰭
340b:第二虛設半導體鰭
340c:主動半導體鰭
342:溝槽
350:隔離層
352:STI結構
360:介電質帽蓋
360L:帽蓋層
362:介電質襯墊
362L:介電質襯墊層
364:介電質硬遮罩
364L:介電質硬遮罩層
370:閘極結構
372:閘極介電質
374:閘極電極
376:閘極帽蓋
378:閘極間隔件
380:源極/汲極區域
D:距離
H:高度
H1a:高度
H1b:高度
H2a:高度
H2b:高度
H2c:高度
H2d:高度
S:間距
為讓當結合附圖閱讀時,從以下詳細描述中可以最 好地理解本公開。強調的是,根據慣例,附圖的各種特徵不一定按比例繪製。相反,為了清楚起見,可以任意放大或縮小各種特徵的尺寸和(一個或多個)空間關係。貫穿說明書和附圖,相同的附圖標記表示相同的特徵。
第1圖是根據一些實施例的FinFET的透視圖。
第2圖是根據一些實施例的用於製造半導體結構的方法的流程圖。
第3A圖是在半導體基板上形成遮罩層、心軸材料層和抗蝕劑層的堆疊之後的半導體結構的俯視圖。
第3B圖是沿線B-B’的第3A圖的半導體結構的截面圖。
第4A圖是根據一些實施例的在形成心軸結構之後的第3A圖的半導體結構的俯視圖。
第4B圖是沿線B-B’的第4A圖的半導體結構的截面圖。
第5A圖是根據一些實施例的在心軸結構的側壁上形成間隔件之後的第4A圖的半導體結構的俯視圖。
第5B圖是沿線B-B’的第5A圖的半導體結構的截面圖。
第6A圖是根據一些實施例的在形成圖案化遮罩層之後的第5A圖的半導體結構的俯視圖。
第6B圖是沿線B-B’的第6A圖的半導體結構的截面圖。
第7A圖是根據一些實施例的在形成半導體鰭之後的圖6A 的半導體結構的俯視圖。
第7B圖是沿線B-B的第7A圖的半導體結構的截面圖。
第8A圖是根據一些實施例的在形成隔離層之後的第7A圖半導體結構的俯視圖。
第8B圖是沿線B-B’的圖8A的半導體結構的截面圖。
第9A圖是根據一些實施例的在形成淺溝槽隔離結構之後的第8A圖的半導體結構的俯視圖。
第9B圖是沿線B-B’的第9A圖的半導體結構的截面圖。
第10A圖是根據一些實施例的在形成第一虛設半導體鰭之後的第9A圖的半導體結構的俯視圖。
第10B圖-第10D圖是沿線B-B’的第10A圖的半導體結構的截面圖。
第11A圖是根據一些實施例的在形成第二虛設半導體鰭之後的第10A圖的半導體結構的俯視圖。
第11B圖和第11C圖是沿線B-B’的第11A圖的半導體結構的截面圖。
第12A圖是根據一些實施例的在主動半導體鰭和虛設半導體鰭上方形成介電質帽蓋層之後的第11A圖的半導體結構的俯視圖。
第12B圖是沿線B-B’的第12A圖的半導體結構的截面圖。
第13A圖是根據一些實施例的在形成覆蓋虛設半導體鰭的介電質帽蓋之後的第12A圖的半導體結構的俯視圖。
第13B圖是沿線B-B’的第13A圖的半導體結構的截面圖。
第14A圖是根據一些實施例的在主動半導體鰭上方形成閘極結構和源極/汲極區域之後的第13A圖的半導體結構的俯視圖。
第14B圖是沿線B-B’的第14A圖的半導體結構的截面圖。
以下公開內容提供了用於實現所提供主題的不同特徵的許多不同的實施例或示例。下面描述了組件、值、操作、材料、佈置等的具體示例以簡化本公開。當然,這些僅僅是示例,而不是限制性的。預期其他組件、值、操作、材料、佈置等。例如,在以下描述中在第二特徵上方或上形成第一特徵可以包含其中第一和第二特徵以直接接觸被形成的實施例,並且還可以包含其中可以在第一和第二特徵之間形成附加特徵,使得第一和第二特徵可不直接接觸的實施例。另外,本公開可以在各種示例中重複參考數位和/或字母。該重複是出於簡單和清楚的目的,並且本身並不表示所討論的各種實施例和/或配置之間的關係。
此外,本文可以使用空間相對術語(例如,“下”、“之下”、“下方”、“之上”、“上方”等)以便於描述,以描述如圖所示的一個元件或特徵與另一個(或多個)元件或特徵的關係。除了圖中所示的取向之外,空間相對 術語還旨在包含使用或操作中的器件的不同取向。系統可以以其他方式來定向(旋轉90度或在其他取向上),並且同樣可以相應地解釋本文所使用的空間相對描述符。
第1圖是根據一些實施例的FinFET 100的透視圖。FinFET 100通常包含半導體基板102上方的多個半導體鰭110,以及半導體基板102上方並跨越半導體鰭110的閘極結構120。淺溝槽隔離(STI)結構130位於半導體鰭110之間以電絕緣半導體鰭110。
在積體電路中,在半導體基板的不同區域中形成具有不同鰭數量的FinFET。用於製造具有不同鰭數量的FinFET的製造技術是初始地在半導體基板中形成溝槽以限定在整個基板上均勻間隔的半導體鰭陣列,然後移除一些虛設鰭以在器件區域中限定主動半導體鰭。然後形成STI結構以將主動半導體鰭和虛設半導體鰭彼此分離和隔離。通常,製造STI結構涉及沉積介電質材料以填充主動半導體鰭和虛設半導體鰭之間的空間。
隨著FinFET被縮小以滿足不斷增加的性能和尺寸要求,鰭的寬度變得非常小,並且鰭間距也已顯著減小。減小的鰭間距使得在鰭之間填充介電質具有挑戰性。因此,在一些情況下,引入可流動介電質材料以提供半導體鰭之間的可縮放的、無缺陷的、高產率的介電質填充。在形成STI結構時,使用可流動化學氣相沉積(FCVD)製程來沉積可流動介電質材料以填充半導體鰭之間的間隙。在沉積可流動介電質膜之後,可流動介電質膜被固化並然後進 行退火以形成介電質層,例如,二氧化矽。可流動介電質膜通常在高溫下退火,例如,高於1000℃,以使膜緻密化,從而獲得所需的物理性質。
由於矽原子與製程室中的水蒸氣的反應,高溫退火消耗了主動半導體鰭中的矽原子,這進而導致鰭關鍵尺寸(CD)的收縮。具有不同鰭數量的不同器件區域中的主動半導體鰭經歷不同的可流動介電質負載效應,即不同器件區域中的鰭CD損耗是不同的。與較小體積的可流動介電質相比,相鄰鰭之間的較大體積的可流動介電質對鰭CD具有更顯著的影響。結果,不同器件區域中的主動半導體鰭的最終CD基於鰭密度而變化。不同器件區域中的鰭CD變化影響器件性能的一致性。
改進鰭CD控制在積體電路中提供更一致的器件性能。在一些實施例中,在移除非功能性虛設鰭之前形成STI結構,使得半導體基板上的所有半導體鰭在用於形成STI結構的可流動介電質材料的高溫退火期間經歷相同的介電質載入環境。通過在鰭切割階段之前對可流動介電質材料進行退火,避免了由於不同器件區域中的不同可流動介電質載入效應而引起的鰭CD收縮差異。更均勻的鰭CD有助於產生具有更一致器件性能的FinFET。
第2圖是根據本公開的一些實施例的用於製造半導體結構300的方法200的流程圖。第3A圖-第14B圖示出了根據第2圖的方法200構造的各種製造階段的半導體結構300的俯視圖和截面圖,其中,“A”圖表示俯視 圖,並且“B”圖表示沿相應“A”圖的B-B’線的截面圖。參考第3A圖-第14B圖描述半導體結構300。在一些實施例中,在方法200之前、期間或之後執行附加操作,或者替換或消除所描述的一些操作。在一些實施例中,將附加特徵添加到半導體結構300。在一些實施例中,替換或消除下面描述的一些特徵。本揭露所屬技術領域中具有通常知識者將理解,儘管利用以特定循序執行的操作討論了一些實施例,但是這些操作可以以另一邏輯循序執行。
參考第2圖,方法200包含操作202,其中,在半導體基板302上方形成堆疊層。第3A圖和第3B圖是在半導體基板302上方形成遮罩層310L、心軸材料層316L和抗蝕劑層320L的堆疊之後的半導體結構300的視圖。
參考第3A圖和第3B圖,在一些實施例中,半導體基板302是包含一種或多種半導體材料的半導體基板。在一些實施例中,半導體基板302包含矽、矽鍺、碳摻雜矽(Si:C)、碳化矽鍺、或其他合適的半導體材料。在一些實施例中,半導體基板302完全由矽組成。
在一些實施例中,半導體基板302包含形成在半導體基板的頂表面上的一個或多個磊晶層。在一些實施例中,一個或多個磊晶層在半導體基板302中引入應變以提高性能。例如,磊晶層包含與半導體基板的半導體材料不同的半導體材料,例如,覆蓋體矽的矽鍺層或覆蓋體矽鍺的矽層。在一些實施例中,結合在半導體基板302中的(一 個或多個)磊晶層通過選擇性磊晶生長來形成,例如,金屬有機氣相磊晶(MOVPE)、分子束磊晶(MBE)、氫化物氣相磊晶(HVPE)、液相磊晶(LPE)、金屬有機分子束磊晶(MOMBE)、或其組合。
在一些實施例中,半導體基板302是絕緣體上半導體(SOI)基板的主動層。在一些實施例中,SOI基板包含半導體層,例如,在絕緣體層上形成的矽層。在一些實施例中,絕緣體層是包含氧化矽或氧化矽鍺的掩埋氧化物(BOX)層。絕緣體層被設置在處理基板(handle substrate)上,例如,矽基板。在一些實施例中,使用通過注入氧(SIMOX)或晶圓鍵合進行分離來形成SOI基板。
在一些實施例中,半導體基板302包含通過諸如離子佈植和/或擴散之類的製程形成的各種摻雜區域。摻雜區域摻雜有p型和/或n型摻雜劑。術語“p型”是指向本質半導體添加產生價電子的缺陷的雜質。p型摻雜劑(即雜質)的實例包含但不限於硼、二氟化硼、鎵和銦。術語“n型”是指向本質半導體添加提供自由電子的雜質。n型摻雜劑(即雜質)的實例包含但不限於銻、砷和磷。
在半導體基板302上方形成遮罩層310L。遮罩層310L包含一個或多個介電質層,以在隨後的圖案化製程期間保護下面的半導體基板302。遮罩層310L是單層或多層。在一些實施例中,遮罩層310L具有包含襯墊氧化物層312L和硬遮罩層314L的多層結構。
襯墊氧化物層312L被形成為與半導體基板302直接接觸。襯墊氧化物層312L包含增強硬遮罩層314L和半導體基板302之間的粘附的材料。在一些實施例中,襯墊氧化物層312L包含介電質氧化物,例如,氧化矽。在一些實施例中,襯墊氧化物層312L使用沉積製程來形成,例如,化學氣相沉積(CVD)、等離子體增強化學氣相沉積CVD(PECVD)、物理氣相沉積(PVD)、或其他合適的沉積製程。替代地,襯墊氧化物層312L通過半導體基板302的表面部分的熱氧化來形成。在一些實施例中,襯墊氧化物層312L被形成為具有約5納米(nm)至約15nm的厚度。在一些情況下,如果襯墊氧化物層312L的厚度過小,則硬遮罩層314L和半導體基板302之間的粘附不足。另一方面,在一些情況下,如果襯墊氧化物層312L的厚度過大,則由於對襯墊氧化物層312L進行圖案化的不必要材料消耗和處理時間增加,而生產成本增加。
在襯墊氧化物層312L上方形成硬遮罩層314L。硬遮罩層314L用作用於蝕刻下面的半導體基板302的圖案化遮罩。在一些實施例中,硬遮罩層314L包含介電質氮化物,例如,氮化矽。在一些實施例中,利用CVD、PECVD、PVD、或其他合適的沉積製程形成硬遮罩層314L。硬遮罩層314L具有足以在蝕刻製程期間基於材料和蝕刻劑提供保護的厚度。在一些實施例中,硬遮罩層314L被形成為約20nm至約60nm的厚度。在一些情 況下,如果硬遮罩層314L的厚度過小,則在蝕刻製程期間未提供足夠的保護。另一方面,在一些情況下,如果硬遮罩層314L的厚度過大,則由於對襯墊氧化物層314L進行圖案化的不必要材料消耗和處理時間增加,而生產成本增加。
在硬遮罩層314L上方形成心軸材料層316L。心軸材料層316L用於製造心軸結構316(第4A圖和第4B圖),其可用於採用側壁圖像轉移(SIT)製程形成子光刻結構。心軸材料層316L包含相對於硬遮罩層314L的材料具有高蝕刻選擇性的材料。在一些實施例中,心軸材料層316L包含非晶矽、旋塗碳(SOC)、金剛石碳、非晶碳或其組合。在一些實施例中,心軸材料層316L利用CVD、PVD、旋塗、或其他合適的沉積製程形成。在一些實施例中,心軸材料層316L被形成為具有約50nm至約300nm的厚度。在一些情況下,如果心軸材料層316L的厚度過小,則在移除圖案化的抗蝕劑層期間心軸材料層316L被移除的風險增加。另一方面,在一些情況下,如果心軸材料層316L的厚度過大,則由於對光阻層進行圖案化的不必要材料消耗和處理時間增加,而生產成本增加。
在心軸材料層316L上方形成抗蝕劑層320L。在一些實施例中,抗蝕劑層320L是三層抗蝕劑,包含平坦化層322L、抗反射塗層(ARC)層324L和圖案化光阻層326。
平坦化層322L被形成為與心軸材料層316L直 接接觸。在一些實施例中,平坦化層322L是有機平坦化層(OPL),其能夠提供在其上形成ARC層324L的平坦化表面。在一些實施例中,平坦化層322L包含旋塗碳、類金剛石碳、聚亞芳基醚、或聚醯亞胺。在一些實施例中,平坦化層322L通過CVD、旋塗或其他合適的沉積製程來形成。平坦化層322L被形成為具有足以提供平坦化表面的厚度。在一些實施例中,平坦化層322L的厚度為約50nm至約300nm。在一些情況下,如果平坦化層322L的厚度過小,則平坦化層322L不能提供平坦化表面。另一方面,在一些情況下,如果平坦化層22L的厚度過大,則由於對平坦化層322L進行圖案化的不必要材料消耗和處理時間增加,而生產成本增加。
在平坦化層322L上形成ARC層324L。ARC層324L減少了光刻期間來自下層的光反射,以增加在圖案化光阻層326中形成的圖案的精度。在一些實施例中,ARC層324L包含無氮ARC(NFARC)材料,例如,氧化矽或碳摻雜氧化矽。NFARC材料減少敏感光阻中的抗蝕劑中毒。在一些實施例中,ARC層324L使用CVD、PVD、原子層沉積(ALD)、旋塗、或其他合適的沉積製程來形成。ARC層324L被形成為具有基於材料和波長提供足夠抗反射性質的厚度。在一些實施例中,ARC層324L的厚度為約20nm至約100nm。在一些情況下,如果ARC層324L的厚度過小,則ARC層324L不能充分地減少光反射,並且因此,在圖案化光阻層326中形成的圖 案的精度受到損害。另一方面,在一些情況下,如果ARC層324L的厚度過大,則由於對蝕刻ARC層324L的不必要材料消耗和處理時間增加,而生產成本增加。
在ARC層324L上方形成圖案化光阻層326。圖案化光阻層326用於限定通過ARC層324L和平坦化層322L轉移到心軸材料層316L的圖案。在一些實施例中,圖案化光阻層326包含多個平行線,其限定隨後形成的心軸結構316。在一些實施例中,通過以下製程形成圖案化光阻層326:在ARC層324L的頂表面上施加光阻層(未示出)、使用光遮罩(未示出)將光阻層曝光、以及根據利用顯影劑在光阻層中使用正光阻劑還是負光阻劑,移除光阻層的曝光或未曝光部分。
參考第2圖,方法200進行到操作204,其中,心軸材料層316L被圖案化以形成心軸結構316。第4A圖和第4B圖是根據一些實施例的在形成心軸結構316之後的第3A圖和第3B圖的半導體結構300的視圖。
參考第4A圖和第4B圖,心軸結構316具有基本上彼此平行的縱向軸線。圖案化光阻層326中的圖案被轉移到ARC層324L和平坦化層322L,從而形成圖案化ARC層324和圖案化平坦化層322。例如,通過至少一個蝕刻製程轉移圖案。所採用的蝕刻製程是非等向性蝕刻,例如,乾式蝕刻,但可以使用任何合適的蝕刻製程。在一些實施例中,乾式蝕刻是反應離子蝕刻(RIE)或等離子蝕刻。在一些實施例中,執行單個蝕刻製程以蝕刻ARC層 324L和平坦化層322L。在一些實施例中,採用兩個順序蝕刻製程來分別蝕刻ARC層324L和平坦化層322L。在形成圖案化ARC層324和圖案化平坦化層322之後,例如通過等離子焚化或濕式剝離來移除圖案化光阻層326。
接下來,使用圖案化ARC層324和圖案化平坦化層322作為蝕刻遮罩來蝕刻心軸材料層316L,從而形成心軸結構316。在一些實施例中,通過乾式蝕刻來圖案化心軸材料層316L,例如,RIE或等離子體蝕刻。
在形成心軸結構316之後,例如通過使用化學蝕刻劑的乾式蝕刻或濕式蝕刻來移除圖案化ARC層324和圖案化平坦化層322。
參考第2圖,方法200進行到操作206,其中,在遮罩層310L上方形成間隔件330。第5A圖和第5B圖是根據一些實施例的在形成間隔件330之後的第4A圖和第4B圖的半導體結構300的視圖。
參考第5A圖和第5B圖,在心軸結構316的相對側壁上形成間隔件330。在一些實施例中,通過在心軸結構316以及遮罩層310L的最頂表面(例如,硬遮罩層314L的頂表面)上方沉積間隔件層(未示出)來形成間隔件330。間隔件層包含相對於硬遮罩層314L和心軸結構316具有高蝕刻選擇性的材料,使得在間隔件層上執行的後續蝕刻製程不會侵蝕硬遮罩層314L和心軸結構316。在一些實施例中,間隔件層包含含金屬材料,例如,氮化鈦或氧化鈦。在一些實施例中,間隔件層包含介電質氧化 物,例如,氧化矽。在一些實施例中,間隔件層被共形地沉積在心軸結構316和硬遮罩層314L上方,使得間隔件層在硬遮罩層314L的頂表面上的厚度和間隔件層在心軸結構316的側壁上的厚度基本相同。間隔件層的厚度決定了由半導體基板302最終形成的半導體鰭的寬度。在一些實施例中,使用CVD、PVD、ALD或其他合適的沉積製程來沉積間隔件層。隨後蝕刻間隔件層以移除間隔件層的水平部分,而保留在心軸結構316的側壁上的間隔件層的垂直部分構成間隔件330。在一些實施例中,執行諸如RIE或等離子體蝕刻之類的非等向性蝕刻,以從半導體結構300的水平表面移除間隔件層。
在形成間隔件330之後,執行選擇性蝕刻製程以從間隔件330之間移除心軸結構316。在一些實施例中,執行諸如RIE或等離子體蝕刻之類的非等向性蝕刻,以對間隔件330和硬遮罩層314L選擇性地移除心軸結構316。在一些實施例中,應用等向性蝕刻(例如,使用蝕刻劑溶液的濕式蝕刻)以對間隔件330和硬遮罩層314L選擇性地移除心軸結構316。
參考第2圖,方法200進行到操作208,其中,對遮罩層310L進行圖案化以提供圖案化遮罩層210,其限定隨後形成的半導體鰭340(第7A圖和第7B圖)。第6A圖和第6B圖是根據一些實施例的在形成圖案化遮罩層310之後的第5A圖和第5B圖的半導體結構300的視圖。
參考第6A圖和第6B圖,使用間隔件330作為遮罩來對遮罩層310L進行圖案化,以形成圖案化遮罩層310。圖案化遮罩層310在半導體鰭340的形成期間覆蓋半導體基板302的部分。例如,為了形成圖案化遮罩層310,使用間隔件330作為蝕刻遮罩來通過至少一個蝕刻製程形成蝕刻硬遮罩層314L和襯墊氧化物層312L,在其中形成開口315以暴露半導體基板302的部分。在一些實施例中,執行單個非等向性蝕刻製程以移除未被間隔件330覆蓋的硬遮罩層314L和襯墊氧化物層312L的部分。在一些實施例中,依序執行非等向性蝕刻製程以分別移除未被間隔件330覆蓋的硬遮罩層314L和襯墊氧化物層312L的部分。在一些實施例中,非等向性蝕刻是乾式蝕刻,例如,RIE或等離子蝕刻。在一些實施例中,乾式蝕刻通過含氟氣體(例如,CF4、SF6、CH2F2、CHF3、和/或C2F6)、含氯氣體(例如,Cl2、CHCl3、CCl4、和/或BCl3)、含溴氣體(例如,HBr和/或CHBr3)、含氧氣體、含碘氣體、其他合適的氣體和/或等離子體、或其組合來實現。硬遮罩層314L的剩餘部分提供圖案化硬遮罩層314,並且襯墊氧化物層312L的剩餘部分提供圖案化襯墊氧化物層312。圖案化硬遮罩層314和圖案化襯墊氧化物層312一起構成圖案化遮罩層310。
在形成圖案化遮罩層310之後,通過蝕刻製程從圖案化硬遮罩層314的頂表面移除間隔件330,該蝕刻製程是非等向性蝕刻或等向性蝕刻。在一些實施例中,執行 使用CF4、CH2F4的等離子體或CF4和CH2F4的組合的乾式蝕刻以對圖案化硬遮罩層314、圖案化襯墊氧化物層312和半導體基板302選擇性地移除間隔件330。在一些實施例中,執行使用四甲基氫氧化銨(TMAH)或NH3的溶液的濕式蝕刻以對圖案化硬遮罩層314、圖案化襯墊氧化物層312和半導體基板302選擇性地移除間隔件330。
儘管在第3A圖-第6B圖中圖案化遮罩層310通過SIT製程來形成,但在一些實施例中,使用圖案化光阻層326作為蝕刻遮罩來直接對遮罩層310L進行圖案化,以形成圖案化遮罩層310。
參考第2圖,方法200進行到操作210,其中,蝕刻半導體基板302以形成多個半導體鰭340。第7A圖和第7B圖是在半導體基板302上形成半導體鰭340之後的第6A圖和第6B圖的半導體結構300的視圖。
參考第7A圖和第7B圖,使用圖案化遮罩層310作為蝕刻遮罩來蝕刻半導體基板302,以形成從半導體基板302的基部突出的多個半導體鰭340。在一些實施例中,使用非等向性蝕刻來蝕刻半導體基板302。在一些實施例中,執行諸如RCE或等離子體蝕刻之類的乾式蝕刻。蝕刻製程在半導體鰭340之間形成溝槽342,其中,隨後形成諸如淺溝槽隔離(STI)結構之類的隔離結構。
在一些實施例中,半導體鰭340被形成為具有均勻的尺寸和間隔。一個或多個半導體鰭340是虛設鰭,並 且將根據設計規範在以下操作中進行切割。在一些實施例中,每個半導體鰭340具有從約20nm到約200nm的範圍內高度H,以及從約5nm到約30nm的範圍內的寬度W。每個半導體鰭340與一個最近的相鄰半導體鰭340分離間隔S。在一些實施例中,相鄰的半導體鰭340之間的間隔為約20nm至約60nm。對於每個半導體鰭340,本公開還考慮了小於或大於前述範圍的其他高度、寬度和間距。儘管圖7B中的半導體鰭340具有基本上垂直的側壁,但在一些實施例中,半導體鰭340具有在底部比在頂部更寬的錐形形狀。
參考第2圖,方法200進行到操作212,其中,形成隔離層350以填充半導體鰭340之間的溝槽342。第8A圖和第8B圖是在形成隔離層350之後的第7A圖和第7B圖的半導體結構300的視圖。
參考第8A圖和第8B圖,在半導體基板302上方沉積隔離層350,填充相鄰的半導體鰭340和圖案化遮罩層310中的開口315之間的溝槽342。在一些實施例中,隔離層350包含二氧化矽、氮氧化矽、碳氮氧化矽、氟摻雜二氧化矽、碳摻雜二氧化矽、或其他合適的介電質材料。在一些實施例中,通過可流動化學氣相沉積(FCVD)製程或旋塗介電質(SOD)技術形成隔離層350。在FCVD或SOD製程期間,一種或多種可流動介電質材料被沉積在溝槽342內以形成可流動介電質材料膜。如其名稱所示,可流動介電質材料可以在沉積期間流動以填充具有高縱橫 比的間隙或空間。示例性可流動介電質材料包含但不限於矽酸鹽、矽氧烷、甲基倍半矽氧烷(MSQ)、氫倍半矽氧烷(HSQ)、全氫矽氮烷(TCPS)、全氫聚矽氮烷(PSZ)、原矽酸四乙酯(TEOS)、以及甲矽烷基胺,例如,三甲矽烷基胺(TSA)。可流動介電質材料通常被過量沉積以完全覆蓋圖案化遮罩層310。
在形成可流動介電質材料膜之後,使可流動介電質材料膜固化以使可流動介電質材料膜硬化。固化增加了可流動介電質材料膜的黏度。在一些實施例中,可流動介電質材料膜在含氧氣體(如含臭氧氣體)中固化。在一些實施例中,可流動介電質材料膜在約100℃至600℃的範圍內的溫度下固化。
隨後,對半導體結構300執行退火以使可流動介電質材料膜緻密化,從而形成隔離層350。在一些實施例中,退火在含氧氣體中進行。在一些實施例中,退火在約1000℃至約1200℃的範圍內的溫度下進行。在一些實施例中,退火是流退火製程。
由於半導體鰭340均勻地形成在半導體基板302上,因此半導體鰭340的寬度(CD)變化很小或沒有變化,因為半導體鰭340在可流動介電質材料的退火期間經歷相同的局部介電質環境。因此,在鰭切割製程之前對可流動介電質材料進行高溫退火有助於減少由於鰭數量載入效應而引起的不同器件區域中的鰭CD變化,這進而有助於減少器件性能變化。
在退火之後,執行平坦化製程(例如,化學機械拋光(CMP)製程)以移除任何多餘的介電質材料,使得隔離層350的頂表面與圖案化遮罩層310的頂表面共面。
參考第2圖,方法200進行到操作214,其中,形成STI結構352。第9A圖和第9B圖是形成STI結構352之後的第8A圖和第8B圖的半導體結構300的視圖。
參考第9A圖和第9B圖,隔離層350被凹陷以形成圍繞半導體鰭340的底部部分的STI結構352。在凹陷之後,STI結構352的頂表面位於半導體鰭340的頂表面下方。因此,半導體鰭340的較上部分在STI結構352形成之後被暴露。在一些實施例中,使用非等向性蝕刻來凹陷隔離層350。在一些實施例中,非等向性蝕刻是使用基於氟的化學物質(例如,CF4、SF6、CH2F2、CHF3和/或C2F6)的等離子體乾式蝕刻。非等向性蝕刻選擇性地移除隔離層350的介電質材料,但基本上不蝕刻半導體鰭340的半導體材料。
在形成STI結構352之後,從半導體鰭340的頂表面移除圖案化硬遮罩層314和圖案化襯墊氧化物層312。因此,半導體鰭340的頂表面被暴露。在一些實施例中,通過使用熱H3PO4的濕式蝕刻移除圖案化硬遮罩層314,並且使用稀釋的HF酸移除圖案化襯墊氧化物層312。在一些實施例中,通過CMP移除圖案化硬遮罩層314和圖案化襯墊氧化物層312。
參考第2圖,方法200前進到操作216,其中,形成第一虛設半導體鰭340a。第10A圖-第10D圖是根據一些實施例的在凹陷第一組半導體鰭340以形成第一虛設半導體鰭340a之後的第9A圖和第9B圖的半導體結構300的視圖。
參考第10A圖-第10D圖,執行第一鰭切割製程以移除突出高於STI結構352的第一組半導體鰭340的暴露部分,從而形成第一虛設半導體鰭340a。在沿X方向延伸每對相鄰的半導體鰭340之間,第一組半導體鰭340包含沿第一方向(例如,X方向)延伸的一些半導體鰭340,以及沿不同於第一方向的第二方向(例如,Y方向)延伸的整個半導體鰭340。在一些實施例中,通過在STI結構352和半導體鰭340上方施加第一遮罩層(未示出)並光刻圖案化第一遮罩層以形成圖案化第一遮罩層(未示出),來形成第一虛設半導體鰭340a。圖案化第一遮罩層包含暴露第一組半導體鰭340的開口,該第一組半導體鰭340隨後在第一鰭切割製程中被凹陷。在一些實施例中,第一遮罩層是光阻層。在一些實施例中,第一遮罩層是與(一個或多個)硬遮罩層結合的光阻層。隨後,通過諸如濕式蝕刻、乾式蝕刻或其組合之類的蝕刻來凹陷由圖案化第一遮罩層暴露的半導體鰭340。蝕刻選擇性地移除第一組半導體鰭340,但基本上不影響STI結構352。在一些實施例中,濕式蝕刻包含使用TMAH或HF/HNO3/CH3COOH的蝕刻劑溶液。在一些實施例中, 乾式蝕刻包含使用基於氯的化學物質(例如,Cl2、CHCl3、CCl4和/或BCl3)的偏置等離子體蝕刻。在一些實施例中,凹陷是使用C12與NF3的氣體的等向性蝕刻。在蝕刻第一組半導體鰭340之後,通過例如基於氧的等離子體蝕刻或灰化來移除圖案化第一遮罩層。
在一些實施例中,第一虛設半導體鰭340a被嵌入在STI結構352中,並且具有與STI結構352的頂表面基本上共面的頂表面。在一些實施例中,第一虛設半導體鰭340a的頂表面略高於或低於STI結構352的頂表面。在一些實施例中,第一虛設半導體鰭340a的頂表面基本上是平坦的,如第10B圖中所示。在一些實施例中,第一虛設半導體鰭340a的頂表面是彎曲的,例如,如第10C圖所示的凹入,或者如第10D圖中所示的凸出。在一些實施例中,半導體鰭340被凹陷,使得第一虛設半導體鰭340a的高度H1a、H1b獨立地為半導體鰭340的高度H的約17%至約20%。在一些實施例中,第一虛設半導體鰭340a的高度H1a、H1b為約15nm至約40nm。在一些實施例中,第一虛設半導體鰭340a的高度H1a和H1b基本相同。
參考第2圖,方法200進行到操作218,其中,形成第二虛設半導體鰭340b。第11A圖-第11C圖是根據一些實施例的在凹陷第二組半導體鰭340以形成第二虛設半導體鰭340b之後的第10A圖-第10D圖的半導體結構300的視圖。
參考第11A圖-第11C圖,執行第二鰭切割製程以移除突出高於STI結構352的一些半導體鰭340的暴露部分,從而形成第二虛設半導體鰭340b。在一些實施例中,通過在STI結構352、剩餘的半導體鰭340和第一虛設半導體鰭340a上方施加第二遮罩層(未示出)並且光刻圖案化第二遮罩層以形成圖案化第二遮罩層(未示出),來形成第二虛設半導體鰭340b。圖案化第二遮罩層包含暴露第二組半導體鰭340的開口,該第二組半導體鰭340隨後在第二鰭切割製程中被凹陷。在一些實施例中,第二遮罩層是光阻層。在一些實施例中,第二遮罩層是與(一個或多個)硬遮罩層結合的光阻層。隨後,通過諸如濕式蝕刻、乾式蝕刻或其組合之類的蝕刻來凹陷由圖案化第二遮罩層暴露的第二組半導體鰭340。蝕刻選擇性地移除第二組半導體鰭340,但基本上不影響STI結構352。在一些實施例中,濕式蝕刻包含使用TMAH或HF/HNO3/CH3COOH的蝕刻劑溶液。在一些實施例中,乾式蝕刻包含使用基於氯的化學物質(例如,Cl2、CHCl3、CCl4和/或BCl3)的偏置等離子體蝕刻。在一些實施例中,凹陷是使用Cl2與NF3的氣體的等向性蝕刻。在蝕刻第二組半導體鰭340之後,通過例如基於氧的等離子體蝕刻或灰化來移除圖案化第二遮罩層。
取決於蝕刻化學物質和蝕刻時間,在一些實施例中,第二虛設半導體鰭340b的頂表面形成凹入輪廓,如第11B圖所示。在一些實施例中,第二虛設半導體鰭340b 的頂表面基本上是平坦的,如第11C圖中所示。在一些實施例中並且如第11B圖所示,第二組半導體鰭340被凹陷,使得第二虛設半導體鰭340b的高度H2a、H2b、H2c和H2d為半導體鰭340的高度H的約6%至約16%。此外,由於用於形成第二虛設半導體鰭340b的較長蝕刻時間,第二虛設半導體鰭340b的高度H2a、H2b、H2c和H2d小於第一虛設半導體鰭340a的高度H1a、H2b。在一些實施例中,第二虛設半導體鰭340b的高度H2a、H2b、H2c和H2d為約3nm至約30nm。
在一些實施例中,用於使第二組半導體鰭340凹陷的蝕刻製程還蝕刻圍繞第二組半導體鰭340的STI結構352。結果,在形成第二虛設半導體鰭340b之後,圍繞第二虛設半導體鰭340b的STI結構352的頂表面位於圍繞未切割的一組半導體鰭340的STI結構352的頂表面下方。在一些實施例中,圍繞第二虛設半導體鰭340b的STI結構352的頂表面也具有凹陷輪廓。
儘管描述了兩種鰭切割製程,但鰭切割製程可以根據半導體結構300中的半導體鰭340的佈置以及現有的光刻技術來執行一次或多於兩次。較少的切割製程可減少製程時間。然而,在一些情況下,使用額外的切割製程來幫助確保虛設被正確切割。在(一個或多個)切割製程完成之後,剩餘的未切割的半導體340被稱為主動半導體340c。主動半導體340c在半導體結構300中具有功能。虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭 340b)在半導體結構300中不具有功能性,但使器件製程更均勻、更可再現,並且提高了製造產量。預期任何數量的第一虛設半導體鰭340a、第二虛設半導體鰭340b和主動半導體鰭340c。在一些實施例中,虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)的位置和數量是基於用於實現積體電路的設計規範的主動鰭的數量和主動元件的位置來確定的。
參考第2圖,方法200進行到操作220,其中,在主動半導體鰭340c和虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)上方形成介電質帽蓋層360L。第12A圖和第12B圖是根據一些實施例的在形成介電質帽蓋層360L之後的第11A圖-第11C圖的半導體結構300的視圖。
參考第12A圖和第12B圖,在主動半導體鰭340c、虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)和STI結構352上方形成介電質帽蓋層360L。在一些實施例中,介電質帽蓋層360L是單層並且包含介電質氧化物(例如,氧化矽)或介電質氮化物(例如,氮化矽)。在一些實施例中,介電質帽蓋層360L具有多層結構,包含介電質襯墊層362L和介電質硬遮罩層364L。
在虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)和主動半導體鰭340c的暴露表面上形成介電質襯墊層362L。在一些實施例中,介電質襯 墊層362L包含通過熱氧化製程形成的熱氧化物。在一些實施例中,介電質襯墊層362L包含氧化矽。在一些實施例中,使用共形沉積製程(例如,CVD、ALD或其他合適的沉積製程)形成介電質襯墊層362L。
在介電質襯墊層362L上方沉積介電質硬遮罩層364L。在一些實施例中,介電質硬遮罩層364L包含介電質氮化物,例如,氮化矽。在一些實施例中,通過共形沉積製程(例如,CVD、ALD或其他合適的沉積製程)沉積介電質硬遮罩層364L。
參考圖2,方法200進行到操作222,其中,形成覆蓋虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)的介電質帽蓋360。第13A圖和第13B圖是根據一些實施例的在形成介電質帽蓋360之後的第12A圖和第12B圖的半導體結構300的視圖。
參考第13A圖和第13B圖,覆蓋主動半導體鰭340c的介電質帽蓋層360L的部分被移除,形成覆蓋其中存在虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)的區域的介電質帽蓋360。在一些實施例中,介電質帽蓋360通過光刻和蝕刻製程形成。例如,首先在介電質帽蓋層360L的最頂表面(例如,介電質硬遮罩層364L的頂表面)上方形成光阻層(未示出)。對光阻層進行圖案化以提供圖案化光阻層(未示出)。圖案化光阻層包含開口(未示出),其暴露存在於主動半導體鰭340c上方的介電質硬遮罩層364L的部分。示例光刻 圖案化製程包含軟烘烤光阻層、遮罩對準、曝光、曝光後烘焙、顯影光阻層、漂洗和乾燥(例如,硬烘烤)。接下來,通過非等向性蝕刻移除介電質硬遮罩層364L的暴露部分。在一些實施例中,執行使用從含鹵素蝕刻劑產生的等離子體的乾式蝕刻來移除介電質硬遮罩層364L的暴露部分,該含鹵素蝕刻劑例如選自包含CF4、SF6、NF3、Cl2、CCl2F2、SiCl4、BCl2或其組合。在一些實施例中,採用例如至少一種含水蝕刻溶液的濕式蝕刻來移除介電質硬遮罩層364L的暴露部分,該含水蝕刻溶液包含檸檬酸(C6H8O7)、過氧化氫(H2O2)、硝酸(HNO3)、硫酸(H2SO4)、鹽酸(HCl)、乙酸酸(CH3CO2H)、氫氟酸(HF)、緩衝氫氟酸(BHF)、磷酸(H3PO4)、氟化銨(NH4F)氫氧化鉀(KOH)、乙二胺鄰苯二酚(EDP)、氫氧化四甲基銨(TMAH)或其組合。在一些實施例中,使用包含濕式和乾式蝕刻技術二者的蝕刻序列來蝕刻介電質硬遮罩層364L。在蝕刻之後,在虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)上方的介電質硬遮罩層364L的剩餘部分構成介電質硬遮罩364。如果在介電質硬遮罩層364L的蝕刻期間未被完全消耗,則在一些實施例中,圖案化光阻層在蝕刻介電質襯墊層362L之前例如通過灰化來移除。
接下來,移除由介電質硬遮罩364暴露的介電質襯墊層362L的部分以形成介電質襯墊362。在一些實施例中,通過非等向性蝕刻移除介電質襯墊層362L的暴露 部分。在一些實施例中,執行乾式蝕刻(例如,RIE)以對於主動半導體鰭340c的半導體材料選擇性的來選擇性地蝕刻介電質襯墊層362L的介電質材料。在一些實施例中,通過使用熱磷酸的濕式蝕刻來蝕刻介電質襯墊層362L。在蝕刻之後,在虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)上方的介電質襯墊層362L的剩餘部分構成介電質襯墊362。介電質襯墊362和介電質硬遮罩364一起限定介電質帽蓋360。
介電質帽蓋360完全覆蓋虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)。由於介電質帽蓋360的介電質性質,介電質帽蓋360有助於防止在隨後執行的源極/汲極磊晶生長期間半導體材料從虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)的頂表面磊晶生長。因此,介電質帽蓋360有助於防止來自虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)的磊晶生長,以合併虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)以及相鄰的主動半導體鰭340c。結果,減少或避免了由於合併相鄰的虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)以及主動半導體鰭340c而導致的FinFET短路所引起的FinFET的電流洩漏。
在一些實施例中,介電質帽蓋360被形成為具有半導體鰭340的高度H的約10%至30%的範圍內的厚度。在一些實施例中,介電質帽蓋的厚度為約5nm至約15nm。 如果介電質帽蓋360的厚度過小,則介電質帽蓋360不足以防止來自第一虛設半導體鰭340a及第二虛設半導體鰭340b的磊晶生長以及相鄰FinFET的短路。另一方面,如果介電質帽蓋360的厚度過大,則由於對介電質帽蓋層360L進行圖案化的不必要材料消耗和處理時間增加,而生產成本增加。
在一些實施例中,介電質帽蓋360的每個側壁與相應的最近的主動半導體鰭340c間隔開距離D。在一些實施例中,距離D是鰭間距S的約10%至約50%。在一些實施例中,距離D為約2nm至約30nm。在一些情況下,如果距離D過小,則介電質帽蓋360對形成在相鄰的主動半導體鰭340c上的FinFET的性能產生不利影響的風險增加。在一些情況下,如果距離D過大,則介電質帽蓋360在介電質帽蓋360的邊緣處暴露最外面的虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)的風險增加,這導致相鄰的虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)以及主動半導體鰭340c的磊晶合併。在一些實施例中,介電質帽蓋360的每個側壁與最外面的第一虛設半導體鰭340a的側壁對齊。
參考第2圖,方法200進行到操作224,其中,在相應的多組主動半導體鰭340c上形成閘極結構370和源極/汲極區域380。第14A圖和第14B圖是根據一些實施例的在形成閘極結構370和源極/汲極區域380之後的 第13A圖和第13B圖的半導體結構300的視圖。
參考第13A圖和第13B圖,在相應的主動半導體鰭340c的溝道部分上並跨相應的主動半導體鰭340c的溝道部分形成閘極結構370。每個閘極結構370包含閘極堆疊(閘極介電質372、閘極電極374及閘極帽蓋376)和圍繞閘極堆疊(閘極介電質372、閘極電極374及閘極帽蓋376)的閘極間隔件378。在一些實施例中,每個閘極堆疊包含閘極介電質372、閘極電極374和閘極帽蓋376。在一些實施例中,通過閘極堆疊層的沉積和圖案化形成閘極堆疊(閘極介電質372、閘極電極374及閘極帽蓋376)。在一些實施例中,通過光阻層(未示出)的施加、光阻層的光刻圖案化、光阻層中的圖案轉移到閘極堆疊層中、以及例如通過灰化移除圖案化光阻層來實現閘極堆疊層的圖案化。在一些實施例中,閘極堆疊(閘極介電質372、閘極電極374及閘極帽蓋376)的材料是隨後被移除的犧牲材料,並且在形成FinFET的源極/汲極區域380之後被包含功能性閘極介電質和功能性閘極電極的功能性閘極堆疊替換。
在主動半導體鰭340c上方形成閘極介電質372。在一些實施例中,閘極介電質372包含氧化矽、氮化矽、氮氧化矽、或其組合。替代地或另外地,在一些實施例中,閘極介電質372包含具有大於氧化矽的介電常數的高介電常數(高k)介電質材料。示例性高k介電質材料包含但不限於HfO2、ZrO2、La2O3、Al2O3、TiO2、SrTiO3、 LaAlO3和Y2O3。閘極介電質372接觸主動半導體鰭340c和虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)之間的STI結構352。
在閘極介電質372上方形成閘極電極374。閘極電極374包含任何合適的導電材料,例如,多晶矽、鎢、銅、鈦、鉭、鋁、鎳、釕、鈀、鉑、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金或其組合。在一些實施例中,閘極電極374接觸主動半導體鰭340c和虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)之間的STI結構352。在一些實施例中,閘極電極374通過閘極介電質372與STI結構352分離。
在閘極電極374上方形成閘極帽蓋376。在一些實施例中,閘極帽蓋376包含介電質材料,例如,氮化矽、碳化矽、氮氧化矽、碳氧化矽、其他合適的介電質材料、或其組合。
在閘極堆疊(閘極介電質372、閘極電極374及閘極帽蓋376)的側壁上形成閘極間隔件378。在一些實施例中,閘極間隔件378被用於偏移隨後形成的摻雜區域,例如,源極/汲極區域380。在一些實施例中,閘極間隔件378還用於設計或修改源極/汲極區域380。閘極間隔件378包含介電質材料,例如,氮化矽、碳化矽、氮氧化矽、碳氧化矽、其他合適的介電質材料、或其組合。在一些實施例中,閘極間隔件378例如通過沉積共形介電質材料層 並選擇性地移除所沉積的共形介電質材料層的水平部分來形成。所沉積的共形介電質材料層的剩餘垂直部分構成閘極間隔件378。
隨後在位於相應的閘極結構370的相對側上的每個主動半導體鰭340c的部分上形成源極區域和汲極區域(統稱為源極/汲極區域)。在一些實施例中,如第13A圖所示,源極/汲極區域380包含形成在每個主動半導體鰭340c的未被相應的閘極結構370覆蓋的部分上的凸起的源極/汲極區域。在一些實施例中,源極/汲極區域380中的凸起的源極/汲極區域通過選擇性磊晶生長來形成。在選擇性磊晶生長製程期間,所沉積的半導體材料僅在暴露的半導體區域上生長,例如,閘極結構370的相對側上的主動半導體鰭340c的部分的頂表面和側壁表面上,並且不在介電質表面上生長,例如,閘極帽蓋376、閘極間隔件378、STI結構352和介電質帽蓋360的表面。在一些實施例中,由於每個器件區域中的主動半導體鰭340c的緊密接近,每個器件區域中的源極/汲極區域380被合併以形成合併源極/汲極結構。因此,介電質帽蓋360的存在有助於防止半導體材料在虛設半導體鰭(包含第一虛設半導體鰭340a及第二虛設半導體鰭340b)上的磊晶生長,從而降低風險或防止半導體基板302的相鄰器件區域中的源極/汲極區域380的合併。
在一些實施例中,源極/汲極區域380的半導體材料(即含矽半導體材料和含鍺半導體材料)作為本質半導 體材料被沉積,或者通過原位摻雜來沉積。如果半導體材料作為本質半導體材料被沉積,則隨後利用離子佈植、氣相摻雜、或摻雜劑從犧牲摻雜劑源材料向外擴散來摻雜(非原位)凸起的源極/汲極區域。對於n型FET,凸起的源極/汲極區域摻雜有n型摻雜劑,而對於p型FET,凸起的源極/汲極區域摻雜有p型摻雜劑。示例性n型摻雜劑包含但不限於磷、砷和銻。示例性p型摻雜劑包含但不限於鋁、硼、鎵和銦。如果採用非原位摻雜,則在一些實施例中,離子佈植或氣相摻雜還將摻雜劑引入到凸起的源極/汲極區域下方的主動半導體鰭340c的部分中。每個主動半導體鰭340c內的所得摻雜部分(未示出)構成平面源極/汲極區域。
在一些實施例中,半導體結構300包含通過後續製程形成的附加特徵。例如,後續製程進一步在半導體基板302上方形成各種觸點/通孔/線以及多層互連特徵(例如,金屬層和層間介電質),其被配置為連接半導體結構300的各種特徵或結構。例如,多層互連包含垂直互連,例如,傳統的通孔或觸點,以及水平互連,例如,金屬線。各種互連特徵由各種導電材料實現,包含銅、鎢、鈷和/或矽化物。在一些實施例中,鑲嵌和/或雙鑲嵌製程被用於形成鈷相關的多層互連結構。
第一實施例是關於一種半導體結構,包含:主動半導體鰭,具有第一高度;虛設半導體鰭,具有小於第一高度的第二高度;隔離結構,位於主動半導體鰭和虛設半導 體鰭之間;以及介電質帽蓋,位於多個虛設半導體鰭上方,其中,介電質帽蓋與多個主動半導體鰭分離。
第二實施例是關於第一實施例中所述的半導體結構,其中,介電質帽蓋完全覆蓋虛設半導體鰭的頂表面。
第三實施例是關於第一實施例中所述的半導體結構,其中,介電質帽蓋覆蓋隔離結構與虛設半導體鰭的一部份。
第四實施例是關於第一實施例中所述的半導體結構,其中,介電質帽蓋包含介電質襯墊和介電質硬遮罩。
第五實施例是關於第四實施例中所述的半導體結構,其中,介電質襯墊包含介電質氧化物。
第六實施例是關於第四實施例中所述的半導體結構,其中,介電質硬遮罩包含介電質氮化物。
第七實施例是關於第一實施例中所述的半導體結構,其中,介電質帽蓋和主動半導體鰭之間的距離是主動半導體鰭和虛設半導體鰭之間的間隔的約10%至50%。
第八實施例是關於第一實施例中所述的半導體結構,其中,介電質帽蓋具有約5nm至15nm的厚度。
第九實施例是關於第一實施例中所述的半導體結構,所述的半導體結構還包含:閘極結構,在主動半導體鰭上方延伸。
第十實施例是關於第九實施例中所述的半導體結構,所述的半導體結構還包含:源極/汲極區域,位於主動半導體鰭的在閘極結構的相對側上的部分上方。
第十一實施例是關於一種半導體結構,包含基板;多個主動半導體鰭,其中,多個主動半導體鰭中的每個主動半導體鰭具有第一高度;半導體結構還包含多個虛設半導體鰭,其中,多個虛設半導體鰭中的每個虛設半導體鰭具有小於第一高度的第二高度;多個隔離結構,位於基板上,其中,多個隔離結構中的每個隔離結構將多個主動半導體鰭中的相應的主動半導體鰭或多個虛設半導體鰭中的相應的虛設半導體鰭彼此分離;以及介電質帽蓋,位於多個虛設半導體鰭上方,其中,介電質帽蓋與多個主動半導體鰭間隔開。
第十二實施例是關於第十一實施例中所述的半導體結構,其中,多個虛設半導體鰭的頂表面形成凹形輪廓。
第十三實施例是關於第十一實施例中所述的半導體結構,其中,多個虛設半導體鰭中的至少一個虛設半導體鰭具有與多個虛設半導體鰭中的相鄰的虛設半導體鰭的高度不同的高度。
第十四實施例是關於一種形成半導體結構的方法,包含:蝕刻半導體基板以形成多個半導體鰭;在多個半導體鰭之間的溝槽中形成淺溝槽隔離(STI)結構;凹陷多個半導體鰭中的至少一個半導體鰭以形成至少一個虛設半導體鰭;在至少一個虛設半導體鰭和多個半導體鰭中的未被凹陷的半導體鰭上方形成介電質帽蓋層;以及圖案化介電質帽蓋層以從除了至少一個虛設半導體鰭之外的多個半導體鰭中的未被凹陷的半導體鰭移除介電質帽蓋層。
第十五實施例是關於第十四實施例中所述的方法,其中凹陷至少一個半導體鳍包含:移除至少一個半導體鰭的突出高於STI結構的一部分。
第十六實施例是關於第十四實施例中所述的方法,其中,形成STI結構包含:沉積可流動介電質材料以填充多個半導體鰭之間的溝槽;對可流動介電質材料進行退火以形成隔離層,以及凹陷隔離層以形成STI結構,其中,凹陷隔離層暴露了多個半導體鰭中的每個半導體鰭的一部分。
第十七實施例是關於第十四實施例中所述的方法,其中,形成介電質帽蓋包含:在多個半導體鰭中的未被凹陷的半導體鰭以及至少一個虛設半導體鰭的經暴露表面上方形成介電質襯墊層,並在介電質襯墊層上方形成介電質硬遮罩層。
第十八實施例是關於第十七實施例中所述的方法,其中,形成介電質襯墊層包含:使用化學氣相沉積(CVD)或原子層沉積(ALD)來沉積介電質氧化物層。
第十九實施例是關於第十七實施例中所述的方法,其中,形成介電質層包含:使用熱氧化製程來形成熱氧化物層。
第二十實施例是關於第十四實施例中所述的方法,其中,凹陷至少一個半導體鰭包含:凹陷多個半導體鰭的第一子集以形成多個第一虛設半導體鰭,並且凹陷多個半導體鰭的第二子集以形成多個第二虛設半導體鰭。
本說明書的一個方面涉及半導體結構。該半導體結構包含:主動半導體鰭,具有第一高度;虛設半導體鰭,與主動半導體鰭相鄰並具有小於第一高度的第二高度;隔離結構,在主動半導體鰭和虛設半導體鰭之間;以及介電質帽蓋,在虛設半導體鰭上方,其中,介電質帽蓋與主動半導體鰭分離。在一些實施例中,介電質帽蓋完全覆蓋至少一個虛設半導體鰭的頂表面。在一些實施例中,介電質帽蓋覆蓋隔離結構的與虛設半導體鰭相鄰的一部分。在一些實施例中,介電質帽蓋包含介電質襯墊和介電質硬遮罩。在一些實施例中,介電質襯墊包含介電質氧化物。在一些實施例中,介電質硬遮罩包含介電質氮化物。在一些實施例中,介電質帽蓋和主動半導體鰭之間的距離是主動半導體鰭和虛設半導體鰭之間的間隔的約10%至約50%。在一些實施例中,介電質帽蓋具有約5nm至約15nm的厚度。在一些實施例中,半導體結構還包含閘極結構,在主動半導體鰭上方延伸。在一些實施例中,半導體結構還包含源極/汲極區域,在主動半導體鰭的在閘極結構的相對側上的部分上方。
本說明書的另一方面涉及半導體結構。半導體結構包含基板。半導體結構還包含多個主動半導體鰭。多個主動半導體鰭中的每個主動半導體鰭具有第一高度。半導體結構還包含多個虛設半導體鰭。多個虛設半導體鰭中的每個虛設半導體鰭具有小於第一高度的第二高度。半導體結構還包含在基板上的多個隔離結構。多個隔離結構中的每 個隔離結構將多個主動半導體鰭中的相應的主動半導體鰭或多個虛設半導體鰭中的相應的虛設半導體鰭彼此分離。半導體結構還包含在多個虛設半導體鰭上方的介電質帽蓋。介電質帽蓋與多個主動半導體鰭間隔開。在一些實施例中,多個虛設半導體鰭的頂表面形成凹形輪廓。在一些實施例中,多個虛設半導體鰭中的至少一個虛設半導體鰭具有與多個虛設半導體鰭中的相鄰的虛設半導體鰭的高度不同的高度。
本說明書的又一方面涉及一種形成半導體結構的方法。該方法包含:蝕刻半導體基板以形成多個半導體鰭;在多個半導體鰭之間的溝槽中形成淺溝槽隔離(STI)結構;凹陷多個半導體鰭中的至少一個半導體鰭以形成至少一個虛設半導體鰭;在該至少一個虛設半導體鰭和該多個半導體鰭中的未被凹陷的半導體鰭上方形成介電質帽蓋層;以及圖案化該介電質帽蓋層以從除了至少一個虛設半導體鰭之外的該多個半導體鰭中的未被凹陷的半導體鰭移除介電質帽蓋層。在一些實施例中,凹陷至少一個半導體鰭包含移除至少一個半導體鰭的突出高於STI結構的一部分。在一些實施例中,形成STI結構包含沉積可流動介電質材料以填充多個半導體鰭之間的溝槽,對可流動介電質材料進行退火以形成隔離層,以及凹陷隔離層以形成STI結構。凹陷隔離層暴露了多個半導體鰭中的每個半導體鰭的一部分。在一些實施例中,形成介電質帽蓋層包含在多個半導體鰭中的未被凹陷的半導體鰭以及至少一個虛設半導體鰭 的暴露表面上方形成介電質襯墊層,並在介電質襯墊層上方形成介電質硬遮罩層。在一些實施例中,形成介電質襯墊層包含使用化學氣相沉積(CVD)或原子層沉積(ALD)來沉積介電質氧化物層。在一些實施例中,形成介電質襯墊層包含使用熱氧化製程來形成熱氧化物層。在一些實施例中,凹陷至少一個半導體鰭包含凹陷多個半導體鰭的第一子集以形成多個第一虛設半導體鰭,並且凹陷多個半導體鰭的第二子集以形成多個第二虛設半導體鰭。
以上概述了若干實施例的特徵,使得本領域技術人員可以更好地理解本公開的各方面。本領域技術人員應當理解,他們可以容易地使用本公開作為設計或修改其他製程和結構以實現本文介紹的實施例的相同目的和/或實現本文介紹的實施例的相同優點的基礎。本領域技術人員還應該認識到,這樣的等同構造不脫離本公開的精神和範圍,並且他們可以在不脫離本公開的精神和範圍的情況下在本文中進行各種改變、替換和變更。
100:FinFET
102:半導體基板
110:半導體鰭
120:閘極結構
130:STI結構

Claims (10)

  1. 一種半導體結構,包含:一第一半導體鰭,具有一第一高度;一第二半導體鰭,具有該第一高度;一第三半導體鰭,位於該第一半導體鰭及該第二半導體鰭之間,該第三半導體鰭具有小於該第一高度的一第二高度;一第一隔離結構,位於該第一半導體鰭和該第三半導體鰭之間;一第二隔離結構,位於該第二半導體鰭和該第三半導體鰭之間;一介電質帽蓋,位於該第三半導體鰭上方,其中,該介電質帽蓋與該第一半導體鰭分離;一第一閘極結構,位於該第一半導體鰭上方;以及一第二閘極結構,位於該第二半導體鰭上方,其中該第一閘極結構與該第二閘極結構不連續。
  2. 如請求項1所述的半導體結構,其中,該介電質帽蓋完全覆蓋該第三半導體鰭的頂表面。
  3. 如請求項1所述的半導體結構,其中,該介電質帽蓋覆蓋該第一隔離結構的與該第三半導體鰭相鄰的一部分。
  4. 如請求項1所述的半導體結構,其中,該介電質帽蓋包含一介電質襯墊和一介電質硬遮罩。
  5. 一種半導體結構,包含:一基板;多個主動半導體鰭,其中,該多個主動半導體鰭中的每個主動半導體鰭具有一第一高度;多個虛設半導體鰭,其中,該多個虛設半導體鰭中的每個虛設半導體鰭具有小於該第一高度的一第二高度,該多個虛設半導體鰭的頂表面形成凹形輪廓;多個隔離結構,位於該基板上,其中,該多個隔離結構中的每個隔離結構將該多個主動半導體鰭中的相應的主動半導體鰭或該多個虛設半導體鰭中的相應的虛設半導體鰭彼此分離;以及一介電質帽蓋,位於該多個虛設半導體鰭上方,其中,該介電質帽蓋與該多個主動半導體鰭間隔開。
  6. 如請求項5所述之半導體結構,其中,該介電質帽蓋具有介於5nm至15nm的一厚度。
  7. 如請求項5所述之半導體結構,其中,該多個虛設半導體鰭中的至少一個虛設半導體鰭具有與該多個虛設半導體鰭中的相鄰的虛設半導體鰭的高度不同的高度。
  8. 一種形成半導體結構的方法,包含:蝕刻一半導體基板以形成多個半導體鰭;在該多個半導體鰭之間的溝槽中形成淺溝槽隔離(STI)結構;凹陷該多個半導體鰭中的至少一個半導體鰭以形成至少一個虛設半導體鰭;在該至少一個虛設半導體鰭和該多個半導體鰭中的未被凹陷的半導體鰭上方形成介電質帽蓋層;以及圖案化該介電質帽蓋層以從除了該至少一個虛設半導體鰭之外的該多個半導體鰭中的未被凹陷的半導體鰭移除該介電質帽蓋層。
  9. 如請求項8所述之方法,其中,形成該STI結構包含:沉積可流動介電質材料以填充該多個半導體鰭之間的該溝槽;對該可流動介電質材料進行退火以形成隔離層;以及凹陷該隔離層以形成該STI結構,其中,凹陷該隔離層暴露了該多個半導體鰭中的每個半導體鰭的一部分。
  10. 如請求項8所述之形成半導體結構之方法,其中,凹陷該至少一個半導體鰭包含:凹陷該多個半導體鰭的第一子集以形成多個第一虛設 半導體鰭;以及凹陷該多個半導體鰭的第二子集以形成多個第二虛設半導體鰭。
TW109118656A 2019-08-30 2020-06-03 半導體結構及形成半導體結構的方法 TWI748496B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201910813994.1A CN112447708A (zh) 2019-08-30 2019-08-30 用于改进的鳍临界尺寸控制的结构和方法
CN201910813994.1 2019-08-30

Publications (2)

Publication Number Publication Date
TW202119622A TW202119622A (zh) 2021-05-16
TWI748496B true TWI748496B (zh) 2021-12-01

Family

ID=74679504

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109118656A TWI748496B (zh) 2019-08-30 2020-06-03 半導體結構及形成半導體結構的方法

Country Status (3)

Country Link
US (1) US11145760B2 (zh)
CN (1) CN112447708A (zh)
TW (1) TWI748496B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11316029B2 (en) * 2020-04-15 2022-04-26 International Business Machines Corporation Sacrificial fin for contact self-alignment

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201719747A (zh) * 2015-09-04 2017-06-01 台灣積體電路製造股份有限公司 半導體元件與其製造方法
US20170207217A1 (en) * 2015-12-16 2017-07-20 Imec Vzw Finfet having locally higher fin-to-fin pitch
US20170278947A1 (en) * 2016-03-22 2017-09-28 United Microelectronics Corp. Semiconductor fin structure and method of forming the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9337258B2 (en) * 2013-12-20 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
KR102582670B1 (ko) * 2018-07-13 2023-09-25 삼성전자주식회사 반도체 장치
US20200135873A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Device variation control of vertical transport fin field effect transistor devices by selective oxide deposition for shallow trench isolation formation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201719747A (zh) * 2015-09-04 2017-06-01 台灣積體電路製造股份有限公司 半導體元件與其製造方法
US20170207217A1 (en) * 2015-12-16 2017-07-20 Imec Vzw Finfet having locally higher fin-to-fin pitch
US20170278947A1 (en) * 2016-03-22 2017-09-28 United Microelectronics Corp. Semiconductor fin structure and method of forming the same

Also Published As

Publication number Publication date
TW202119622A (zh) 2021-05-16
US11145760B2 (en) 2021-10-12
CN112447708A (zh) 2021-03-05
US20210066491A1 (en) 2021-03-04

Similar Documents

Publication Publication Date Title
US11239072B2 (en) Cut metal gate process for reducing transistor spacing
US9704974B2 (en) Process of manufacturing Fin-FET device
TWI646685B (zh) 半導體裝置及其製造方法
US10707132B2 (en) Method to recess cobalt for gate metal application
TWI584464B (zh) 包括鰭式結構的半導體裝置及其製造方法
TWI798709B (zh) 半導體結構及其形成方法
TW201926430A (zh) 半導體裝置結構的形成方法
TWI724611B (zh) 積體電路裝置及其形成方法
TW202018764A (zh) 積體電路結構的形成方法
TW202141803A (zh) 半導體裝置及其製造方法
TWI824500B (zh) 半導體結構及其形成方法
TW201913751A (zh) 半導體元件及其形成方法
TW202201558A (zh) 製造半導體裝置的方法
TWI748496B (zh) 半導體結構及形成半導體結構的方法
CN105762187B (zh) 半导体器件及其制造方法
TW202303963A (zh) 半導體裝置
TWI802402B (zh) 半導體裝置的形成方法
TWI802315B (zh) 半導體裝置的形成方法
KR102473596B1 (ko) 반도체 FinFET 디바이스 및 방법
KR102224831B1 (ko) 반도체 FinFET 디바이스 및 방법
US20230402521A1 (en) Semiconductor device structure and methods of forming the same
US20240096994A1 (en) Multiple gate patterning methods towards future nanosheet scaling
TW202324539A (zh) 半導體裝置及其形成方法
TW202412184A (zh) 半導體裝置及其製造方法
TW202310287A (zh) 半導體裝置