TWI798709B - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TWI798709B
TWI798709B TW110119827A TW110119827A TWI798709B TW I798709 B TWI798709 B TW I798709B TW 110119827 A TW110119827 A TW 110119827A TW 110119827 A TW110119827 A TW 110119827A TW I798709 B TWI798709 B TW I798709B
Authority
TW
Taiwan
Prior art keywords
source
drain
region
etch stop
contact
Prior art date
Application number
TW110119827A
Other languages
English (en)
Other versions
TW202201556A (zh
Inventor
黃玉蓮
王冠人
傅勁逢
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201556A publication Critical patent/TW202201556A/zh
Application granted granted Critical
Publication of TWI798709B publication Critical patent/TWI798709B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

在一實施例中,結構包含:接觸蝕刻停止層,位於基底上方;鰭,延伸通過接觸蝕刻停止層;磊晶源極/汲極區,位於鰭中,磊晶源極/汲極區延伸通過接觸蝕刻停止層;矽化物,接觸磊晶源極/汲極區的上方刻面;源極/汲極接點,接觸矽化物、磊晶源極/汲極區的下方刻面和接觸蝕刻停止層的第一表面;以及層間介電層,圍繞源極/汲極接點,層間介電層接觸接觸蝕刻停止層的第一表面。

Description

半導體結構及其形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體結構及其形成方法。
半導體裝置用於各種電子應用中,例如個人電腦、手機、數位相機和其他電子設備。半導體裝置的製造一般透過依序在半導體基底上方沉積絕緣層或介電層、導電層和半導體材料層,並透過使用微影製程將各種材料層圖案化,以形成半導體基底上的電路組件和元件。
半導體工業透過持續降低最小部件(feature)的尺寸,持續改善各種電子組件(例如電晶體、二極體、電阻、電容等等)的集成密度,使得更多的組件集成於既定面積中。然而,當降低最小部件的尺寸,出現了應解決的附加問題。
在一些實施例中,提供半導體結構的形成方法,此方法包含在鰭中蝕刻源極/汲極凹口,源極/汲極凹口延伸通過接觸蝕刻停止層;在源極/汲極凹口中成長磊晶源極/汲極區;在磊晶源極/汲極區和接觸蝕刻停止層上沉積層間介電層;以等向性蝕刻來蝕刻通過層間介電層的開口,等向性蝕刻移除層間介電層在磊晶源極/汲極區下方的部分,以暴露出接觸蝕刻停止層和磊晶源極/汲極區的下方刻面;以及在開口中形成源極/汲極接點,源極/汲極接點沿磊晶源極/汲極區的下方刻面延伸。
在一些其他實施例中,提供半導體結構,半導體結構包含隔離區,位於基底上;接觸蝕刻停止層,位於隔離區上;第一鰭,延伸通過接觸蝕刻停止層和隔離區;第二鰭,延伸通過接觸蝕刻停止層和隔離區;磊晶源極/汲極區,在第一鰭和第二鰭中,磊晶源極/汲極區設置於接觸蝕刻停止層的第一部分上方,接觸蝕刻停止層的第一部分設置於第一鰭與第二鰭之間;層間介電層,位於磊晶源極/汲極區和接觸蝕刻停止層的第二部分上;以及源極/汲極接點,延伸通過層間介電層,源極/汲極接點接觸接觸蝕刻停止層的第二部分和磊晶源極/汲極區的下方刻面。
在另外一些實施例中,提供半導體結構,半導體結構包含接觸蝕刻停止層,位於基底上方;鰭,延伸通過接觸蝕刻停止層;磊晶源極/汲極區,位於鰭中,磊晶源極/汲極區延伸通過接觸蝕刻停止層;金屬半導體合金區,接觸磊晶源極/汲極區的上方刻面;源極/汲極接點,接觸金屬半導體合金區、磊晶源極/汲極區的下方刻面和接觸蝕刻停止層的第一表面;以及層間介電層,圍繞源極/汲極接點,層間介電層接觸接觸蝕刻停止層的第一表面。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
依據一些實施例,在形成鰭式場效電晶體的磊晶源極/汲極區之前,形成接觸蝕刻停止層(contact etch stop layer,CESL)。舉例來說,在鰭後製製程中形成鰭式場效電晶體的鰭之前,可沉積接觸蝕刻停止層。由於在接觸蝕刻停止層之後形成磊晶源極/汲極區,因此接觸蝕刻停止層位於磊晶源極/汲極區的刻面之下。在後續接點形成製程期間,可過蝕刻上方的層間介電(inter-layer dielectric,ILD)層,以在不損壞下方淺溝槽隔離(shallow trench isolation,STI)區的情況下形成接觸開口。在接點形成期間過蝕刻層間介電層有助於移除層間介電層在磊晶源極/汲極區之下的部分。移除層間介電層的這些部分允許暴露出磊晶源極/汲極區的更多表面,進而增加可用於將後續形成連接至磊晶源極/汲極區的接點的表面面積。
第1圖顯示依據一些實施例之簡化的鰭式場效電晶體(Fin Field-Effect Transistors,FinFETs)的三維視圖。為了清楚顯示,省略了鰭式場效電晶體的一些其他部件(以下討論)。顯示的鰭式場效電晶體以一種方式電性耦接,作為一個電晶體或多個電晶體(例如四個電晶體)操作。
鰭式場效電晶體包含從基底50延伸的鰭62。淺溝槽隔離區64設置於基底50上方,且鰭62突出於相鄰的淺溝槽隔離區64之上。雖然個別描述/顯示淺溝槽隔離區64和基底50,但是本文所用的術語“基底”可單指半導體基底或包含隔離區的半導體基底。此外,雖然顯示鰭62作為基底50的單一、連續的材料,但是鰭62/或基底50可包含單一材料或複數個材料。在本文中,鰭62可指在相鄰的淺溝槽隔離區64之間延伸的部分。
閘極結構90在鰭62的通道區上方。閘極結構90包含閘極介電質92和閘極電極94。閘極介電質92係沿鰭62的側壁和頂表面延伸,而閘極電極94在閘極介電質92上方。磊晶源極/汲極區84(有時簡稱為源極/汲極區)設置於鰭62相對於閘極介電質92和閘極電極94的兩側上。閘極間隙壁80將磊晶源極/汲極區84與閘極結構90隔開。在形成多個電晶體的實施例中,可在各種電晶體之間共用磊晶源極/汲極區84。在由多個鰭62形成一個電晶體的實施例中,可例如透過磊晶成長合併磊晶源極/汲極區84或透過以相同的源極/汲極接點耦接磊晶源極/汲極區84來電性耦接相鄰的磊晶源極/汲極區84。一個或多個層間介電(ILD)層(以下進一步描述)在磊晶源極/汲極區84及/或閘極電極94上方,形成通過層間介電層連接至磊晶源極/汲極區84和閘極電極94的接點(以下進一步描述)。
第1圖更顯示用於之後圖式的參考剖面。剖面A-A為沿鰭62的縱軸且在例如鰭式場效電晶體的磊晶源極/汲極區84之間的電流方向的方向。剖面B-B垂直於剖面A-A,並延伸通過鰭式場效電晶體的磊晶源極/汲極區84。為了清楚起見,後續圖式參考這些參考剖面。
在使用閘極後製(gate-last)製程形成的鰭式場效電晶體的背景下討論本文描述的一些實施例。在其他實施例中,可使用閘極先製(gate-first)製程。再者,一些實施例考慮了用於平面裝置中的方面,例如平面場效電晶體。
第2-6圖為依據一些實施例之製造鰭式場效電晶體的中間階段的三維視圖。第2-6圖顯示相似於第1圖的三維結構,除了第2-6圖顯示三個閘極結構。
在第2圖中,提供基底50。基底50可為半導體基底,例如塊狀(bulk)半導體、絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底或類似物,基底50可為摻雜(例如摻雜p型或n型摻雜物)或未摻雜。基底50可為晶圓,例如矽晶圓。一般來說,絕緣層上覆半導體基底為形成於絕緣層上的半導體材料層。絕緣層可為例如埋置氧化(buried oxide,BOX)層、氧化矽層或類似物。絕緣層提供於基底上,一般為矽基底或玻璃基底。也可使用其他基底,例如多層或漸變(gradient)基底。在一些實施例中,基底50的半導體材料可包含矽、鍺、化合物半導體(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)或前述之組合。
基底50具有區域50N和區域50P。區域50N可用於形成n型裝置,例如N型金屬氧化物半導體(n-type metal oxide semiconductor,NMOS)電晶體(例如n型鰭式場效電晶體)。區域50P可用於形成p型裝置,例如P型金屬氧化物半導體(p-type metal oxide semiconductor,PMOS)電晶體(例如p型鰭式場效電晶體)。區域50N可與區域50P物理隔開,且任何數量的裝置部件(例如其他主動裝置、摻雜區、隔離結構等)可設置於區域50N與區域50P之間。
接著,在基底50上形成介電層52。以下進一步討論,將介電層52圖案化,以形成淺溝槽隔離區。介電層52可由氧化物(例如氧化矽)、氮化物(例如氮化矽)、類似物或前述之組合形成,且可透過化學氣相沉積(chemical vapor deposition, CVD)、高密度電漿化學氣相沉積(high density plasma CVD,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)(例如在遠端電漿系統中的基於化學氣相沉積的材料沉積,並後固化使其轉變為另一材料,例如氧化物)、類似方法或前述之組合形成。可使用透過任何合適的製程形成其他絕緣材料。在一實施例中,介電層52為透過可流動化學氣相沉積製程形成的氧化矽。雖然顯示的介電層52為單一層,但是一些實施例可使用多層。舉例來說,在一些實施例中,介電層52包含襯墊子層和填充物子層。可先沿基底50的頂表面形成襯墊子層,而可在襯墊上方形成填充物子層。在一些實施例中,襯墊子層由氮化物(例如氮化矽)形成,而填充物子層由氧化物(例如氧化矽)形成。
接著,在介電層52上形成接觸蝕刻停止層54。接觸蝕刻停止層54由與後續形成的層間介電層(以下參考第12A-12D圖進一步討論)的材料具有不同蝕刻速率的介電材料形成。舉例來說,接觸蝕刻停止層54由氮化矽、氧化矽、氮氧化矽或類似物形成,且可透過化學氣相沉積、原子層沉積(atomic layer deposition,ALD)或類似方法沉積。在一實施例中,接觸蝕刻停止層54由氮化矽形成。
接著,在接觸蝕刻停止層54上形成介電層56。介電層56可由選自介電層52的候選材料的群組的材料形成,且可透過選自使用形成介電層52的候選方法的群組的方法形成。介電層52和56可由相同材料形成,或可包含不同的材料。在一實施例中,介電層56為透過可流動化學氣相沉積製程形成的氧化矽層。
在形成介電層52及/或介電層56之後,進行一個或多個退火製程。在一些實施例中,在沉積介電層52之後進行第一退火製程,且在沉積介電層56之後進行第二退火製程。在一些實施例中,在沉積介電層52和56以及接觸蝕刻停止層54之後進行單一退火製程,且在沉積介電層52和沉積介電層56之間不進行退火製程。退火製程使介電層52和56變緻密。接著,可將介電層56平坦化。在一些實施例中,可使用平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)、回蝕刻製程、前述之組合或類似方法。
在第3圖中,將介電層52和56以及接觸蝕刻停止層54圖案化,以形成暴露出基底50的溝槽58。溝槽58可透過使用合適的光微影和蝕刻技術圖案化,例如一個或多個蝕刻製程。此蝕刻可為任何合適的蝕刻製程,例如反應性離子蝕刻(reactive ion etch,RIE)或類似方法。此蝕刻可為非等向性。在一些實施例中,以可蝕刻介電層52和56的材料(例如氧化矽)以及接觸蝕刻停止層54的材料(例如氮化矽)的氣體進行蝕刻,例如含氟氣體,例如四氟甲烷(CF4 )、三氟甲烷(CHF3 )、氟甲烷(CH3 F)、八氟環戊烯(C5 F8 )、八氟環丁烷(C4 F8 )、前述之組合或類似物。在一些實施例中,可透過不同蝕刻來個別介電層52和56以及接觸蝕刻停止層54圖案化。
在第4圖中,在溝槽58中形成磊晶結構60。磊晶結構60由半導體材料形成。在一些實施例中,磊晶結構60的半導體材料可包含矽、鍺、化合物半導體(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)或前述之組合。磊晶結構60可由與基底50相同的材料形成,或可包含與基底50不同的材料。
磊晶結構60透過磊晶成長製程形成。舉例來說,同質磊晶結構可磊晶成長於溝槽58中。此外,在一些實施例中,異質磊晶結構可用於磊晶結構60。舉例來說,可將磊晶結構60凹陷,並在凹陷的磊晶結構60上方磊晶成長不同於磊晶結構60的材料。在這些實施例中,最終的磊晶結構60包括凹陷的材料以及設置於凹陷的材料上方的磊晶成長材料。在另一實施例中,異質磊晶結構可透過使用不同於基底50的材料磊晶成長於溝槽58中。在一些實施例中,磊晶成長材料可在成長期間原位(in situ)摻雜,其可免除之前或後續的佈植,但是可一起使用原位摻雜和佈植摻雜。
再者,在區域50N(例如N型金屬氧化物半導體區)中磊晶成長不同於在區域50P(例如P型金屬氧化物半導體區)的材料可為有利的。在各種實施例中,磊晶結構60的上部可由矽鍺(Six Ge1-x ,其中x可在0至1的範圍中)、碳化矽、純鍺或大致純鍺、第III-V族化合物半導體、第II-VI族化合物半導體或類似物形成。舉例來說,可用於形成第III-V族化合物半導體的材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和類似物,但不限於此。
在第5圖中,移除介電層56。介電層56可透過合適的蝕刻製程移除,例如對介電層56的材料有選擇性的蝕刻製程(例如蝕刻介電層56的材料的速率大於蝕刻接觸蝕刻停止層54和磊晶結構60的材料的速率)。用於移除介電層56的蝕刻製程可不同於用於形成溝槽58的蝕刻製程(例如兩個蝕刻製程有著不同的蝕刻參數、不同的蝕刻劑及/或不同的蝕刻類型)。舉例來說,可例如使用稀釋氫氟酸(dilute hydrofluoric,dHF)以進行氧化物移除。接觸蝕刻停止層54停止氧化物移除,進而保護介電層52。在氧化物移除之後,介電層52的剩下部分形成淺溝槽隔離區64,且磊晶結構60(第4圖)從淺溝槽隔離區64的相鄰部分和接觸蝕刻停止層54的相鄰部分之間突出,以形成鰭62。鰭62為半導體條帶。
可透過任何合適的方法將鰭圖案化。舉例來說,鰭可透過使用一個或多個光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物將鰭圖案化。舉例來說,可使用間隔物將溝槽58圖案化,鰭62形成於溝槽58中。
再者,合適的井區可形成於鰭62及/或基底50中。在一些實施例中,P型井可形成於區域50N中,且N型井可形成於區域50P中。在一些實施例中,P型井或N型井可皆形成於於區域50N和區域50P中。
在有著不同井區類型的實施例中,可透過使用光阻或其他遮罩來達成用於區域50N和區域50P的不同佈植步驟。舉例來說,光阻可形成於區域50N中的鰭62和淺溝槽隔離區64上方。將光阻圖案化,以暴露出基底50的區域50P,例如P型金屬氧化物半導體區。光阻可透過使用旋塗技術形成,且可透過使用合適的光微影技術圖案化。在將光阻圖案化之後,進行n型雜質佈植於區域50P中,且光阻可作為遮罩來大致防止n型雜質植入區域50N,例如N型金屬氧化物半導體區域中。n型雜質可為被植入區域中的磷、砷、銻或類似物至濃度等於或小於1018 cm-3 ,例如在約1016 cm-3 至約1018 cm-3 之間。在佈植之後,可例如透過合適的灰化製程來移除光阻。
在區域50P的佈植之後,光阻形成於區域50P中的鰭62和淺溝槽隔離區64上方。將光阻圖案化,以暴露出基底50的區域50N,例如N型金屬氧化物半導體區。光阻可透過使用旋塗技術形成,且可透過使用合適的光微影技術圖案化。在將光阻圖案化之後,進行p型雜質佈植於區域50N中,且光阻可作為遮罩來大致防止p型雜質植入區域50P,例如P型金屬氧化物半導體區域中。p型雜質可為被植入區域中的硼、氟化硼、銦或類似物至濃度等於或小於1018 cm-3 ,例如在約1016 cm-3 至約1018 cm-3 之間。在佈植之後,可例如透過合適的灰化製程來移除光阻。
在區域50N和區域50P的佈植之後,可進行退火來修復佈植損壞並活化被植入的p型及/或n型雜質。在一些實施例中,鰭62的成長材料可在成長期間原位摻雜,其可免除佈植,但是可一起使用原位摻雜和佈植摻雜。
在第6圖中,在鰭62上方形成虛設介電質70,並在虛設介電質70上方形成虛設閘極72。虛設介電質70和虛設閘極72可被統稱為“虛設閘極堆疊物”,每個虛設閘極堆疊物包含虛設介電質70和虛設閘極72。虛設閘極堆疊物沿鰭62的側壁延伸。虛設介電質70可接觸了接觸蝕刻停止層54,接觸蝕刻停止層54包含在單片鰭62之間的部分54A以及在鰭62的群組之間的部分54B。
作為形成虛設介電質70和虛設閘極72的範例,在鰭62上形成虛設介電層。虛設介電層可例如為氧化矽、氮化矽、前述之組合或類似物,且可透過合適的技術來沉積或熱成長。虛設閘極層形成於虛設介電層上方,且遮罩層形成於虛設閘極層上方。虛設閘極層可沉積於虛設介電層上方,並接著透過化學機械研磨來平坦化。遮罩層可沉積於虛設閘極層上方。虛設閘極層可為導電或非導電材料,且可選自包含多晶矽(polycrystalline-silicon,polysilicon)、多晶矽鍺(polycrystalline silicon-germanium,poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物和金屬的群組。虛設閘極層可透過物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍沉積或本領域已知和使用以沉積所選材料的其他技術來沉積。虛設閘極層可由具有與接觸蝕刻停止層54的蝕刻有著高蝕刻選擇性的其他材料製成。遮罩層可包含例如氮化矽、氮氧化矽或類似物。在此範例中,形成單層虛設閘極層和單層遮罩層橫跨區域50N和區域50P。接著,使用合適的光微影和蝕刻技術將遮罩層圖案化,以形成遮罩74。接著,可透過合適的蝕刻技術將遮罩74的圖案轉移至虛設閘極層,以形成虛設閘極72。遮罩74的圖案可選擇性地進一步轉移至虛設介電層,以形成虛設介電質70。虛設閘極72覆蓋對應鰭62的通道區66。遮罩74的圖案可用於將每個虛設閘極72與相鄰的虛設閘極物理隔開。虛設閘極72也可具有長度方向大致垂直(在製程限制內)於對應鰭62的長度方向。雖然顯示虛設介電質70覆蓋接觸蝕刻停止層54,但是應當理解的是,虛設介電質70可以其他方式形成。在一些實施例中,例如當熱成長虛設介電質70時,形成虛設介電質70僅覆蓋鰭62。
第7A-15B圖為依據一些實施例之製造鰭式場效電晶體的進一步的中間階段的剖面示意圖。第7A、8A、9A、10A、11A、12A、13A、14A和15A圖為沿第1圖的參考剖面A-A顯示的剖面示意圖,除了第7A、8A、9A、10A、11A、12A、13A、14A和15A圖顯示三個閘極結構。第7B、8B、9B、10B、11B、12B、13B、14B和15B圖為沿第1圖的參考剖面B-B顯示的剖面示意圖,除了第7B、8B、9B、10B、11B、12B、13B、14B和15B圖顯示兩個鰭。第7A-15B圖顯示區域50N和區域50P的任一者中的部件。舉例來說,第7A-15B圖顯示的結構可應用於區域50N和區域50P。本文描述了區域50N和區域50P的結構中的差異(如果有)。
在第7A和7B圖中,在虛設閘極72、遮罩74及/或鰭62的暴露表面上形成閘極間隙壁80。可透過形成絕緣材料,並後續蝕刻絕緣材料來形成閘極間隙壁80。閘極間隙壁80的絕緣材料可為氮化矽、氮碳化矽、氮碳氧化矽、前述之組合或類似物,也可透過熱氧化、沉積、前述之組合或類似方法形成。在一些實施例中,閘極間隙壁80由多層絕緣材料形成,並包含多層。舉例來說,閘極間隙壁80可包含多層氮碳化矽,可包含多層氮碳氧化矽,或可包含氧化矽層設置於兩層氮化矽之間。閘極間隙壁80的蝕刻可為非等向性,且對閘極間隙壁80的材料有選擇性(例如蝕刻閘極間隙壁80的材料的速率大於蝕刻接觸蝕刻停止層54的速率)。在蝕刻之後,閘極間隙壁80可具有筆直側壁或彎曲側壁。
在形成閘極間隙壁80之前或期間,可進行用於輕摻雜源極/汲極(lightly doped source/drain,LDD)區(未明確顯示)的佈植。在有著不同裝置類型的實施例中,相似於已討論的佈植,遮罩(例如光阻)可形成於區域50N上方,同時暴露出區域50P,且可將合適類型(例如p型)的雜質植入區域50P中暴露的鰭62中。接著,可移除遮罩。之後,遮罩(例如光阻)可形成於區域50P上方,同時暴露出區域50N,且可將合適類型(例如n型)的雜質植入區域50N中暴露的鰭62中。接著,可移除遮罩。n型雜質可為任何前述的n型雜質,且p型雜質可為任何前述的p型雜質。輕摻雜源極/汲極區可具有雜質的濃度在約1015 cm-3 至約1019 cm-3 。可使用退火來修復佈植損壞並活化植入的雜質。
接著,在鰭62中形成源極/汲極凹口82。源極/汲極凹口82可透過使用合適的光微影和蝕刻技術形成。源極/汲極凹口82可延伸至鰭62在接觸蝕刻停止層54的頂表面之下的部分,並選擇性地延伸至在淺溝槽隔離區64的頂表面之下。如此一來,源極/汲極凹口82延伸通過接觸蝕刻停止層54。因此,源極/汲極凹口82暴露出接觸蝕刻停止層54和淺溝槽隔離區64的側壁。
在第8A和8B圖中,在源極/汲極凹口82中形成磊晶源極/汲極區84。磊晶源極/汲極區84進而形成於鰭62中,使得每個虛設閘極72設置於對應的相鄰對的磊晶源極/汲極區84之間。磊晶源極/汲極區84進而延伸通過接觸蝕刻停止層54,並可延伸至鰭62在淺溝槽隔離區64的頂表面之下的部分中。在一些實施例中,閘極間隙壁80用於將磊晶源極/汲極區84與虛設閘極72以合適的橫向距離隔開,使得磊晶源極/汲極區84不會使後續形成最終的鰭式場效電晶體的閘極短路。磊晶源極/汲極區84可對鰭62的通道區66施加應力,進而改善效能。
可透過將區域50P(例如P型金屬氧化物半導體區)遮蔽,以在區域50N(例如N型金屬氧化物半導體區)中形成磊晶源極/汲極區84。接著,在區域50N中的源極/汲極凹口82中磊晶成長區域50N中的磊晶源極/汲極區84。磊晶源極/汲極區84可包含任何合適的材料,例如適用於n型鰭式場效電晶體的材料。舉例來說,假如鰭62為矽,區域50N中的磊晶源極/汲極區84可包含在通道區66中施加拉伸應變的材料,例如矽、碳化矽、摻雜磷的碳化矽、磷化矽或類似物。區域50N中的磊晶源極/汲極區84可具有從鰭62的各自表面凸起的表面,且可具有刻面。
可透過將區域50N(例如N型金屬氧化物半導體區)遮蔽,以在區域50P(例如P型金屬氧化物半導體區)中形成磊晶源極/汲極區84。接著,在區域50P中的源極/汲極凹口82中磊晶成長區域50P中的磊晶源極/汲極區84。磊晶源極/汲極區84可包含任何合適的材料,例如適用於p型鰭式場效電晶體的材料。舉例來說,假如鰭62為矽,區域50P中的磊晶源極/汲極區84可包括在通道區66中施加應縮應變的材料,例如矽鍺、摻雜硼的矽鍺、鍺、鍺錫或類似物。區域50P中的磊晶源極/汲極區84可具有從鰭62的各自表面凸起的表面,且可具有刻面。
可將磊晶源極/汲極區84及/或鰭62植入摻雜物,以形成源極/汲極區,此製程相似於上述用於形成輕摻雜源極/汲極區的製程,接著進行退火。源極/汲極區可具有雜質濃度在約1019 cm-3 與約1021 cm-3 之間。用於源極/汲極區的n型雜質及/或p型雜質可為前述的任何雜質。在一些實施例中,磊晶源極/汲極區84可在成長期間原位摻雜。
由於用以形成磊晶源極/汲極區84的磊晶製程的緣故,磊晶源極/汲極區84的上表面具有刻面84SU 、84SL ,刻面84SU 、84SL 凸起(例如橫向向外擴展)超過鰭62的側壁。由於磊晶源極/汲極區84在接觸蝕刻停止層54之後形成,因此接觸蝕刻停止層54在磊晶源極/汲極區84的凸起部分下方,且不沿磊晶源極/汲極區84的刻面84SU 、84SL 延伸。因此,磊晶源極/汲極區84的刻面84SU 、84SL 沒有接觸蝕刻停止層54。在一些實施例中,刻面84SU 、84SL 導致相同的鰭式場效電晶體的相鄰磊晶源極/汲極區84合併,如圖所示。舉例來說,當一個電晶體由多個鰭62形成時,可形成合併的磊晶源極/汲極區84。如此一來,接觸蝕刻停止層54的部分54B設置於電晶體的鰭62之間,且磊晶源極/汲極區84在接觸蝕刻停止層54的部分54B上方。在其他實施例中(以下參考第17A和17B圖討論),在完成磊晶製程之後,相鄰的磊晶源極/汲極區84保持隔開。舉例來說,當一個電晶體由單一鰭62形成時,可形成不合併的磊晶源極/汲極區84。在顯示的實施例中,使用用於形成閘極間隙壁80的間隙壁蝕刻來移除間隙壁材料,使得磊晶源極/汲極區84延伸至接觸蝕刻停止層54的頂表面。在其他實施例中,形成閘極間隙壁80覆蓋鰭62的側壁延伸至接觸蝕刻停止層54之上的部分,進而阻擋磊晶成長。
應當注意的是,以上揭露一般描述形成間隙壁、輕摻雜源極/汲極區及源極/汲極區的製程。可使用其他製程和順序。舉例來說,可使用較少或額外的間隙壁,可使用步驟的不同順序,可形成並移除間隙壁,及/或類似製程。在一些實施例中,閘極間隙壁80在磊晶源極/汲極區84之後形成。再者,使用不同結構和步驟來形成n型和p型裝置。在一些實施例中,虛設間隙壁可形成於區域50N中,且在區域50N中的源極/汲極凹口82可形成通過虛設間隙壁和接觸蝕刻停止層54。接著,可在源極/汲極凹口82中成長區域50N中的磊晶源極/汲極區84。接著,可移除區域50N中的虛設間隙壁。虛設間隙壁可形成於區域50P中,且在區域50P中的源極/汲極凹口82可形成通過虛設間隙壁和接觸蝕刻停止層54。接著,可在源極/汲極凹口82中成長區域50P中的磊晶源極/汲極區84。接著,可移除區域50P中的虛設間隙壁。在磊晶源極/汲極區84形成於區域50N和區域50P中之後,可形成閘極間隙壁80。
接著,在磊晶源極/汲極區84、閘極間隙壁80、遮罩74(如果有)或虛設閘極72以及淺溝槽隔離區64上方沉積第一層間介電層86。第一層間介電層86可由具有與接觸蝕刻停止層54的材料不同的蝕刻速率的介電材料形成,且可透過任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)或可流動化學氣相沉積。介電材料可包含氧化物(例如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜矽酸鹽玻璃(undoped silicate glass,USG)或類似物)、氮化物(例如氮化矽)或類似物。可使用透過任何合適的製程形成的其他絕緣材料。在形成第一層間介電層86之後,可例如透過化學機械研磨將第一層間介電層86平坦化。由於磊晶源極/汲極區84在接觸蝕刻停止層54之後形成,因此第一層間介電層86物理接觸磊晶源極/汲極區84的刻面84SU 、84SL ,且沒有蝕刻停止層設置於第一層間介電層86與磊晶源極/汲極區84之間。再者,第一層間介電層86物理接觸了接觸蝕刻停止層54的部分54A,且可形成於磊晶源極/汲極區84之下,以物理接觸了接觸蝕刻停止層54的部分54B。
在第9A和9B圖中,可進行平坦化製程(例如化學機械研磨),使第一層間介電層86的頂表面與遮罩74(如果有)和虛設閘極72的頂表面齊平。平坦化製程可移除虛設閘極72上的遮罩74以及閘極間隙壁80沿遮罩74的側壁延伸的部分。在平坦化製程之後,虛設閘極72、閘極間隙壁80和第一層間介電層86的頂表面齊平。因此,虛設閘極72的頂表面暴露出第一層間介電層86。在一些實施例中,可保留遮罩74,在此情狀下,平坦化製程使第一層間介電層86的頂表面與遮罩74的頂表面齊平。
在第10A和10B圖中,移除虛設閘極72並選擇性地移除虛設介電質70,並以閘極結構90取代。閘極結構90包含閘極介電質92和閘極電極94。作為形成閘極結構90的範例,在一個或多個蝕刻步驟中移除虛設閘極72和遮罩74(如果有),以形成凹口。也可移除暴露於凹口中的虛設介電質70的一部分。在一些實施例中,從晶粒的第一區(例如核心邏輯區)中的凹口中移除虛設介電質70,且虛設介電質70保留在晶粒的第二區(例如輸入/輸出區)中的凹口中。在一些實施例中,虛設閘極72透過非等向性乾蝕刻製程移除。舉例來說,蝕刻製程可包含使用反應氣體選擇性蝕刻虛設閘極72而不蝕刻第一層間介電層86或閘極間隙壁80的乾蝕刻製程。每個凹口暴露出及/或覆蓋對應鰭62的通道區66。每個通道區66設置於相鄰對的磊晶源極/汲極區84之間。在移除期間,虛設介電質70可作為蝕刻虛設閘極72時的蝕刻停止層。接著,在移除虛設閘極72之後,可選擇性地移除虛設介電質70。在移除之後,閘極介電質92順應性沉積於凹口中,例如沉積於鰭62的頂表面和側壁上以及閘極間隙壁80的側壁上。閘極介電質92也可形成於第一層間介電層86的頂表面上。依據一些實施例,閘極介電質92包括氧化矽、氮化矽或前述之多層。在一些實施例中,閘極介電質92包含高介電常數介電材料,且在這些實施例中,閘極介電質92可具有介電常數值大於約7.0,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金屬氧化物或矽酸鹽和前述之組合。閘極介電質92的形成方法可包含分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積(ALD)、電漿輔助化學氣相沉積和類似方法。在虛設介電質70的一部分保留於凹口中的實施例中,閘極介電質92包含虛設介電質70的材料(例如SiO2­­ )。閘極電極94個別沉積於閘極介電質92上方,並填充凹口的剩下部分。閘極電極94可包含含金屬材料,例如TiN、TiO、TaN、TaC、Co、Ru、Al、W、前述之組合或前述之多層。舉例來說,雖然顯示單一層的閘極電極94,但是每個閘極電極94可包括任何數量的襯墊層、任何數量的功函數調整層和填充材料。在填充閘極電極94之後,可進行平坦化製程(例如化學機械研磨)以移除閘極介電質92和閘極電極94的材料的多餘部分,這些多餘部分在第一層間介電層86的頂表面上方。閘極電極94和閘極介電質92的材料的剩下部分形成最終鰭式場效電晶體的閘極結構90。閘極結構90也可被稱為“閘極堆疊物”或“金屬閘極”。閘極結構90可沿鰭62的通道區66的側壁延伸。
在區域50N和區域50P中的閘極結構90的形成可同時發生,使得每個區域中的閘極介電質92由相同材料形成,且每個區域中的閘極電極94由相同材料形成。在一些實施例中,每個區域中的閘極結構90可透過不同的製程形成,使得每個區域中的閘極介電質92可為不同材料,且/或每個區域中的閘極電極94可為不同材料。當使用不同的製程時,可使用各種遮罩步驟來遮蔽並暴露出合適的區域。
在第11A和11B圖中,在第一層間介電層86上方沉積第二層間介電層100。第二層間介電層100可由介電材料形成,且可透過任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積(PECVD)或可流動化學氣相沉積。介電材料可包含氧化物(例如氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼摻雜磷矽酸鹽玻璃(BPSG)、未摻雜矽酸鹽玻璃(USG)或類似物)、氮化物(例如氮化矽)或類似物。在形成第二層間介電層100之後,可例如透過化學機械研磨將第二層間介電層100平坦化。在一些實施例中,在第一層間介電層86與第二層間介電層100之間形成蝕刻停止層。蝕刻停止層可包括介電材料,例如氮化矽、氧化矽、氮氧化矽或類似物,且與第二層間介電層100的材料具有不同的蝕刻速率。在一些實施例中,在形成第二層間介電層100之前,可在閘極介電質92和閘極電極94上方形成閘極遮罩,閘極遮罩在形成接點期間可保護閘極介電質92和閘極電極94。
在第12A和12B圖中,形成源極/汲極接點開口102通過第一層間介電層86與第二層間介電層100。源極/汲極接點開口102可透過使用合適的光微影和蝕刻技術形成。此蝕刻為對第一層間介電層86與第二層間介電層100的材料有選擇性(例如蝕刻第一層間介電層86與第二層間介電層100的材料的速率大於蝕刻接觸蝕刻停止層54的材料的速率)。接觸蝕刻停止層54停止源極/汲極接點開口102的蝕刻。由於接觸蝕刻停止層54在磊晶源極/汲極區84的凸起部分之下,因此磊晶源極/汲極區84的刻面84SU 、84SL 可透過積極蝕刻(例如過蝕刻)第一層間介電層86和第二層間介電層100而暴露出來,而不損壞淺溝槽隔離區64。特別來說,可蝕刻第一層間介電層86直到源極/汲極接點開口102完全延伸通過第一層間介電層86,並暴露出接觸蝕刻停止層54以及在接觸蝕刻停止層54之上的磊晶源極/汲極區84的所有刻面84SU 、84SL 。暴露出磊晶源極/汲極區84的所有刻面84SU 、84SL 增加了後續將形成連接至磊晶源極/汲極區84的接點的可用表面面積。
用於形成源極/汲極接點開口102的蝕刻製程可包含濕蝕刻、乾蝕刻或前述之組合。特別來說,蝕刻製程包含多個蝕刻步驟,至少其中一個蝕刻步驟比其他蝕刻步驟具有更大的等向性。舉例來說,用於形成源極/汲極接點開口102的蝕刻製程可包含第一蝕刻以及之後的第二蝕刻,其中第二蝕刻具有比第一蝕刻更大的等向性,且兩個蝕刻皆對第一層間介電層86和第二層間介電層100的材料有選擇性。進行等向性蝕刻有助於移除第一層間介電層86在磊晶源極/汲極區84之下的部分,進而暴露出磊晶源極/汲極區84的下方刻面84SL 。在蝕刻之後,磊晶源極/汲極區84的下方刻面84SL 不具有接觸蝕刻停止層54。第12C和12D圖為依據一些實施例之蝕刻源極/汲極接點開口102的中間階段的剖面示意圖。第12C和12D圖顯示與第12B圖相似的剖面。
在第12C圖中,進行非等向性蝕刻,以初步形成通過第一層間介電層86和第二層間介電層100的源極/汲極接點開口102,並暴露出接觸蝕刻停止層54和磊晶源極/汲極區84的上方刻面84SU 。非等向性蝕刻移除一些在接觸蝕刻停止層54上的第一層間介電層86。在非等向性蝕刻之後,保留第一層間介電層86的一些部分86R、86B覆蓋接觸蝕刻停止層54和磊晶源極/汲極區84的下方刻面84SL
在第12D圖中,接著進行等向性蝕刻,以擴展源極/汲極接點開口102並移除在磊晶源極/汲極區84之下的第一層間介電層86的部分86R、86B,進而暴露出更多的接觸蝕刻停止層54以及磊晶源極/汲極區84的下方刻面84SL 。因此,暴露出接觸蝕刻停止層54的部分54B。如上所述,過蝕刻第一層間介電層86和第二層間介電層100。過蝕刻可透過持續進行長時間的等向性蝕刻來完成(以下進一步討論)。長時間的蝕刻有助於暴露出在接觸蝕刻停止層54之上的磊晶源極/汲極區84的所有刻面84SU 、84SL 。再者,過蝕刻可加寬源極/汲極接點開口102。舉例來說,源極/汲極接點開口102的寬度可增加距離D2 ,距離D2 可為源極/汲極接點開口102的原始寬度的約5%至約50%。在一些實施例中,距離D2 可從1nm至約6nm。加寬源極/汲極接點開口102可透過避免箍斷效應(pinch-off effects)有助於避免後續形成的接點中形成縫隙或空隙。再者,過蝕刻可改變源極/汲極接點開口102的輪廓形狀。特別來說,源極/汲極接點開口102的側壁可透過過蝕刻變得傾斜或更加傾斜。舉例來說,每個源極/汲極接點開口102的側壁在蝕刻之前形成與基底50的主要表面平行的表面呈第一角度,且每個源極/汲極接點開口102的側壁在蝕刻之後形成與基底50的主要表面平行的表面呈第二角度,第一角度不同於第二角度。
應當理解的是,即使當進行過蝕刻時,第一層間介電層86的一些部分86R、86B可仍位於磊晶源極/汲極區84之下。舉例來說,在蝕刻之後,第一層間介電層86的材料的痕量可保持在磊晶源極/汲極區84之下。然而,在這些實施例中,可仍增加磊晶源極/汲極區84的暴露刻面84SU 、84SL 的量,進而增加用於後續形成接點的可用表面面積。
在一些實施例中,當第一層間介電層86和第二層間介電層100由氧化物(例如氧化矽)形成且接觸蝕刻停止層54由氮化物(例如氮化矽)形成時,可透過乾蝕刻(例如反應性離子蝕刻(RIE))進行第一層間介電層86和第二層間介電層100的選擇性非等向性蝕刻,且可透過濕蝕刻(例如氧化物蝕刻)進行第一層間介電層86和第二層間介電層100的選擇性等向性蝕刻。乾蝕刻可透過使用一個或多個反應氣體進行,例如四氟甲烷(CF4 )、六氟丁二烯(C4 F6 )、八氟環丁烷(C4 F8 )、八氟環戊烯(C5 F8 )、類似物或前述之組合,同時產生有著H2 、O2 、CO2 、CO或類似物的電漿。電漿可透過感應耦合電漿(inductively coupled plasma,ICP)產生器、電容耦合電漿(capacitively coupled plasma,CCP)產生器、遠端電漿產生器或類似物產生。可在電漿產生器與支撐基底50的夾盤之間施加偏壓電壓,以用離子轟擊第一層間介電層86和第二層間介電層100。濕蝕刻可透過稀釋氫氟酸(dHF)或化學氧化物蝕刻(例如CERTAS(氟化氫(HF)和氨(NH3 ))、SiCONi(三氟化氮(NF3 )和氨(NH3 )))或類似物來進行。如上所述,過蝕刻第一層間介電層86和第二層間介電層100。過蝕刻可透過持續進行長時間的濕蝕刻來完成,例如進行約5秒至約60秒的時間範圍。
在一些實施例中,當第一層間介電層86和第二層間介電層100由氧化物(例如氧化矽)形成且接觸蝕刻停止層54由氮化物(例如氮化矽)形成時,可透過第一乾蝕刻(例如反應性離子蝕刻(RIE))進行第一層間介電層86和第二層間介電層100的選擇性非等向性蝕刻,且可透過第二乾蝕刻(例如反應性離子蝕刻(RIE))進行第一層間介電層86和第二層間介電層100的選擇性等向性蝕刻。第一乾蝕刻可透過使用一個或多個反應氣體進行,例如四氟甲烷(CF4 )、六氟丁二烯(C4 F6 )、八氟環丁烷(C4 F8 )、八氟環戊烯(C5 F8 )、類似物或前述之組合,同時產生有著H2 、O2 、CO2 、CO或類似物的電漿。電漿可透過感應耦合電漿(ICP)產生器、電容耦合電漿(CCP)產生器、遠端電漿產生器或類似物產生。可在電漿產生器與支撐基底50的夾盤之間施加偏壓電壓,以用離子轟擊第一層間介電層86和第二層間介電層100。第二乾蝕刻可透過使用與第一乾蝕刻相似的反應氣體以及相似的電漿產生來進行,但是可在比第一乾蝕刻更大的壓力及/或更小的偏壓電壓下進行。舉例來說,在第二乾蝕刻期間的壓力可比在第一乾蝕刻期間的壓力更大約200%至約30000%,且在第二乾蝕刻期間的偏壓電壓可比在第一乾蝕刻期間的偏壓電壓更小約2%至約50%。特別來說,第一乾蝕刻可在壓力在約3mTorr至約30mTorr的範圍以及偏壓電壓在約100V至約500V的範圍下進行,而第二乾蝕刻可在壓力在約60mTorr至約900mTorr的範圍以及偏壓電壓在約10V至約50V的範圍下進行。第二乾蝕刻比第一乾蝕刻在更大的壓力及/或更小的偏壓電壓下進行,使得第二乾蝕刻比第一乾蝕刻具有更大的等向性。如上所述,過蝕刻第一層間介電層86和第二層間介電層100。過蝕刻可透過持續進行長時間的第二乾蝕刻來完成,例如進行約5秒至約60秒的時間範圍。
在形成源極/汲極接點開口102之後,磊晶源極/汲極區84的被蝕刻部分可具有縮減的高度。磊晶源極/汲極區84的高度可透過用於形成源極/汲極接點開口102的一個或多個蝕刻製程來縮減。舉例來說,可將磊晶源極/汲極區84的高度縮減距離D1 ,距離D1 可為磊晶源極/汲極區84的原始高度的約2%至約20%。在一些實施例中,距離D1 可在約1nm至約10nm。
在第13A和13B圖中,在源極/汲極接點開口102中形成金屬半導體合金區106,金屬半導體合金區106例如形成於磊晶源極/汲極區84透過源極/汲極接點開口102暴露的部分上。金屬半導體合金區106可為由金屬矽化物(例如矽化鈦、矽化鈷、矽化鎳等)形成的矽化物區、由金屬鍺化物(例如鍺化鈦、鍺化鈷、鍺化鎳等)形成的鍺化物區、由金屬矽化物和金屬鍺化物形成的矽鍺化物區或類似物。可透過在源極/汲極接點開口102中沉積金屬,接著進行熱退火製程來形成金屬半導體合金區106。金屬可為能夠與磊晶源極/汲極區84的半導體材料(例如矽、矽鍺、鍺等)反應以形成低電阻金屬半導體合金的任何金屬,例如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬、稀土金屬或前述之合金。此金屬可透過例如原子層沉積、化學氣相沉積、物理氣相沉積或類似方法的沉積方法來沉積。在一實施例中,金屬半導體合金區106為由鈦矽形成的矽化物區。在熱退火製程之後,可進行清潔製程(例如濕清潔),以從源極/汲極接點開口102移除任何殘留金屬。
在顯示的實施例中,用於金屬半導體合金區106的金屬透過視線(line-of-sight)沉積製程(例如物理氣相沉積)沉積,且因此金屬半導體合金區106形成於磊晶源極/汲極區84的上方刻面84SU (請參照第12B圖)上,而磊晶源極/汲極區84的下方刻面84SL 沒有金屬半導體合金區106。在另一實施例中(以下參考第21A和21B圖進一步討論),金屬半導體合金區106透過毯覆式沉積製程(例如化學氣相沉積或原子層沉積)來沉積,且因此金屬半導體合金區106形成於磊晶源極/汲極區84的上方刻面84SU 和下方刻面84SL 上。金屬半導體合金區106物理及電性耦接至磊晶源極/汲極區84。
在第14A和14B圖中,在源極/汲極接點開口102中形成源極/汲極接點110。襯墊(例如擴散阻障層、黏著層或類似物)和導電材料形成於源極/汲極接點開口102中的金屬半導體合金區106上。襯墊可包含鈦、氮化鈦、鉭、氮化鉭或類似物。可進行平坦化製程(例如化學機械研磨)以從第二層間介電層100的表面移除多餘的材料。剩下的襯墊和導電材料形成源極/汲極接點開口102中的源極/汲極接點110。源極/汲極接點110物理及電性耦接至金屬半導體合金區106,且因此連接至磊晶源極/汲極區84。
在形成源極/汲極接點110之後,源極/汲極接點110延伸通過第一層間介電層86和第二層間介電層100。源極/汲極接點110可填充源極/汲極接點開口102未被金屬半導體合金區106佔據的部分。源極/汲極接點110可沿磊晶源極/汲極區84的下方刻面84SL 延伸並接觸磊晶源極/汲極區84的下方刻面84SL ,例如透過源極/汲極接點開口102暴露出的下方刻面84SL 的子集。在金屬半導體合金區106僅形成於磊晶源極/汲極區84的上方刻面84SU 上(請參照第12B圖)的實施例中,源極/汲極接點110接觸磊晶源極/汲極區84的下方刻面84SL 。源極/汲極接點110和第一層間介電層86也接觸了接觸蝕刻停止層54的部分54A,但是不接觸接觸蝕刻停止層54的部分54B。由於接觸蝕刻停止層54位於磊晶源極/汲極區84的凸起部分的下方,因此接觸蝕刻停止層54和源極/汲極接點110的所有界面平行於基底50的主要表面,且接觸蝕刻停止層54不沿磊晶源極/汲極區84的刻面84SU 、84SL 延伸。實際上,除了金屬半導體合金區106之外,磊晶源極/汲極區84與源極/汲極接點110之間沒有設置中間層。再者,金屬半導體合金區106及/或源極/汲極接點110可不填充空隙104,且僅接觸蝕刻停止層54的部分54B在空隙104中。換句話說,空隙104暴露出接觸蝕刻停止層54和磊晶源極/汲極區84,但是不暴露出金屬半導體合金區106或源極/汲極接點110的表面。
在第15A和15B圖中,形成閘極接點112通過第二層間介電層100。形成用於閘極接點112的開口通過第二層間介電層100。開口可透過使用合適的光微影和蝕刻技術形成。襯墊(例如擴散阻障層、黏著層或類似物)和導電材料形成於開口中。襯墊可包含鈦、氮化鈦、鉭、氮化鉭或類似物。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似物。可進行平坦化製程(例如化學機械研磨)以從第二層間介電層100的表面移除多餘的材料。剩下的襯墊和導電材料形成開口中的閘極接點112。閘極接點112物理及電性耦接且因此連接至閘極電極94。閘極接點112可通過在閘極電極94上方的閘極遮罩(如果有)。在形成閘極接點112之後,第二層間介電層100、源極/汲極接點110和閘極接點112的頂表面共平面。
可使用其他製程和順序來形成閘極接點112。舉例來說,源極/汲極接點110和閘極接點112可在不同製程中形成,或可在相同製程中形成。在一些實施例中,閘極接點112與源極/汲極接點110同時形成,例如用於閘極接點112的開口與用於源極/汲極接點110的開口同時形成。再者,雖然在相同剖面中顯示源極/汲極接點110和閘極接點112,但是每個源極/汲極接點110和閘極接點112可在不同剖面中形成,其可避免接點的短路。
第16A和16B圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。此實施例相似於以上參考第15A和15B圖描述的實施例,除了第16A和16B圖的源極/汲極接點110包含下方源極/汲極接點110A和上方源極/汲極接點110B。下方源極/汲極接點110A延伸通過第一層間介電層86,且上方源極/汲極接點110B延伸通過第二層間介電層100。因此,下方源極/汲極接點110A設置於上方源極/汲極接點110B與金屬半導體合金區106之間。
作為形成下方源極/汲極接點110A的範例,在形成第二層間介電層100之前,用於下方源極/汲極接點110A的開口可形成通過第一層間介電層86。此開口可透過與參考第12A-12D圖所述製程的相似製程來形成。接著,金屬半導體合金區106和下方源極/汲極接點110A透過使用與參考第13A-14B圖所述製程的相似製程來形成。在形成下方源極/汲極接點110A之後,閘極間隙壁80、第一層間介電層86、閘極電極94和下方源極/汲極接點110A的頂表面共平面。
作為形成上方源極/汲極接點110B的範例,在形成第二層間介電層100之後,用於上方源極/汲極接點110B的開口可形成通過第二層間介電層100。此開口可透過使用合適的光微影和蝕刻技術形成。襯墊(例如擴散阻障層、黏著層或類似物)和導電材料形成於開口中。襯墊可包含鈦、氮化鈦、鉭、氮化鉭或類似物。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似物。可進行平坦化製程(例如化學機械研磨)以從第二層間介電層100的表面移除多餘的材料。剩下的襯墊和導電材料形成開口中的上方源極/汲極接點110B。上方源極/汲極接點110B連接至下方源極/汲極接點110A,且下方源極/汲極接點110A連接至磊晶源極/汲極區84。上方源極/汲極接點110B和閘極接點112可在不同製程中形成,或可在相同製程中形成。在形成上方源極/汲極接點110B之後,第二層間介電層100、上方源極/汲極接點110B和閘極接點112的頂表面共平面。
應當理解的是,一些實施例可結合顯示於第15A-16B圖中的實施例的部件。舉例來說,在晶粒的第一區域(例如輸入/輸出區)中的源極/汲極接點可為延伸通過多個層間介電層的連續導電部件(如第15A和15B圖所示),而在晶粒的第二區域(例如核心邏輯區)中的源極/汲極接點在個別的層間介電層中可具有個別的上方和下方導電部件(如第16A和16B圖所示)。
第17A和17B圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。此實施例相似於以上參考第15A和15B圖描述的實施例,除了在第17A和17B圖中,磊晶成長磊晶源極/汲極區84之後,相鄰的磊晶源極/汲極區84保持隔開。在此實施例中,使用相同的源極/汲極接點110來連接相鄰的磊晶源極/汲極區84。
第18A和18B圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。此實施例相似於以上參考第17A和17B圖描述的實施例,除了在第18A和18B圖中,相鄰的磊晶源極/汲極區84保持隔開且不透過相同的源極/汲極接點連接。相較之下,每個個別的磊晶源極/汲極區84連接至個別的源極/汲極接點110。
第19圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。此實施例相似於以上參考第18B圖描述的實施例,除了在第19圖中,使用多個形狀的源極/汲極接點110。舉例來說,第一源極/汲極接點110C可接觸磊晶源極/汲極區84的一些但不是全部的下方刻面84SL ,且磊晶源極/汲極區84的其他下方刻面84SL 接觸第一層間介電層86。再者,第二源極/汲極接點110D可不接觸磊晶源極/汲極區84的下方刻面84SL 。第19圖可為第一層間介電層86的一些材料保留在磊晶源極/汲極區84下方,但是暴露的刻面84SU 、84SL 仍然增加的指標性實施例。
第20圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。此實施例相似於以上參考第15B圖描述的實施例,除了在第20圖中,使用相同的源極/汲極接點110來連接多個合併的磊晶源極/汲極區84。再者,源極/汲極接點110可接觸磊晶源極/汲極區84的一些但不是全部的下方刻面84SL ,且磊晶源極/汲極區84的其他下方刻面84SL 接觸第一層間介電層86。第20圖可為第一層間介電層86的一些材料保留在磊晶源極/汲極區84下方,但是暴露的刻面84SU 、84SL 仍然增加的指標性實施例。
第21A和21B圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。此實施例相似於以上參考第15A和15B圖描述的實施例,除了在第21A和21B圖中,金屬半導體合金區106形成於磊晶源極/汲極區84的上方刻面84SU 和下方刻面84SL 上。在此實施例中,用於金屬半導體合金區106的金屬透過毯覆式沉積製程(例如化學氣相沉積或原子層沉積)來沉積。在一些實施例中,金屬半導體合金區106具有一致的厚度。在一些實施例中,金屬半導體合金區106在上方刻面84SU 上的部分比金屬半導體合金區106在下方刻面84SL 上的部分更厚。舉例來說,當透過化學氣相沉積或原子層沉積來沉積用於金屬半導體合金區106的金屬時,在磊晶源極/汲極區84下方的擁擠可能減少或阻止在磊晶源極/汲極區84下方的前驅物擴散。再者,在此實施例中,金屬半導體合金區106可能(或可能不)部分填充空隙104。如此一來,金屬半導體合金區106在空隙104中的部分也可比金屬半導體合金區106在上方刻面84SU 上的部分更薄。
本發明實施例可實現許多優點。由於接觸蝕刻停止層54在磊晶源極/汲極區84的凸起部分下方,因此可過蝕刻第一層間介電層86和第二層間介電層100而不損壞淺溝槽隔離區64,使得可移除第一層間介電層86在磊晶源極/汲極區84下方的部分86R、86B(請參照第12C圖)。移除第一層間介電層86在磊晶源極/汲極區84下方的部分86R、86B允許源極/汲極接點開口102暴露出磊晶源極/汲極區84的下方刻面84SL 。暴露出磊晶源極/汲極區84的較多表面允許金屬半導體合金區106和源極/汲極接點110接觸磊晶源極/汲極區84的較大表面面積。特別當在小技術節點形成最終的鰭式場效電晶體時,增加接點表面面積可有助於降低與磊晶源極/汲極區84的接觸電阻。再者,透過減少磊晶源極/汲極區84周圍的介電材料的量,可降低閘極電極94與源極/汲極接點110之間的寄生電容。因此,可改善鰭式場效電晶體的效能。
在一實施例中,方法包含:在鰭中蝕刻源極/汲極凹口,源極/汲極凹口延伸通過接觸蝕刻停止層(CESL);在源極/汲極凹口中成長磊晶源極/汲極區;在磊晶源極/汲極區和接觸蝕刻停止層上沉積層間介電(ILD)層;以等向性蝕刻來蝕刻通過層間介電層的開口,等向性蝕刻移除層間介電層在磊晶源極/汲極區下方的部分,以暴露出接觸蝕刻停止層和磊晶源極/汲極區的下方刻面;以及在開口中形成源極/汲極接點,源極/汲極接點沿磊晶源極/汲極區的下方刻面延伸。
在一些實施例中,此方法更包含在鰭中蝕刻源極/汲極凹口之前:在基底上沉積第一介電層;在第一介電層上沉積接觸蝕刻停止層;在接觸蝕刻停止層上沉積第二介電層;在第二介電層、接觸蝕刻停止層和第一介電層中蝕刻溝槽;在溝槽中成長鰭;以及移除第二介電層。在此方法的一些實施例中,蝕刻通過層間介電層的開口包含:進行非等向性蝕刻以形成開口,在非等向性蝕刻之後,開口暴露出磊晶源極/汲極區的上方刻面,在非等向性蝕刻之後,磊晶源極/汲極區的下方刻面仍然被覆蓋;以及進行等向性蝕刻以擴展開口,在等向性蝕刻之後,開口暴露出磊晶源極/汲極區的下方刻面。在此方法的一些實施例中,層間介電層包含氧化矽,接觸蝕刻停止層包含氮化矽,非等向性蝕刻為以四氟甲烷、六氟丁二烯、八氟環丁烷或八氟環戊烯進行的乾蝕刻,且等向性蝕刻為以稀釋氫氟酸、氟化氫和氨或三氟化氮和氨進行的濕蝕刻。在此方法的一些實施例中,層間介電層包含氧化矽,接觸蝕刻停止層包含氮化矽,非等向性蝕刻為以四氟甲烷、六氟丁二烯、八氟環丁烷或八氟環戊烯進行的第一乾蝕刻,等向性蝕刻為以四氟甲烷、六氟丁二烯、八氟環丁烷或八氟環戊烯進行的第二乾蝕刻,且第二乾蝕刻在比第一乾蝕刻更大壓力和更小偏壓電壓下進行。在此方法的一些實施例中,等向性蝕刻進行5秒至60秒的時間範圍。在此方法的一些實施例中,進行等向性蝕刻將開口加寬了5%至50%。在一些實施例中,此方法更包含:在磊晶源極/汲極區的上方刻面上形成矽化物,源極/汲極接點接觸矽化物和磊晶源極/汲極區的下方刻面的子集。在此方法的一些實施例中,在蝕刻開口之前,層間介電層物理接觸磊晶源極/汲極區。在此方法的一些實施例中,在形成源極/汲極接點之後,空隙保留在磊晶源極/汲極區下方,空隙暴露出接觸蝕刻停止層的部分。
在一實施例中,結構包含:隔離區,位於基底上;接觸蝕刻停止層(CESL),位於隔離區上;第一鰭,延伸通過接觸蝕刻停止層和隔離區;第二鰭,延伸通過接觸蝕刻停止層和隔離區;磊晶源極/汲極區,在第一鰭和第二鰭中,磊晶源極/汲極區設置於接觸蝕刻停止層的第一部分上方,接觸蝕刻停止層的第一部分設置於第一鰭與第二鰭之間;層間介電(ILD)層,位於磊晶源極/汲極區和接觸蝕刻停止層的第二部分上;以及源極/汲極接點,延伸通過層間介電層,源極/汲極接點接觸接觸蝕刻停止層的第二部分和磊晶源極/汲極區的下方刻面。
在一些實施例中,此結構更包含:矽化物,位於磊晶源極/汲極區的上方刻面上,源極/汲極接點接觸矽化物。在一些實施例中,此結構更包含:空隙,位於磊晶源極/汲極區下方,空隙暴露出接觸蝕刻停止層的第一部分和磊晶源極/汲極區的下方刻面的子集。在此結構的一些實施例中,接觸蝕刻停止層和源極/汲極接點的界面平行於基底的主要表面。在此結構的一些實施例中,磊晶源極/汲極區的下方刻面沒有接觸蝕刻停止層。在此結構的一些實施例中,磊晶源極/汲極區的下方刻面沒有層間介電層。在此結構的一些實施例中,層間介電層包含氧化矽,且接觸蝕刻停止層包含氮化矽。在此結構的一些實施例中,第一鰭和第二鰭各為設置於基底上的磊晶結構。在一些實施例中,此結構更包含:閘極結構,位於第一鰭和第二鰭上,閘極結構接觸接觸蝕刻停止層的第一部分和第二部分。
在一實施例中,結構包含:接觸蝕刻停止層(CESL),位於基底上方;鰭,延伸通過接觸蝕刻停止層;磊晶源極/汲極區,位於鰭中,磊晶源極/汲極區延伸通過接觸蝕刻停止層;矽化物,接觸磊晶源極/汲極區的上方刻面;源極/汲極接點,接觸矽化物、磊晶源極/汲極區的下方刻面和接觸蝕刻停止層的第一表面;以及層間介電(ILD)層,圍繞源極/汲極接點,層間介電層接觸接觸蝕刻停止層的第一表面。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
50:基底 50N,50P:區域 52,56:介電層 54:接觸蝕刻停止層 54A,54B,86B,86R:部分 58:溝槽 60:磊晶結構 62:鰭 64:淺溝槽隔離區 66:通道區 70:虛設介電質 72:虛設閘極 74:遮罩 80:閘極間隙壁 82:源極/汲極凹口 84:磊晶源極/汲極區 84SU ,84SL :刻面 86:第一層間介電層 90:閘極結構 92:閘極介電質 94:閘極電極 100:第二層間介電層 102:源極/汲極接點開口 104:空隙 106:金屬半導體合金區 110:源極/汲極接點 110A:下方源極/汲極接點 110B:上方源極/汲極接點 110C:第一源極/汲極接點 110D:第二源極/汲極接點 112:閘極接點 D1 ,D2 :距離
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1圖顯示依據一些實施例之鰭式場效電晶體的範例的三維視圖。 第2、3、4、5和6圖為依據一些實施例之製造鰭式場效電晶體的中間階段的三維視圖。 第7A、7B、8A、8B、9A、9B、10A、10B、11A、11B、12A、12B、12C、12D、13A、13B、14A、14B、15A和15B圖為依據一些實施例之製造鰭式場效電晶體的進一步的中間階段的剖面示意圖。 第16A和16B圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。 第17A和17B圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。 第18A和18B圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。 第19圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。 第20圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。 第21A和21B圖為依據一些其他實施例的鰭式場效電晶體的剖面示意圖。
50:基底
50N,50P:區域
54:接觸蝕刻停止層
54A,54B:部分
62:鰭
64:淺溝槽隔離區
84:磊晶源極/汲極區
84SL :刻面
86:第一層間介電層
100:第二層間介電層
104:空隙
106:金屬半導體合金區
110:源極/汲極接點

Claims (14)

  1. 一種半導體結構的形成方法,包括:在一第一介電層上沉積一接觸蝕刻停止層;在該接觸蝕刻停止層上沉積一第二介電層;在該第二介電層、該接觸蝕刻停止層和該第一介電層中蝕刻一溝槽;在該溝槽中成長該鰭;移除該第二介電層;在該鰭中蝕刻一源極/汲極凹口,該源極/汲極凹口延伸通過該接觸蝕刻停止層;在該源極/汲極凹口中成長一磊晶源極/汲極區;在該磊晶源極/汲極區和該接觸蝕刻停止層上沉積一層間介電層;以一等向性蝕刻來蝕刻通過該層間介電層的一開口,該等向性蝕刻移除該層間介電層在該磊晶源極/汲極區下方的部分,以暴露出該接觸蝕刻停止層和該磊晶源極/汲極區的一下方刻面;以及在該開口中形成一源極/汲極接點,該源極/汲極接點沿該磊晶源極/汲極區的該下方刻面延伸。
  2. 如請求項1之半導體結構的形成方法,其中蝕刻通過該層間介電層的該開口包括:進行一非等向性蝕刻以形成該開口,在該非等向性蝕刻之後,該開口暴露出該磊晶源極/汲極區的一上方刻面,在該非等向性蝕刻之後,該磊晶源極/汲極區的該下方刻面仍然被覆蓋;以及進行該等向性蝕刻以擴展該開口,在該等向性蝕刻之後,該開口暴露出該磊 晶源極/汲極區的該下方刻面。
  3. 如請求項2之半導體結構的形成方法,其中該層間介電層包括氧化矽,該接觸蝕刻停止層包括氮化矽,該非等向性蝕刻為以四氟甲烷、六氟丁二烯、八氟環丁烷或八氟環戊烯進行的一乾蝕刻,且該等向性蝕刻為以稀釋氫氟酸、氟化氫和氨或三氟化氮和氨進行的一濕蝕刻。
  4. 如請求項2之半導體結構的形成方法,其中該層間介電層包括氧化矽,該接觸蝕刻停止層包括氮化矽,該非等向性蝕刻為以四氟甲烷、六氟丁二烯、八氟環丁烷或八氟環戊烯進行的一第一乾蝕刻,該等向性蝕刻為以四氟甲烷、六氟丁二烯、八氟環丁烷或八氟環戊烯進行的一第二乾蝕刻,且該第二乾蝕刻在比該第一乾蝕刻更大壓力和更小偏壓電壓下進行。
  5. 如請求項1至4中任一項之半導體結構的形成方法,更包括:在該磊晶源極/汲極區的一上方刻面上形成一金屬半導體合金區,該源極/汲極接點接觸該金屬半導體合金區和該磊晶源極/汲極區的該下方刻面的一子集。
  6. 如請求項1至4中任一項之半導體結構的形成方法,其中在蝕刻該開口之前,該層間介電層物理接觸該磊晶源極/汲極區。
  7. 如請求項1至4中任一項之半導體結構的形成方法,其中在形成該源極/汲極接點之後,一空隙保留在該磊晶源極/汲極區下方,該空隙暴露出該接觸蝕刻停止層的一部分。
  8. 一種半導體結構,包括:一隔離區,位於一基底上;一接觸蝕刻停止層,位於該隔離區上;一第一鰭,延伸通過該接觸蝕刻停止層和該隔離區; 一第二鰭,延伸通過該接觸蝕刻停止層和該隔離區;一磊晶源極/汲極區,在該第一鰭和該第二鰭中,該磊晶源極/汲極區設置於該接觸蝕刻停止層的一第一部分上方,該接觸蝕刻停止層的該第一部分設置於該第一鰭與該第二鰭之間;一層間介電層,位於該磊晶源極/汲極區和該接觸蝕刻停止層的一第二部分上;以及一源極/汲極接點,延伸通過該層間介電層,該源極/汲極接點接觸該接觸蝕刻停止層的該第二部分和該磊晶源極/汲極區的一下方刻面。
  9. 如請求項8之半導體結構,更包括:一空隙,位於該磊晶源極/汲極區下方,該空隙暴露出該接觸蝕刻停止層的該第一部分和該磊晶源極/汲極區的該下方刻面的一子集。
  10. 如請求項8或9之半導體結構,其中該接觸蝕刻停止層和該源極/汲極接點的界面平行於該基底的一主要表面。
  11. 如請求項8或9之半導體結構,其中該磊晶源極/汲極區的該下方刻面沒有該接觸蝕刻停止層。
  12. 如請求項8或9之半導體結構,其中該磊晶源極/汲極區的該下方刻面沒有該層間介電層。
  13. 如請求項8或9之半導體結構,更包括:一閘極結構,位於該第一鰭和該第二鰭上,該閘極結構接觸該接觸蝕刻停止層的該第一部分和該第二部分。
  14. 一種半導體結構,包括:一接觸蝕刻停止層,位於一基底上方; 一鰭,延伸通過該接觸蝕刻停止層;一磊晶源極/汲極區,位於該鰭中,該磊晶源極/汲極區延伸通過該接觸蝕刻停止層;一金屬半導體合金區,接觸該磊晶源極/汲極區的一上方刻面;一源極/汲極接點,接觸該金屬半導體合金區、該磊晶源極/汲極區的一下方刻面和該接觸蝕刻停止層的一第一表面;一層間介電層,圍繞該源極/汲極接點,該層間介電層接觸該接觸蝕刻停止層的該第一表面;以及一空隙,位於該磊晶源極/汲極區與該接觸蝕刻停止層之間,且該空隙暴露該磊晶源極/汲極區的表面及該接觸蝕刻停止層的表面。
TW110119827A 2020-06-11 2021-06-01 半導體結構及其形成方法 TWI798709B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/898,655 2020-06-11
US16/898,655 US11515165B2 (en) 2020-06-11 2020-06-11 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW202201556A TW202201556A (zh) 2022-01-01
TWI798709B true TWI798709B (zh) 2023-04-11

Family

ID=77370575

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110119827A TWI798709B (zh) 2020-06-11 2021-06-01 半導體結構及其形成方法

Country Status (5)

Country Link
US (2) US11515165B2 (zh)
KR (1) KR102548077B1 (zh)
CN (1) CN113314609A (zh)
DE (1) DE102020119976B4 (zh)
TW (1) TWI798709B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7232081B2 (ja) * 2019-03-01 2023-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN113903665A (zh) * 2020-07-06 2022-01-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN114121626A (zh) * 2020-08-27 2022-03-01 联华电子股份有限公司 一种制作半导体元件的方法
US20240047342A1 (en) * 2022-08-08 2024-02-08 Tokyo Electron Limited Selective deposition of liner and barrier films for resistance reduction of semiconductor devices
CN116053274B (zh) * 2023-01-28 2023-06-27 合肥晶合集成电路股份有限公司 一种半导体集成器件及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150200260A1 (en) * 2014-01-16 2015-07-16 Globalfoundries Inc. Method to form wrap-around contact for finfet
US20150303118A1 (en) * 2014-04-21 2015-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-Around Contact
US20170125543A1 (en) * 2015-10-30 2017-05-04 International Business Machines Corporation Trench silicide contacts with high selectivity process
US20170243760A1 (en) * 2016-02-18 2017-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9006786B2 (en) * 2013-07-03 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9385197B2 (en) 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
KR102230198B1 (ko) * 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102407994B1 (ko) * 2015-03-23 2022-06-14 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR20160141034A (ko) * 2015-05-27 2016-12-08 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US10084085B2 (en) * 2015-06-11 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
US9922978B2 (en) * 2015-08-21 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with recessed source/drain structure and method for forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10164012B2 (en) * 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10164042B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20190348415A1 (en) * 2017-03-30 2019-11-14 Intel Corporation Transistors employing cap layer for ge-rich source/drain regions
US10141431B1 (en) * 2017-07-31 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy source/drain regions of FinFETs and method forming same
US10868181B2 (en) * 2017-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with blocking layer and method for forming the same
US10686074B2 (en) * 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
US10332789B2 (en) * 2017-11-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with TiN adhesion layer for forming a contact plug
US11183423B2 (en) * 2017-11-28 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Liner structure in interlayer dielectric structure for semiconductor devices
US10395991B2 (en) * 2017-12-04 2019-08-27 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US11031286B2 (en) * 2018-03-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10763363B2 (en) * 2018-04-10 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient doped region of recessed fin forming a FinFET device
US10553492B2 (en) * 2018-04-30 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Selective NFET/PFET recess of source/drain regions
US10714578B2 (en) * 2018-05-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming recesses in source/drain regions and devices formed thereof
US10854716B2 (en) * 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US11024550B2 (en) * 2018-08-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10566246B1 (en) * 2018-08-17 2020-02-18 International Business Machines Corporation Shared contact trench comprising dual silicide layers and dual epitaxial layers for source/drain layers of NFET and PFET devices
US10930564B2 (en) * 2018-08-31 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US10943835B2 (en) 2018-10-05 2021-03-09 International Business Machines Corporation Fabrication of silicon germanium channel and silicon/silicon germanium dual channel field-effect transistors
US11069579B2 (en) * 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102020122151A1 (de) * 2020-04-28 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11545546B2 (en) * 2020-06-30 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150200260A1 (en) * 2014-01-16 2015-07-16 Globalfoundries Inc. Method to form wrap-around contact for finfet
US20150303118A1 (en) * 2014-04-21 2015-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-Around Contact
US20170125543A1 (en) * 2015-10-30 2017-05-04 International Business Machines Corporation Trench silicide contacts with high selectivity process
US20170243760A1 (en) * 2016-02-18 2017-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US20220367198A1 (en) 2022-11-17
TW202201556A (zh) 2022-01-01
KR20210154681A (ko) 2021-12-21
KR102548077B1 (ko) 2023-06-26
DE102020119976A1 (de) 2021-12-16
US20210391184A1 (en) 2021-12-16
DE102020119976B4 (de) 2024-06-06
US11515165B2 (en) 2022-11-29
CN113314609A (zh) 2021-08-27

Similar Documents

Publication Publication Date Title
TWI798709B (zh) 半導體結構及其形成方法
US11532519B2 (en) Semiconductor device and method
KR102418726B1 (ko) 반도체 디바이스 및 방법
TWI811657B (zh) 半導體元件及其形成方法
TWI780640B (zh) 半導體裝置及其形成方法
TWI801859B (zh) 半導體裝置及其形成方法
TWI801919B (zh) 半導體裝置及其形成方法
TWI783502B (zh) 半導體結構及其形成方法
KR20220134407A (ko) 트랜지스터 게이트 컨택트 및 이를 형성하는 방법
KR102425698B1 (ko) 반도체 디바이스 및 방법
KR102473596B1 (ko) 반도체 FinFET 디바이스 및 방법
TWI802315B (zh) 半導體裝置的形成方法
KR102224831B1 (ko) 반도체 FinFET 디바이스 및 방법
TWI795774B (zh) 填充結構及其製造方法
US20230155005A1 (en) Semiconductor device and method