TW202310287A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202310287A
TW202310287A TW111122819A TW111122819A TW202310287A TW 202310287 A TW202310287 A TW 202310287A TW 111122819 A TW111122819 A TW 111122819A TW 111122819 A TW111122819 A TW 111122819A TW 202310287 A TW202310287 A TW 202310287A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
gate
dielectric
epitaxial
Prior art date
Application number
TW111122819A
Other languages
English (en)
Inventor
黃玉蓮
陳頤珊
黃冠達
林含諭
林立德
蔡明桓
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202310287A publication Critical patent/TW202310287A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種半導體裝置,包括半導體通道、耦合到半導體通道的磊晶結構、以及電性耦接到半導體通道的閘極結構。 該半導體裝置還包括電性耦接到磊晶結構的第一互連結構和含氮的介電層。 介電層包括從覆蓋閘極結構或第一互連結構的含氮的介電覆蓋層突出之第一部分。

Description

半導體裝置
本發明係有關於一種半導體裝置及其製造方法。
半導體積體電路(IC)行業經歷了指數級增長。積體電路的材料以及設計之技術進步產生了幾代積體電路,每一代的積體電路都比上一代更小、更複雜。在積體電路演進過程中,功能密度(即晶片單位面積(per chip area)相互連接之裝置的數量)普遍增加,而幾何尺寸(即可以使用製程所製造的最小元件(或線))已減小。這種按比例縮小的過程通常以提高生產效率以及降低相關成本的方式來提供好處。這種按比例縮小還增加了積體電路結構(例如,3D電晶體)以及加工的複雜性,為了實現這些進步需要在積體電路加工以及製造方面進行類似的發展。例如,當裝置尺寸繼續減小時,裝置性能(例如,與各種缺陷相關的裝置性能下降)以及場效應電晶體的製造成本變得更具挑戰性。雖然解決這種挑戰的方法通常是足夠的,但它們在所有方面並未令人完全滿意的。
在一些實施例中,本公開係有關於一種半導體裝置,上述半導體裝置包括半導體通道、磊晶結構、閘極結構、第一互連結構以及介電層。磊晶結構耦接至半導體通道。閘極結構電性耦接到半導體通道。第一互連結構電性耦接到磊晶結構。介電層含有氮。介電層包括第一部分,第一部分從含氮的介電覆蓋層突出,介電層覆蓋閘極結構或第一互連結構。
在其他實施例中,本公開係有關於一種半導體裝置,包括半導體鰭片、閘極結構、磊晶結構、第一互連結構以及介電層。半導體鰭片沿第一橫向方向延伸。閘極結構沿第二橫向方向延伸並跨接於半導體鰭片,第一橫向方向垂直於第一橫向方向。磊晶結構設置在半導體鰭片中並靠近閘極結構。第一互連結構沿第二橫向方向延伸且設置於磊晶結構上方。介電層包括形成階梯的第一部分以及第二部分。
在其他實施例中,本發明係有關於一種製造半導體裝置的方法,包括形成由含氮的介電覆蓋層覆蓋的第一金屬結構;在第一金屬結構旁邊形成第二金屬結構;生長介電層,介電層包括覆蓋含氮的介電覆蓋層的較厚部分以及覆蓋不含氮的表面的較薄部分;以及形成電性耦接到第二金屬結構的互連結構。
以下揭露提供了許多不同的實施例或示例,用於實現所提供主題的不同特徵。下面描述組件以及佈置的具體示例以簡化本揭露。當然,這些僅僅是用以示例並且不旨在進行限制。例如,在下面的描述中,在第二特徵之上或之上形成第一特徵可以包括第一以及第二特徵形成為直接接觸的實施例,並且還可以包括附加特徵可以在兩個特徵之間形成的實施例。第一以及第二特徵,使得第一以及第二特徵可以不直接接觸。此外,本揭露可以在各種示例中重複參考數字及/或字母。這種重複是為了簡單以及清楚的目的,並且其本身並不規定所討論的各種實施例及/或配置之間的關係。
進一步來說,本揭露可能會使用空間相對術語,例如「在…下方」、「下方」、「低於」、「在…上方」、「高於」及類似詞彙,以便於敘述圖式中一個元件或特徵與其他元件或特徵間之關係。除了圖式所描繪之方位外,空間相對術語亦欲涵蓋使用中或操作中之裝置其不同方位。設備可能會被轉向不同方位(旋轉90度或其他方位),而此處所使用之空間相對術語則可相應地進行解讀。
積體電路製程通常利用一系列圖案化製程來產生多個積體電路特徵。例如,現有製程利用圖案化結構來定義相鄰積體電路特徵(例如,源極/汲極結構)的相應互連結構之間的介電層間距。通常希望使這種互連結構呈現相對更大的長度或寬度(在互連結構排列的方向上),因為它們各自的接觸電阻(通常稱為“R c”)可以相應地減小。
本揭露提供了在電晶體裝置上的第一互連結構以及第二互連結構之間或閘極結構以及第三互連結構之間選擇性地形成介電層的較厚部分的各種實施例。在一些實施例中,由氮化硼(BN)製成的相對較厚的介電層可以選擇性地形成在含氮的材料上,例如在閘極結構頂部上的第一介電覆蓋層或在第一內連結構層頂部上的第二介電覆蓋層。當製作通往閘極結構或第一互連結構的金屬接點時,相對較厚的介電層提供額外的介電緩衝。在這樣的實施例中,由氮化硼製成的相對較薄的介電層形成在電晶體裝置的其他表面上,例如層間介電層(interlayer dielectric,ILD)、第一互連結構或第一介電覆蓋層。在一些實施例中,相對較薄的介電層形成在不含氮的材料上。在一些實施例中,第一互連結構、第二互連結構以及第三互連結構包括金屬。在一些實施例中,相對較厚的介電層以及相對較薄的介電層可以包括碳化硼(B xC y)、氧化硼(B 2O 3)、C xF y聚合物等。在一些實施例中,可以使用相應的選擇性生長技術來生長相對較厚的介電層,同時使其他表面覆蓋有相對較薄的介電層。
本揭露涉及但不限於鰭式場效應電晶體(FinFET)裝置。這種鰭式場效應電晶體裝置具有包括從基板突出之鰭片的三維結構。閘極結構環繞鰭片,且被配置為控制鰭式場效應電晶體裝置的導電通道內的電荷載子的流動。例如,在三閘極鰭式場效應電晶體裝置中,閘極結構環繞鰭片的三個側面,從而在鰭片的三個側面上形成導電通道。應當注意,半導體裝置的其他配置亦可受益於從本揭露之各方面。例如,如本文所述的一些實施例也可應用於環閘(gate-all-around,GAA)裝置、Ω閘裝置或Π閘裝置。以下揭露將繼續以鰭式場效應電晶體為示例來說明本發明的各種實施例。然而,應當理解,該應用不應限於特定類型的半導體裝置。
第1圖係顯示根據各種實施例所述之鰭式場效應電晶體裝置100的透視圖。 鰭式場效應電晶體裝置100包括基板102以及在基板102上方突出的鰭片104。磊晶結構106形成在鰭片中(或從鰭片延伸)。磊晶結構106可以包括源極區或汲極區。隔離區108形成在鰭片104的相對側上。在一些實施例中,鰭片104可以突出到隔離區108上方。閘極介電層110沿著鰭片104的側壁並且在鰭片104的頂表面之上,並且閘極112位於在閘極介電層110上,閘極112與閘極介電層110有時可以統稱為閘極結構。
第2A-2B圖係顯示根據本揭露的一個或多個實施例所述之形成電晶體裝置的互連結構的製造方法200的流程圖。例如,製造方法200的至少一些操作可用於形成鰭式場效應電晶體裝置(例如,鰭式場效應電晶體裝置300)。需要說明的是,製造方法200僅為示例,並不用於限制本發明。應當理解,積體電路可以包括許多其他裝置,包括電阻器、電容器、電感器、保險絲等,為了清楚起見未示出這些裝置。因此,應當理解,可以在第2A-2B圖的製造方法200之前、期間以及之後提供附加操作。參見第2A-2B圖,此處可能僅對一些操作進行簡要描述。
在一些實施例中,製造方法200的操作可以與示例在如第3A、4A、5A、6A、7A、8A、9A、10A、11A以及12A圖之一者所示在各種製造階段之鰭式場效應電晶體裝置300之透視圖相關聯、與第13A、14A、15A、16A、17A、18A以及18D圖之一者所示在各種製造階段的鰭式場效應電晶體裝置300的頂視圖相關聯以及與第 3B、4B、5B、6B、7B、8B、9B、10B、11B、12B、13B、13C、14B、14C、15B、15C、16B、16C、17B、17C、18B、18C以及18E圖所示之在不同製造階段的鰭式場效應電晶體裝置300的橫剖面圖相關聯,將在下面更詳細地討論。
簡而言之,製造方法200以提供半導體基板的操作202開始。製造方法200繼續到操作204,形成一個或多個鰭片,且鰭片延伸超出半導體基板的主表面。製造方法200繼續到操作206,圍繞每個鰭片的下部形成一個或多個隔離結構。製造方法200繼續到操作208,在每個鰭片的中心部分上方形成偽閘極(dummy gate)結構。製造方法200繼續到操作210,去除每個鰭片的尾端以形成源極/汲極溝槽。製造方法200繼續到操作212,在半導體基板上方形成毯式介電層。在一些實施例中,操作212是可選的。製造方法200繼續到操作214,在每個源極/汲極溝槽中形成介電結構。在一些實施例中,操作214是可選的。製造方法200繼續到操作216,分別在源極/汲極溝槽中的介電結構上方形成源極/汲極區。製造方法200繼續到操作218,形成層間介電層(ILD)。製造方法200繼續到操作220,用閘極結構(有時稱為第一金屬結構)代替偽閘極結構。製造方法200繼續至操作222,在閘極結構上方形成第一介電覆蓋層(有時稱為含氮的覆蓋層)。第一介電覆蓋層含氮。
製造方法200繼續到操作224,在電晶體裝置的頂表面上形成至少一個光阻層。製造方法200繼續到操作226,對光阻層進行蝕刻製程及/或多個製程。製造方法200繼續到操作228,通過蝕刻製程在由操作218形成的層間介電層中形成凹槽。製造方法200繼續到操作230,在操作228中形成的凹槽填充第一互連結構(有時稱為第二金屬結構)。製造方法200繼續到操作232,化學機械拋光(CMP)製程可以去除任何多餘的絕緣材料。
製造方法200繼續到操作234,在現有電晶體裝置上生長介電層。製造方法200繼續到操作236,形成第二層間介電層。製造方法200繼續到操作238,在現有電晶體裝置的頂表面上形成至少一個光阻層。製程方法200繼續到操作240,對光阻層進行微影製程及/或多個製程。製造方法200繼續到操作242,通過蝕刻製程在第二層間介電層中形成凹槽。製造方法200繼續到操作244,來自操作242的凹槽填充電性耦接到第一互連結構之第二互連結構。製造方法200繼續到操作246,化學機械拋光製程可以去除任何多餘的絕緣材料。製造方法200繼續到操作248,在現有電晶體裝置的頂表面上形成一個或多個金屬層。
對應於第2A圖的操作202,第3A圖是根據一些實施例中在製造的各個階段之一的鰭式場效應電晶體裝置300的透視圖,該鰭式場效應電晶體裝置300包括基板302。第3B圖係為沿第3A圖之線A-A切割之鰭式場效應電晶體裝置300的剖面圖。在一些實施例中,基板302係焊墊層(pad layer)304、遮罩層306以及感光層308所覆蓋,感光層308被圖案化為具有一個或多個開口310。
基板302可以是半導體基板,例如體半導體(bulk semiconductor)、絕緣體上半導體(SOI)基板等,其可以被摻雜(例如,用P型或N型摻雜劑)或未摻雜。基板302可以是晶圓,例如矽晶圓。通常,SOI基板包括形成在絕緣層上的半導體材料層。絕緣層可以是例如掩埋氧化物(BOX)層、氧化矽層等。絕緣層設置在基板上,通常是矽或玻璃基板。也可以使用其他基板,例如多層基板或梯度基板。在一些實施例中,基板302的半導體材料可以包括矽、鍺、包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦之一種化合物半導體、包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP之合金半導體或其組合。
焊墊層304可以是包括例如使用熱氧化製程形成的氧化矽的薄膜。焊墊層304可以作為基板302以及遮罩層306之間的粘附層。焊墊層304還可以在蝕刻遮罩層306時作為蝕刻停止層。在一些實施例中,遮罩層306由氮化矽所形成,例如,使用低壓化學氣相沉積(LPCVD)或電漿增強化學氣相沉積 (PECVD)。遮罩層306在隨後的微影製程期間用作硬遮罩。感光層308形成在遮罩層306上然後圖案化,從而在感光層308中形成開口310。
對應於第2A圖的操作204,第4A圖係顯示根據一些實施例的鰭式場效應電晶體裝置300的透視圖,鰭式場效應電晶體裝置300包括處於製造的一階段之至少一個鰭片404。第4B圖係沿第4A圖之線A-A截取的鰭式場效應電晶體裝置300的剖面圖。
如圖所示,鰭片 404 具有沿線 B-B 延伸的縱向(或縱向)軸線,該軸線垂直於線 A-A,並且夾在溝槽 413 之間。要注意的是,儘管在第3A-3B圖所示實施例中(以及以下的圖)顯示了一個鰭片404,可以使用具有相應圖案的感光層308(第3A-3B圖)在半導體基板302上形成任何期望數量的鰭片。因此,當在基板302上形成多個彼此平行的鰭片時,鰭片可以通過對應的溝槽413彼此間隔開。
鰭片404通過以下製程中的至少一些操作所形成。遮罩層306以及焊墊層304通過開口310(第3A-3B圖)被蝕刻以暴露下面的基板302。如第4A圖以及第4B圖所示,通過使用剩餘的焊墊層304以及遮罩層306,然後蝕刻暴露的半導體基板302以形成溝槽413,從而使半導體基板302的表面403暴露。夾在溝槽413之間的基板302的部分因此形成為鰭片404。鰭片404各自從表面403向上延伸。溝槽413可以是彼此平行的條帶(從鰭式場效應電晶體裝置300的頂部看),並且彼此之間的距離很近。在形成鰭片404之後,去除感光層308(為了清楚起見,在第4A-4B圖中未示出)。隨後,可以執行清潔製程以去除半導體基板302的原生氧化物。清潔製程可以使用稀釋的氫氟酸(diluted hydrofluoric,DHF)等來執行。
對應於第2A圖的操作206,第5A圖係根據一些實施例所述之包括在製造的多個階段之一處之淺溝槽隔離區502之鰭式場效應電晶體裝置300的透視圖。第5B圖係為沿第5A圖之線A-A切割的鰭式場效應電晶體裝置300的剖面圖。
由絕緣材料形成的淺溝槽隔離區502可以將相鄰的鰭片彼此電隔離。絕緣材料可以是氧化物,例如氧化矽、氮化物等、或其組合,並且可以通過高密度電漿化學氣相沉積(HDP-CVD)、可流動化學氣相沉積(FCVD)(例如,在遠程電漿系統中沉積基於化學氣相沉積的材料並進行後固化以使其轉化為另一種材料,例如氧化物)等,或其組合。可以使用其他絕緣材料及/或其他形成製程。在所示實施例中,絕緣材料是通過可流動化學氣相沉積製程形成的氧化矽。一旦形成絕緣材料,就可以執行退火製程。諸如化學機械拋光(CMP)的平坦化製程可以去除任何多餘的絕緣材料並且形成共面的淺溝槽隔離區502的頂表面以及鰭片404的頂表面(未示出)。剩餘的焊墊層304以及遮罩層306(第4A-4B圖)也可以通過平坦化製程去除。
在一些實施例中,淺溝槽隔離區502在每個淺溝槽隔離區502以及基板302之間的界面處包括襯墊,例如襯墊氧化物(未示出)。在一些實施例中,形成襯墊氧化物以減少基板302以及淺溝槽隔離區502之間的界面處的晶體缺陷。類似地,襯墊氧化物也可以用於減少鰭片404以及淺溝槽隔離區502之間的界面處的晶體缺陷。襯墊氧化物(例如,氧化矽)可以是通過熱氧化基板302的表面層所形成的熱氧化物,儘管也可以使用其他合適的方法來形成襯墊氧化物。
接下來,使淺溝槽隔離區502凹陷以形成淺溝槽隔離(STI)區502,如第5A-5B圖所示。淺溝槽隔離區502是凹陷的,使得鰭片404的上部(以下稱為“鰭片404A”)從相鄰的淺溝槽隔離區502之間突出。換言之,鰭片404A從淺溝槽隔離區502的頂表面503突出。突出的鰭片404A可以分別用作第一組電晶體的導電通道以及第二組電晶體的導電通道。淺溝槽隔離區502的頂表面503可以具有平坦表面(如圖所示)、凸面、凹面(例如凹陷)或其組合。 淺溝槽隔離區502的頂表面503可以通過適當的蝕刻形成為平坦的、凸的及/或凹的。可以使用可接受的蝕刻製程使淺溝槽隔離區502凹陷,例如對淺溝槽隔離區502的材料具有選擇性的蝕刻製程。例如,可以執行乾式蝕刻或使用稀氫氟酸(DHF)之濕式蝕刻以使淺溝槽隔離區502凹陷。
對應於第2A圖的操作208,第6A圖係根據一些實施例所述之包括在製造的各個階段之一的偽閘極結構600之鰭式場效應電晶體裝置300的透視圖,並且第6A圖係為在製造的各個階段之一處的鰭式場效應電晶體裝置300的透視圖。第6B圖係為沿第6A圖之線B-B切割之鰭式場效應電晶體裝置300的剖面圖。
如圖所示,偽閘極結構600具有沿線A-A延伸的縱向(或縱向)軸線,該線垂直於鰭片404的縱向軸線(線B-B)。在一些實施例中,偽閘極結構600覆蓋鰭片404A的中心部分,例如覆蓋鰭片404A的中心部分的頂表面405以及側壁407。由偽閘極結構600覆蓋的鰭片404A的這種中心部分可以用作鰭式場效應電晶體裝置300的導電通道以傳導沿線B-B流動的電流。
偽閘極結構600包括偽閘極介電層602以及偽閘極電極604,它們將在隨後的去除(例如,蝕刻)製程中被去除以形成金屬(或其他主動)閘極結構。偽閘極介電層602以及偽閘極電極604可以通過執行以下製程中的至少一些來形成。在鰭片404A上方形成介電層(用於形成偽閘極介電質602)。介電層可以是例如氧化矽、氮化矽、其多層組合等,並且可以被沉積或熱生長。接著,在介電層上方形成閘極層(用於形成偽閘極電極604),並在閘極層上方形成遮罩層。閘極層可以沉積在介電層之上,然後例如通過化學機械拋光平坦化。遮罩層可以沉積在閘極層上方。閘極層可以由例如多晶矽形成,但也可以使用其他材料。遮罩層可以由例如氮化矽等形成。在這些層(例如,介電層、閘極層以及遮罩層)形成之後,可以使用可接受的微影以及蝕刻技術對遮罩層進行圖案化以形成遮罩606。然後可以通過可接受的蝕刻技術將遮罩606的圖案轉移至閘極層以及介電層,以分別形成偽閘極介電層602以及偽閘極電極604。
偽閘極介電層 602 被顯示為形成在鰭片404A 之上方 (例如,在鰭片404A 的頂表面405以及側壁 407上方) 以及淺溝槽隔離區502 上方。在其他實施例中,偽閘極介電層 602 可以是通過例如鰭片404A的材料的熱氧化形成,因此可以形成在鰭片404A上方但不在淺溝槽隔離區502上方。應當理解,這些以及其他變形仍然包括在本揭露的範圍內。
對應於第2A圖的操作210,第7A圖係根據一些實施例所述之包括在製造的各個階段之一處之源極/汲極溝槽700以及閘極間隔件702之鰭式場效應電晶體裝置300之透視圖。第7B圖係顯示沿第7A圖之線B-B切割之鰭式場效應電晶體裝置300的剖面圖。
在一些實施例中,源極/汲極溝槽700形成在偽閘極結構600的相對側上。在一些實施例中,閘極間隔件(gate spacer)702可以形成在偽閘極結構600周圍。例如,閘極間隔件702可以包括分別沿著偽閘極結構600的側壁延伸的至少第一部分以及第二部分702A。閘極間隔件702還可以包括如第7A圖所示沿著線B-B從第一部分以及第二部分702A延伸的延伸部分702B。這種延伸部分702B隨後可用於引導或限制源極/汲極區從源極/汲極溝槽700的生長。在第7A圖中,延伸部分702B被顯示為具有四分之一圓形的橫截面。然而,應該理解的是,延伸部分702B的橫截面可以具有各種其他形狀中的任何一種(例如,扇形、矩形、梯形等),並且同屬於本揭露之範圍內。
在一些實施例中,閘極間隔件702以及源極/汲極溝槽700可以同時形成。例如,在偽閘極電極704以及鰭片404A的端部(暴露)部分(第6A圖)上沉積介電層(用於形成閘極間隔件702)。介電層可以是例如氧化矽、氮化矽、上述材料的多層組合等。可以使用任何合適的沉積方法,例如熱氧化、化學氣相沉積(chemical vapor deposition,CVD)等來形成介電層。接著,在介電層上進行一或多個微影製程以及一或多個蝕刻製程,以同時形成閘極間隔件702以及源極/汲極溝槽700。蝕刻製程可以是非均向性的。因此,鰭片404A轉角處且淺溝槽隔離區502之上的的部分介電層可以保留,這形成了延伸部分702B。當非均向性地蝕刻介電層時,可以去除覆蓋遮罩606的部分介電層。因此,可以重新曝光遮罩606。應當理解,閘極間隔件702可以在形成源極/汲極溝槽700之後或之前形成,並且皆在本揭露的範圍內。
如第7A與7B圖所示,在形成源極/汲極溝槽700時,鰭片404A的中心部分中被偽閘極電極604以及第一部分以及第二部分702A共同覆蓋的側壁可以被暴露。鰭片404A的側壁沿線B-B彼此相對。在一些實施例中,鰭片404A的這樣暴露的側壁可以用於在源極/汲極溝槽700中磊晶生長源極/汲極區域。除了暴露鰭片404A的側壁之外,源極/汲極溝槽700可以暴露鰭片404的表面,例如表面405。在第6A與6B圖(以及以下的圖)中,表面405在淺溝槽隔離區502的頂表面503的垂直下方。然而,應當理解,表面405可以形成為與頂表面503垂直對齊或在其上方,而仍然在本揭露的範圍內。
對應於第2A圖的操作212。第8A圖係根據一些實施例在製造的各個階段之一處之毯式介電層(blanket dielectric)800之鰭式場效應電晶體裝置300之透視圖。第8B圖係沿著第8A圖之線B-B切割之鰭式場效應電晶體裝置300之剖面圖。
在一些實施例中,毯式介電層800是可選的。如圖所示,可以形成覆蓋介電層800以覆蓋偽閘極結構600(其包括偽閘極介電層602以及偽閘極電極604)、閘極間隔件702(包括第一部份以及第二部分702A、以及延伸部分702B)以及淺溝槽隔離區502,並且至少填充每個源極/汲極溝槽700的下部。通過用覆蓋介電層800至少填充源極/汲極溝槽700的下部,鰭片404暴露之表面405可以被覆蓋介電材料,使鰭片404與隨後在鰭片404上方形成的任何導電特徵電絕緣。毯式介電層800可以減少通常透過在源極/汲極溝槽700之間傳導的鰭式場效電晶體裝置的漏電流。
毯式介電層800可以包括選自氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽、碳氮化矽、碳氮氧化矽及其組合組成的材料。在一些實施例中,毯式介電層800以及閘極間隔件702可以具有不同的材料以在後續製程中提供蝕刻選擇性。毯式介電層800可以通過高密度電漿化學氣相沉積 (high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積 (flowable chemical vapor deposition,FCVD) (例如,遠程電漿系統中的基於化學氣相沉積的材料沉積以及後固化以使其轉換成另一種材料,例如氧化物)等,或其組合來形成。在一些其他實施例中,毯式介電層800可以包括高k介電材料。同樣地,毯式介電層800可以具有大於約4.0或甚至大於約7.0的k值,並且可以包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb及其組合的金屬氧化物或矽酸鹽。這種高k的毯式介電層800的形成方法可以包括分子束沉積(MBD)、原子層沉積(ALD)、電漿增強化學氣相沉積等。
對應於第2A圖的操作214,第9A圖係根據一些實施例在不同製造階段之一處之介電結構900之鰭式場效應電晶體裝置300之透視圖,第9B圖係沿著第9A圖之線B-B切割之鰭式場效應電晶體裝置300之剖面圖。
在一些實施例中,介電結構900是可選的。如圖所示,在每個源極/汲極溝槽700中,可以形成介電結構900之一者。根據一些實施例,介電結構900可以被配置為將隨後形成的導電部件(例如,源極/汲極區)從鰭片404抬高或以其他方式分離,從而將導電部件與下面的鰭片404或基板302電隔離。繼而,可以有利地消除透過鰭片404或基板302而從源極/汲極區中的一者漏電至另一者的漏電路徑。因此,鰭式場效應電晶體裝置300 的性能可以顯著提高,例如降低截止電流Ioff、增加截止電流與導通電流之比(Ion/Ioff)等。
介電結構900可以通過執行至少一種乾式或濕式蝕刻製程去除毯式介電層800的一部分(第8A-8B圖),但部分地留下毯式介電層800位於源極/汲極溝槽700中的部分來形成。在某些實施例中,乾式或濕式蝕刻製程可以選擇性地去除毯式介電層800,同時使閘極間隔件702基本上完好無損的。例如,乾式或濕式蝕刻製程可以以比閘極間隔件702的材料更高的速率(例如,大5倍)蝕刻毯式介電層800的材料。蝕刻製程可以在閘極間隔件702處停止(例如,在延伸部分702B處停止)。因此,雖然毯式介電層800的大部分被蝕刻掉,但毯式介電層800延伸到源極/汲極溝槽700中的部分(例如,介電結構900)可以保留。
例如,濕式蝕刻製程可以包括使用稀氫氟酸(DHF)及/或胺衍生物蝕刻劑(例如,NH 4OH、NH 3(CH 3)OH、四甲基氫氧化銨(TMAH)等)。蝕刻劑可以與選擇的氧化劑混合以在毯式介電層800的材料上具有比閘極間隔件702、遮罩606以及淺溝槽隔離區502的相應材料更高的蝕刻速率。例如,氧化劑可以是一種基於氟化物的酸,例如氫氟酸(HF)、氟銻酸(H 2FSbF 6)等。
在另一個示例中,乾式蝕刻製程包括使用選自以下的反應氣體之電漿:基於碳氟化合物的氣體(例如,CF 4、CHF 3、CH 2F 2、CH 3F、C 4F 6、C 4F 8)、基於氟化硫的氣體(例如,SF 6)、氧氣、氯氣、三氯化硼、氮氣、氬氣、氦氣或其組合。電漿製程的操作條件可以被選擇為在毯式介電層800的材料上具有比閘極間隔件702、遮罩606以及淺溝槽隔離區502的相應材料更高的蝕刻速率。例如,電漿製程可以約為 3 mTorr ~ 約 500 mTorr,射頻(RF)功率在約 50 瓦 (W) ~ 1500瓦範圍內以產生低於約 500 °C 的溫度。製程氣體流量可根據所需的最佳製程條件而變化,示例包括:(i)CH 2F 2= 5sccm ~ 80 sccm; Ar = 100 sccm ~ 500 sccm; O 2= 2 sccm ~ 150 sccm; (ii) CH 3F= 5 sccm ~ 50 sccm; Ar = 100 sccm ~ 500 sccm; O 2= 2 sccm ~ 150 sccm。
介電結構900的頂表面可以具有平坦表面(如圖所示)、凸面、凹面(例如凹陷)或它們的組合。介電結構900的頂表面可以通過適當的蝕刻製程形成為平坦的、凸的及/或凹的。在一些實施例中,介電結構900的頂表面的輪廓可以影響在介電結構900上方外延生長源極/汲極區的速率或效率,這將在下文關於第10A與10B圖進行討論。在某些情況下,使介電結構900的頂表面具有V形(例如,凸面)可以有利地幫助源極/汲極區的生長。
如第9B圖所示,介電結構900可以從鰭片404的表面405延伸到源極/汲極溝槽700的某一點以具有第一高度H 1,其範圍可以從大約1奈米(nm)到100奈米。在一些實施例中,可以通過蝕刻製程的各種操作條件例如時間、溫度、濃度、壓力、功率等來控制第一高度H 1。在一些實施例中,可以選擇第一高度H 1以使介電結構900的頂表面垂直低於(閘極間隔件)延伸部分702B的頂表面,如第9A與9B圖所示。在一些實施例中,可以選擇第一高度H 1以使鰭片404A的側壁的部分以第二高度H 2重新暴露,如第9A圖所示。第一高度H 1與第二高度H 2的比率可以被優化調整以滿足完成的鰭式場效應電晶體裝置300的整體性能。因此,源極/汲極區域可以從鰭片404A的重新暴露的側壁磊晶生長並且由閘極間隔件之延伸部分702B所引導,下面將討論。
對應於第2B圖的操作216,第10A圖係根據一些實施例在製造的各個階段之一處之第一磊晶結構1068之鰭式場效應電晶體裝置300之透視圖。第10B圖係沿第10A圖之線B-B切割且包括第二磊晶結構1076之鰭式場效應電晶體裝置300之剖面圖。應當理解,磊晶結構是源極/汲極區。第一磊晶結構1068以及第二磊晶結構1076形成在偽閘極結構600的相對側上。此外,如圖所示,第一磊晶結構1068以及第二磊晶結構1076中的每一個可以形成在源極/汲極溝槽700之一中並藉由介電結構900之一者與鰭片404垂直隔開。
第一磊晶結構1068以及第二磊晶結構1076通過從源極/汲極溝槽700中的鰭片404A(第9A圖)的暴露側壁磊晶生長半導體材料而形成,且延伸部分702B用以限制或引導磊晶生長。因此,可以理解,雖然第一磊晶結構1068以及第二磊晶結構1076與鰭片404垂直隔開,但是第一磊晶結構1068以及第二磊晶結構1076之每一者從鰭片404A的端部延伸(例如,物理連接到),以作為鰭式場效電晶體裝置400之導電通道。如第10B圖所示(橫切第10A圖之線B-B),第一磊晶結構1068以及第二磊晶結構1076具有第一寬度W 1。第一寬度W 1可以等於或大於介電結構900的第二寬度W 2,其取決於磊晶生長的各種操作條件。第一磊晶結構1068以及第二磊晶結構1076可以使用各種合適的方法來磊晶生長,例如金屬有機化學氣相沉積(metal-organic chemical vapor deposition,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性外延磊晶(selective epitaxial growth,SEG)等或其組合。在一些實施例中,相鄰鰭片的磊晶結構可以合併以形成連續的磊晶源極/汲極區域(未示出)。在一些實施例中,相鄰鰭片的磊晶結構可以不合併在一起並且保持分離的磊晶結構。
在一些實施例中,當所得之鰭式場效應電晶體裝置300 是N型鰭式場效電晶體時,第一磊晶結構1068以及第二磊晶結構1076可以包括碳化矽(SiC)、矽磷(SiP)、磷摻雜的矽碳 (SiCP) 或其類似物。當所得之鰭式場效應電晶體裝置300是P型鰭式場效電晶體時,第一磊晶結構1068以及第二磊晶結構1076可以包括SiGe以及P型摻雜劑,例如硼或銦。
第一磊晶結構1068以及第二磊晶結構1076可以被注入摻雜劑以形成第一磊晶結構1068以及第二磊晶結構1076,隨後進行退火製程(anneal process)。摻雜製程(implanting process)可以包括形成遮罩以及圖案化遮罩,例如光阻劑,以覆蓋鰭式場效應電晶體裝置300的要被保護免受注入製程影響的區域。第一磊晶結構1068以及第二磊晶結構1076可以具有從大約1×10 19cm -3到大約1×10 21cm -3範圍內的摻雜(例如,摻雜劑)濃度。P型雜質,例如硼或銦,可以植入至P型電晶體的第一磊晶結構1068以及第二磊晶結構1076中。 N型雜質,例如磷或砷化物,可以植入至N型電晶體的第一磊晶結構1068以及第二磊晶結構1076中。在一些實施例中,磊晶源極/汲極結構可以在生長期間被原位摻雜。
在一些其他實施例中,每個介電結構900的側面(沿著線A-A)上的延伸部分702B可以具有不同的尺寸。例如,沿著介電結構900的側壁之延伸部分702B中的一個可具有相對較高的高度,而沿著介電結構900的側壁之延伸部分702B之另一個可具有相對較短的高度,這會導致對應的第一磊晶結構1068以及第二磊晶結構1076沿線A-A朝向不同側不對稱地生長。因此,第一磊晶結構1068以及第二磊晶結構1076可以包括兩個部分,其中一個設置在沿線A-A且具有相對較長寬度的第一側上,而另一個設置在沿線A-A且具有相對較短寬度的第二側上。
對應於第2A圖的操作218,第11A圖係根據一些實施例中之層間介電層(interlayer dielectric,ILD)1100在製造的各個階段之一處的鰭式場效應電晶體裝置300之透視圖。第11B圖係沿著第10A圖之線B-B切割之鰭式場效應電晶體裝置300的剖面圖。如圖所示,層間介電層 1100形成在偽閘極結構600(或閘極間隔件之第一部分以及第二部分702A)的側面以覆蓋第一磊晶結構1068以及第二磊晶結構1076。
在一些實施例中,層間介電層 1100形成在接點蝕刻停止層(contact etch stop layer,CESL)1102之上,如第10B圖的剖面圖所示。接點蝕刻停止層1102在後續蝕刻製程中用作蝕刻停止層,並且可以包括諸如氧化矽、氮化矽、氮氧化矽、及其組合等合適的材料,並且可以通過合適的形成方法來形成,例如化學氣相沉積、物理氣相沉積(Physical vapor deposition,PVD)、及其組合等。在一些實施例中,接點蝕刻停止層1102可以形成在第一磊晶結構1068 (未繪出)的頂表面之上。在一些實施例中,接點蝕刻停止層1102具有介於約3以及約10奈米之間的厚度,例如,3、4、5、6、7、8、9以及10奈米。
接下來,層間介電層 1100 形成在接點蝕刻停止層1102上方以及偽閘極結構600上方。在一些實施例中,層間介電層 1100 由諸如氧化矽、磷矽玻璃 (PSG)、硼矽玻璃(BSG)的介電材料形成)、硼摻雜的磷矽玻璃(BPSG)、未摻雜的矽酸鹽玻璃(USG)等,並且可以通過任何合適的方法沉積,例如化學氣相沉積、電漿增強化學氣相沉積或可流動化學氣相沉積。在形成層間介電層 1100之後,可以執行諸如化學機械拋光製程的平坦化製程以實現層間介電層1100的水平上表面。化學機械拋光還可以去除遮罩606(參見例如第10A與10B圖)以及設置於偽閘極結構600之上之接點蝕刻停止層1102。在一些實施例中,在平坦化製程之後,層間介電層 1100的上表面可以與偽閘極結構600的上表面齊平。在一些實施例中,層間介電層 1100的厚度在約10奈米以及約50奈米之間,例如,10、20、30、40以及50奈米。
對應於第2A圖的操作220,第12A圖係根據一些實施例在各個製造階段之一處之閘極結構(有時稱為第一金屬結構)1200的鰭式場效應電晶體裝置300之透視圖。第12B圖係沿第12A圖之線B-B切割之鰭式場效應電晶體裝置300之剖面圖。隨後執行示例性閘極後置製程(有時稱為替換閘極製程),用以將偽閘極結構600替換成閘極結構1200(其有時稱為替換閘極結構、金屬閘極結構或主動閘極結構) 。
在一些實施例中,閘極結構1200可以包括至少一個閘極介電層1202以及至少一個導電閘極電極1204,如第12B圖的剖面圖所示。鰭片404A的中心部分被導電閘極電極1204覆蓋,閘極介電層1202夾在鰭片404A與導電閘極電極1204之間。閘極介電層1202可以包括高k介電材料(例如,具有大於約4.0或甚至大於約7.0的k值)。在這樣的實施例中,高k閘極介電層1202可以包括選自以下的材料:Al 2O 3、HfAlO、HfAlON、AlZrO、HfO 2、HfSiO x、HfAlO x、HfZrSiO x、HfSiON、LaAlO 3、ZrO 2或它們的組合。高k閘極介電層1202可以使用諸如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍或其組合的合適製程來形成。導電閘極電極1204可以包括金屬材料,例如Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlN、TaN、NiSi、CoSi或其組合。在一些其他實施例中,導電閘極電極1204可以包括多晶矽材料。多晶矽材料可以摻雜有均勻或不均勻的摻雜濃度。導電閘極電極1204可以使用諸如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍或其組合的合適製程來形成。
對應於第2A圖的操作222,第13A圖係顯示包括分別在三個閘極結構1200、1210以及1220之上之三個第一介電覆蓋層(有時稱為含氮的介電覆蓋層)1312、1322以及1332之部分形成的鰭式場效電晶體裝置1300之俯視圖。為清楚起見,層間介電層 1100並未繪示。
在一些實施例中,鰭式場效電晶體裝置1300包括鰭式場效電晶體裝置300,但具有兩個以上的閘極結構。鰭式場效電晶體裝置 1300 包括但不限於鰭式場效電晶體裝置 300 的結構,例如閘極結構 1200-1220 (第12A-12B圖)、層間介電層 1100 (第 11A-11B圖)、第一磊晶結構1068以及第二磊晶結構1076 (第10A-10B圖)、淺溝槽隔離區502(第5A-5B圖)、鰭片404-406(第4A-4B圖)以及半導體基板302(第3A-3B圖)。 鰭式場效電晶體裝置 1300以更大的比例繪示,因此應當理解的是,上面關於鰭式場效電晶體裝置 300 所示的一些特徵/結構可能不會在鰭式場效電晶體裝置 1300 中再次示出,例如接點蝕刻停止層 1102 、閘極介電層1202以及導電閘極電極1204。
在各種實施例中,鰭片404以及鰭片406均沿線A-A(亦為第一橫向方向)延伸,閘極結構 1200、1210 以及 1220每一者均沿第二橫向方向 (例如,垂直於第一橫向方向)延伸並橫越鰭片404以及鰭片406。每個金屬閘極結構沿著其相對側包括閘極間隔件。例如,閘極間隔件702包括分別沿閘極結構1200的側面延伸的兩個部分;閘極間隔件712包括分別沿著閘極結構1210的側面延伸的兩個部分;閘極間隔件722包括分別沿著閘極結構1220的側面延伸的兩個部分。
每個鰭片可以被一個或多個閘極結構(以及相應的閘極間隔件)覆蓋以限定多個磊晶結構。例如,閘極結構1200(連同閘極間隔件702)覆蓋鰭片404的第一部分以定義第三磊晶結構1064以及第四磊晶結構1066;閘極結構1200(連同閘極間隔件702)覆蓋鰭片406的第一部分以定義第五磊晶結構1072以及第六磊晶結構1074;閘極結構1210(連同閘極間隔件712)覆蓋鰭片404的第二部分以定義第四磊晶結構1066以及第一磊晶結構1068;閘極結構1210(連同閘極間隔件712)覆蓋鰭片406的第二部分以定義第六磊晶結構1074以及第二磊晶結構1076;閘極結構1220(連同閘極間隔件722)覆蓋鰭片404的第三部分以定義第一磊晶結構1068以及第七磊晶結構1070;並且閘極結構1220(連同閘極間隔件722)覆蓋鰭片406的第三部分以定義第二磊晶結構1076以及第八磊晶結構1078。
鰭片的每個重疊部分可以具有耦合到一個或多個相應磊晶結構(例如,一對磊晶結構)的兩端。例如,第三磊晶結構1064以及第四磊晶結構1066分別耦合到鰭片404的第一重疊部分的末端;第四磊晶結構1066以及第一磊晶結構1068分別耦合到鰭片404的第二重疊部分的末端;第一磊晶結構1068以及第七磊晶結構1070分別耦合到鰭片404的第三重疊部分的末端;第五磊晶結構1072以及第六磊晶結構1074分別耦合到鰭片406的第一重疊部分的末端;第六磊晶結構1074以及第二磊晶結構1076分別耦合到鰭片406的第二重疊部分的末端;第二磊晶結構1076以及第八磊晶結構1078分別耦合到鰭片406的第三重疊部分的末端。
為了進一步說明鰭式場效電晶體裝置1300,第13B圖顯出了鰭式場效電晶體裝置1300沿線A-A切割的橫剖面圖,線A-A沿鰭片404的縱向延伸。第13C圖係顯示鰭式場效電晶體裝置 1300沿橫截面B-B切割之橫剖面圖,橫截面B-B穿過第一磊晶結構1068以及第二磊晶結構1076。
如第13C圖所示,從基板302突出的鰭片404以及406通過如第13C圖所示之淺溝槽隔離區502(有時稱為隔離結構)彼此分開。第一磊晶結構1068以及第二磊晶結構1076分別耦接到鰭片404以及鰭片406。層間介電層1100覆蓋磊晶結構,同時沿著每個閘極結構1200-1220的側壁延伸,如第13B圖所示。閘極結構1200-1220中的每一個可以包括閘極介電層(其可以包括一個或多個高k介電層)以及閘極介電層上方的閘極金屬(其可以包括一個或多個金屬層)。為了說明清楚起見,這樣的閘極介電層以及閘極金屬被統稱為金屬閘極結構。
此外,每個閘極結構(以及相應的閘極間隔件)可以被第一介電覆蓋層(有時稱為含氮的介電覆蓋層)覆蓋。例如在第13B圖中,閘極結構1200(以及閘極間隔件702)被第一介電覆蓋層1312覆蓋;閘極結構1210(以及閘極間隔件712)被第一介電覆蓋層1322覆蓋;並且閘極結構1330(以及閘極間隔件722)被第一介電覆蓋層1332覆蓋。在一些實施例中,第一介電覆蓋層1312至1332通過使閘極結構1200-1220凹陷然後填充至少相應的凹槽具有介電材料,然後進行化學機械拋光製程來形成。 化學機械拋光製程可以使第一介電覆蓋層1312-1332的頂表面與層間介電層 1100的頂表面齊平。在一些實施例中,第一介電覆蓋層1312-1332的厚度在大約10到大約60奈米之間,即 10、20、30、40、50 以及 60 奈米。在一些實施例中,第一介電覆蓋層1312-1332與層間介電層 1100的頂表面成約 60 度至約 90 度的角度,例如,60、65、70、75、80、85 以及 90 度。
在一些實施例中,第一介電覆蓋層1312-1332含氮。在一些其他實施例中,第一介電覆蓋層1312-1332可以是例如SN、SiOCN、SiOC、SiON、或其多層等。第一介電覆蓋層1312-1332可以沉積或熱生長來形成。例如,第一介電覆蓋層1312-1332可用熱氧化、化學氣相沉積(CVD)來形成。第一介電覆蓋層1312-1332可以被配置為分別保護閘極結構1200-1220。
對應於第2B圖的操作224至操作228,第14A圖係包括通過層間介電層1100形成的凹槽1402的部分形成的鰭式場效電晶體裝置1300的俯視圖。為了清楚起見,閘極間隔件702-722未在第14A圖中繪出。第14B以及14C圖係分別顯示沿第14A圖之線A-A以及線B-B切割之鰭式場效電晶體裝置1300之對應剖面圖。
對應於操作224且響應於用於產生圖案的曝光製程的至少一個光阻層(也稱為阻劑層(resist layer)、光敏層(photosensitive layer)、圖案化層、光敏層(light sensitive layer)等)形成在電晶體裝置的頂表面上。光阻層可以是正型或負型光阻材料並且可以形成多層結構。一種示例光阻材料是化學放大器(chemical amplifier,CA)光阻。然後,對應於操作226的微影製程可以施加於光阻層。微影圖案化製程可以包括光阻塗層(例如,旋塗)、軟烘烤、遮罩對齊、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如,硬烘烤)、其他合適的製程及/或其組合。微影製程可以包括暴露光阻層的一個或多個部分,同時保護光阻層的一個或多個其他部分。微影曝光製程也可以通過其他適當的方法來實施或替代,例如無遮罩微影、電子束寫入(electron-beam writing)、離子束寫入(on-beam writing)以及分子壓印(molecular imprint)。此外,光阻圖案化以及曝光製程可以實施氟化氪(KrF)準分子激光器、氟化氬(ArF)準分子激光器、浸沒式微影、紫外輻射、極紫外(EUV)輻射及/或其組合。
接下來,對應於操作228,可以通過蝕刻製程在層間介電層1100中形成凹槽1402,該蝕刻製程包括各種乾式蝕刻、濕式蝕刻及/或其他蝕刻方法(例如,反應離子蝕刻)。在一些實施例中,可以形成凹槽1402以暴露磊晶結構的頂表面。在一些實施例中,凹槽1402垂直夾在層間介電層 1100的兩個部分之間,如第14B圖所示。在一些實施例中,凹槽1402形成為與閘極間隔件712垂直接觸(未繪出)。凹槽1402的面可以是如第14B與14C圖所示的矩形形狀,但凹槽1402的面不限於矩形形狀。在這樣的實施例中,凹槽1402沿橫截面A-A的寬度可以在大約5奈米以及大約35奈米之間,例如,5、10、15、20、25、30以及35奈米。在這樣的實施例中,凹槽1402沿線B-B的長度可以在大約5奈米以及大約105奈米之間,例如,5、15、25、35、45、55、65、75、85、95以及105奈米。凹槽1402的面可以形成為三角形、梯形、圓形、矩形或其形狀的其他組合。在一些實施例中,凹槽1402的側壁間的角度可以在大約85度以及大約90度之間,例如,85、86、87、88、89以及90度。第一磊晶結構1068的頂表面在第14B圖中顯示為暴露。但應當理解,可以形成凹槽以暴露任何磊晶結構的頂表面。蝕刻製程可以包括實施含氧氣體、含氟氣體(例如,CF 4、NF 3、SF 6、CH 2F 2、CHF 3及/或CH 3F、C 4F 6、C 4F 8)、含氯氣體(例如,氯氣、Cl 2及/或 BCl 3))、含溴氣體 (例如 HBr)、其他合適的氣體及/或電漿,或其組合的乾式蝕刻製程。在蝕刻製程之後,通過任何合適的製程去除剩餘的光阻層,包括光阻剝離製程。可以理解的是,可以同時或獨立地去除多個光阻層。
對應於第2B圖中的操作230,第15A圖係顯示部分形成之鰭式場效電晶體裝置1300之俯視圖,其包括通過填充凹槽1402(第14A-14C圖)而形成的第一互連結構1504 (有時稱為第二金屬結構)。第15B以及15C圖係分別顯示沿橫截面A-A以及線B-B切割之第15A圖之部分形成之鰭式場效電晶體裝置1300之對應剖面圖。為了清楚起見,未繪出閘極間隔件702-722。
第一互連結構1504可以通過用金屬材料填充凹槽1402來形成,然後進行化學機械拋光製程以去除對應於第2B圖中的操作232的任何絕緣材料。金屬材料可以包括鎢(W),通過合適的方法形成,例如物理氣相沉積、化學氣相沉積、電鍍、化學鍍(electroless plating)等。除了鎢之外,也可以使用其他材料,例如銅(Cu)、金(Au)、鈷(Co)、釕(Ru)、其組合、其多層、其合金等來形成第一互連結構1504。在一些實施例中,第一互連結構1504不含氮。第一互連結構1504設置在諸如第一磊晶結構1068的頂表面上並且與該頂表面電性耦接,如第15B圖所示。如第15A圖所示,第一互連結構1504沿A-A方向延伸。在一些實施例中,第一互連結構1504包括與凹槽1402(第14A-14C圖)相同的形狀以及尺寸。可以理解,鰭式場效電晶體裝置可以包括多個第一互連結構,或者第一互連結構可以電性耦接到任何磊晶結構。在各種實施例中,第一互連結構1504可以是中端(middle-end-of-line,MEOL)互連網絡的一部分,其中這種互連結構有時被稱為“MD”。在一些實施例中,互連結構還可以包括設置在第一磊晶結構1068以及第一互連結構1504之間的矽化物層1506,如第15B與15C圖所示。矽化物層1506降低第一磊晶結構1068以及第一互連結構1504的接觸電阻。矽化物層1506可以包括金屬以及半導體材料的混合物,例如矽化鉑、矽化鈦、矽化鈷、矽化鎳、矽化鎢、鉬矽化物、鉭矽化物、其他金屬矽化物、鍺化物、聚矽化物、自對齊矽化物、其組合、其多層、其合金等。矽化物層1506在第一互連結構1504之前形成。矽化物層1506可以通過使用例如但不限於物理氣相沉積的方法在矽晶圓表面上濺射金屬膜、加熱矽晶圓以使矽晶圓與金屬反應而形成形成金屬矽化物,並去除金屬膜。在一些實施例中,矽化物層1506是可選的。可以理解,鰭式場效電晶體裝置可以包括在多個第一互連結構以及磊晶結構之間的多個矽化物層。
在一些實施例中,第一互連結構 1504 與矽化物層1506 或第一磊晶結構1068 沿線 A-A 的接點寬度在約 10 奈米以及約 30 奈米之間,例如,10、15、20 、25 以及 30 奈米。在一些實施例中,第一互連結構1504與矽化物層1506或第一磊晶結構1068沿線B-B的接點長度在約10奈米以及約100奈米之間,例如,10、20、30、40、 50、60、70、80、90 以及 100 奈米。在一些實施例中,第一互連結構1504與層間介電層 1100呈約86以及89度之間的角度(即,86、87、88以及89度)。
對應於第2B圖中的操作234至操作236,第16A圖係包括第二層間介電層 1600的部分形成的鰭式場效電晶體裝置1300的俯視圖。為了清楚起見,第二層間介電層 1600以及介電層1602之第二部分未在第16A圖中的第一互連結構上方示出。第16B以及16C圖係顯示分別沿線A-A以及線B-B切割之第16A圖之部分形成之鰭式場效電晶體裝置1300之對應剖面圖。
介電層1602可以包括具有第一厚度T l的介電層的第一部分1602A以及具有第二厚度T 2的介電層的第二部分1602B,其中介電層的第一部分1602A選擇性地設置在第一覆蓋介電層1322上,如第16B圖所示。如第16B圖所示,第一厚度T 1可以大於第二厚度T 2。第一厚度 T 1與第二厚度 T 2的比率可以大於 2。在一些實施例中,第一厚度 T 1可以在大約 1 奈米到大約 10 奈米之間(即,1、2、3、4、5、 6、7、8、9 以及 10 奈米)。在一些實施例中,介電層的第一部分1602A可以包括沿線A-A的寬度,該寬度在約10奈米以及約30奈米之間(即,10、15、20、25以及30奈米)。在一些實施例中,介電層的第一部分1602A可包括沿線B-B的長度在約10奈米以及約100奈米之間即,10、20、30、40、50、60、70、80、90以及 100 奈米)。介電層的第一部分1602A以及介電層的第二部分1602B可以形成階梯1603。在一些實施例中,階梯1603與層間介電層 1100之頂表面的夾角為大約60度到大約90度,例如,60、65、70、75、80、85以及90度。在一些實施例中,階梯1603沿著閘極結構的側壁1211或第一互連結構的側壁1505設置。在這樣的實施例中,閘極結構的側壁1211位於與第一互連結構1504相對的閘極結構1210,如第16B圖所示。在這樣的實施例中,第一互連結構的側壁1505位於與閘極結構1210相對的第一互連結構1504。介電層1602可以是整體形成的一體式結構。在一些實施例中,介電層1602可以形成為耦合在一起的多片。
介電層1602含氮。例如,介電層1602是氮化硼(BN)。氮化硼是一種極好的絕緣體,是一種低k介電材料(例如,k值小於約7.0或甚至小於約4.0),並具有選擇性沉積能力。氮化硼選擇性地沉積在氮化矽(SiN) 或矽表面(形成非揮發性副產物)上,而不沉積在氧化物表面(形成揮發性副產物)上。在一些實施例中,氮化硼選擇性地沉積在含氮的材料上。在一些實施例中,介電層的第一部分1602A選擇性地沉積在諸如第一介電覆蓋層1312-1332之類的含氮的材料上,並且介電層的第二部分1602B選擇性地沉積在諸如層間介電層 1100以及第一互連結構1504之類的不含氮的材料上。應當理解,任何合適的沉積方法,例如熱氧化、化學氣相沉積(CVD)等,都可以用於形成介電層1602。在一些其他實施例中,介電層1602可以包括碳化硼、氧化硼(B 2O 3)、SiN、C xF y聚合物,其中x以及y大於0等。
對應於操作236,第二層間介電層1600形成在介電層1602上方,如第16A、16B與 16C圖所示。在一些實施例中,第二層間介電層1600由諸如氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼摻雜的磷矽酸鹽玻璃(BPSG)、未摻雜的矽酸鹽玻璃(USG)等的介電材料形成,並且可以通過任何合適的方法沉積,例如化學氣相沉積、電漿增強化學氣相沉積或可流動化學氣相沉積。在形成第二層間介電層 1600之後,可以執行平坦化製程,例如化學機械拋光製程,以實現第二層間介電層 1600的水平上表面。在一些實施例中,第二層間介電層 1600的厚度可以在大約10奈米到約50奈米之間,例如,10、20、30、40 以及 50 奈米。
對應於第2B圖中的操作238至操作242,第17A圖係顯示包括通過第二層間介電層 1600形成之凹槽1702之部分形成之鰭式場效電晶體裝置1300之俯視圖。第17B以及17C圖係顯示分別沿第17A圖之線A-A以及線B-B切割之部分形成之鰭式場效電晶體裝置1300之對應剖面圖。
響應於用於產生圖案的曝光製程的至少一個光阻層(也稱為阻劑層、光敏層、圖案化層、光敏層等)形成在電晶體裝置的頂表面上。對應於操作238的光阻層可以是正型或負型光阻材料並且可以形成多層結構。一種示例光阻材料是化學放大器(CA)光阻。然後,對應於操作240的微影製程可以施加於光阻層。微影圖案化製程可以包括光阻塗層(例如,旋塗)、軟烘烤、遮罩對齊、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如,硬烘烤)、其他合適的製程及/或其組合。微影圖案化製程可以包括光阻塗層(例如,旋塗)、軟烘烤、遮罩對齊、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如,硬烘烤)、其他合適的製程及/或其組合。微影製程可以包括暴露光阻層的一個或多個部分,同時保護光阻層的一個或多個其他部分。微影曝光製程也可以通過其他適當的方法來實施或替代,例如無遮罩微影、電子束寫入(electron-beam writing)、離子束寫入(on-beam writing)以及分子壓印(molecular imprint)。此外,光阻圖案化以及曝光製程可以實施氟化氪(KrF)準分子激光器、氟化氬(ArF)準分子激光器、浸沒式微影、紫外輻射、極紫外(EUV)輻射及/或其組合。
然後,對應於操作242,可以通過蝕刻製程在第二層間介電層 1600中形成凹槽1702,所述蝕刻製程包括各種乾式蝕刻、濕式蝕刻及/或其他蝕刻方法(例如,反應離子蝕刻)。在一些實施例中,凹槽1702可以形成為暴露第一互連結構1504的頂表面。凹槽1702可以是如第17B與17C圖所示的矩形形狀,但凹槽1702不限於矩形形狀。在這樣的實施例中,凹槽1702沿線A-A的寬度可以在大約5以及大約35奈米之間,例如,5、10、15、20、25、30以及35奈米。在這樣的實施例中,凹槽1702沿線B-B的長度可以在大約5以及大約105奈米之間,例如,5、15、25、35、45、55、65、75、85、95以及105奈米。凹槽1702的面可以形成為矩形、三角形、梯形、圓形或它們的其他形狀的組合。在一些實施例中,凹槽1702的側壁之間的角度可以在大約85度以及大約90度之間,例如,85、86、87、88、89以及90度。在一些實施例中,可以形成凹槽1702以在第一互連結構1504中形成凹槽,如第17B圖所示。第一互連結構1504的頂表面在第17B圖中被示為暴露,但應當理解,可以形成凹槽以暴露任何互連結構的頂表面。在一些實施例中,凹槽1702可能不與第一互連結構1504(未繪出)完美對齊。如第17A圖所示,凹槽1702不沿著第一互連結構1504的整個長度延伸。蝕刻製程可以包括實施含氧氣體、含氟氣體(例如CF 4、NF 3、SF 6、CH 2F 2、CHF 3及/或CH 3F)、含氯氣體(例如Cl 2及/或BCl 3)、含溴氣體(例如HBr)、其他合適的氣體及/或電漿,或其組合。在蝕刻製程之後,通過任何合適的製程去除剩餘的光阻層,包括光阻剝離製程。可以理解,可以同時或獨立地去除多個光阻層。
對應於第2B圖中的操作244與操作246,第18A圖係部分形成之鰭式場效電晶體裝置1300之俯視圖,其包括通過填充凹槽1702(第17A-17C圖)而形成之第二互連結構1804。第18B以及18C圖係分別顯示沿第18A圖線A-A以及線B-B切割之部分形成之鰭式場效電晶體裝置1300之一部分之對應剖面圖。第18D圖係第18A-18C圖之另一個實施例。第18A-18C圖也是部分形成之鰭式場效電晶體裝置1300之俯視圖,該裝置包括電性耦接到與第一互連結構1504且不完全與第一互連結構1504對齊之第二互連結構1804。第18E圖係顯示沿第18D圖之線A-A切割之部分形成之鰭式場效電晶體裝置1300之一部分的剖面圖。
第二互連結構1804可以通過用金屬材料填充凹槽1702來形成,隨後進行化學機械拋光製程以去除對應於第2B圖中的操作246的任何絕緣材料。在一些實施例中,第二互連結構1804與凹槽1702(第17A、17B與17C圖)具有相同的形狀。金屬材料可以包括鎢(W),通過合適的方法形成,例如物理氣相沉積、化學氣相沉積、電鍍、化學鍍等。除了鎢之外,也可以使用其他材料,例如銅(Cu)、金(Au)、鈷(Co)、釕(Ru)、其組合、其多層、其合金等來形成第二互連結構1804。在一些實施例中,第二互連結構1804不含氮。
第二互連結構1804設置在第一互連結構1504的頂表面上並且與所述頂表面電性耦接,如第18B圖所示。介電層的第一部分1602A可以沿著第二互連結構1804的側壁的下部延伸。第二互連結構1804設置在介電層的第一部分1602A旁邊並且與介電層的第一部分1602A以及介電層的第二部分1602B之間的階梯相對。第二互連結構1804不沿線B-B方向延伸,如第18A圖所示。在一些實施例中,第二互連結構1804的底部部分與閘極結構1210的頂表面垂直隔開,第一介電覆蓋層1322位於閘極結構1210以及介電層的第一部分1602A之間,如第18C圖所示。應當理解,鰭式場效電晶體裝置可以包括多個第二互連結構,第二互連結構可以電性耦接到任何第一互連結構,或者第二互連結構可以與任何閘極結構垂直隔開。在各種實施例中,第二互連結構1804可以是中端(MEOL)互連網絡的一部分,其中這種互連結構有時被稱為“VD”。對應於第2B圖中的操作248,然後可以在鰭式場效電晶體裝置1300上方形成一個或多個金屬層(未繪出)。
在一些實施例中,第二互連結構 1804 與第一互連結構 1504 沿線A-A 的接點寬度在約 9 奈米以及約 30 奈米之間,例如,9、15、20、25、以及 30 奈米。在一些實施例中,第二互連結構1804與第一互連結構1504沿線B-B的接點長度在約10奈米以及約100奈米之間,例如,10、20、30、40、50、60、 70、80、90 以及 100 奈米。在一些實施例中,第二互連結構1804與層間介電層 1100呈約86度以及89度之間的角度(即,86、97、88以及89度)。
在一些實施例中,第二互連結構1804可能不與第一互連結構1504完美對齊,如第18D與18E圖所示。在這樣的實施例中,介電層的第一部分1602A可以接觸並沿著第二互連結構1804的側壁的下部延伸。第二互連結構1804可以部分地設置在層間介電層 1100上方。第二互連結構1804係電性耦接至第一互連結構1504。第二互連結構1804不沿線B-B延伸,如第18D圖所示。應當理解,鰭式場效電晶體裝置可以包括與第一互連結構不完全對齊之多個第二互連結構,或者可以包括與第一互連結構對齊以及不對齊的第二互連結構的混合物。第18C圖係顯示在沿第18D圖之線B-B切割之部分形成的鰭式場效電晶體裝置的相應剖面圖。
第19A-19B圖係顯示根據本揭露的一個或多個實施例的形成電晶體裝置的互連結構的另一種製造方法1900的流程圖。例如,製造方法1900的至少一些操作可用於形成鰭式場效電晶體裝置(例如,鰭式場效電晶體裝置2000)。需要說明的是,製造方法1900僅為示例,並非用於限制本揭露。因此,應當理解,在第19A-19B圖之製造方法1900之前、期間以及之後可以提供附加操作。參照第19A-19B圖,其他一些操作在此僅作簡要說明。
在一些實施例中,製造方法1900基本上類似於第2A-2B圖的製造方法200。除了製造方法1900還包括形成第三互連結構以及第二介電覆蓋層(有時稱為含氮的介電覆蓋層)的操作並且不包括形成第二互連結構的操作之外,該製造方法與第2A-2B圖的實施方式相同。因此,在以下討論中,製造方法1900的操作可以與在各個製造階段的示例鰭式場效電晶體裝置之橫剖面圖相關聯,分別參考第20A-20C、21A-21C以及22A-22C圖所示,其中第20A-20C、21A-21C以及22A-22C圖僅凸顯製造方法200以及製造方法1900之間的差異。
簡而言之,製造方法1900以提供半導體基板的操作1902開始。製造方法1900繼續至操作1904,形成延伸超出半導體基板的主表面的一個或多個鰭片。製造方法1900繼續到操作1906,圍繞每個鰭片的下部形成一個或多個隔離結構。製造方法1900繼續到操作1908,在每個鰭片的中心部分上方形成偽閘極結構。製造方法1900繼續到操作1910,去除每個鰭片的端部以形成源極/汲極溝槽。製造方法1900繼續到操作1912,在半導體基板上方形成毯式介電層。在一些實施例中,操作1912是可選的。製造方法1900繼續到操作1914,在每個源極/汲極溝槽中形成介電結構。在一些實施例中,操作1914是可選的。製造方法1900繼續到操作1916,分別在源極/汲極溝槽中的介電結構上方形成源極/汲極區。製造方法1900繼續到操作1918,形成層間介電層(ILD)。製造方法1900繼續到操作1920,用閘極結構(有時稱為第一金屬結構)代替偽閘極結構。製造方法1900繼續至操作1922,在閘極結構上方形成第一介電覆蓋層(有時稱為含氮的覆蓋層)。
製造方法1900繼續到操作1924,在電晶體裝置的頂表面上形成至少一個光阻層。製造方法1900繼續到操作1926,將微影製程及/或多個製程施加於光阻層。製造方法1900繼續到操作1928,通過蝕刻製程形成層間介電層中的凹槽。製造方法1900繼續到操作1930,在操作1928中形成的凹槽填充第一互連結構(有時稱為第二金屬結構)。製造方法1900繼續到操作1932,以化學機械拋光(CMP)製程去除任何多餘的絕緣材料。
製造方法1900繼續到操作1934,形成第二介電覆蓋層(有時稱為含氮的介電覆蓋層)。製造方法1900繼續到操作1936,在現有電晶體裝置上生長介電層。製造方法1900繼續到操作1938,形成第二層間介電層。製造方法1900繼續到操作1940,在現有電晶體裝置的頂表面上形成至少一個光阻層。製造方法1900繼續到操作1942,將微影製程及/或多個製程施加於光阻層。製造方法1900繼續到操作1944,通過蝕刻製程形成第二層間介電層以及第一介電覆蓋層中的凹槽。製造方法1900繼續到操作1946,在操作1944所形成的凹槽中填充電性耦接到閘極結構的第三互連結構。製造方法1900繼續到操作1948,以化學機械拋光製程去除任何多餘的絕緣材料。製造方法1900繼續到操作1950,在現有電晶體裝置的頂表面上形成一個或多個金屬層。
注意第19A-19B圖的操作1902至操作1932基本上類似於第2A-2B圖的操作202至操作232。因此,以下討論將僅針對操作1934至操作1950進行描述,第20A、21A、22A以及22D圖分別顯示示在第19A-19B圖的方法1900之各個製造階段之鰭式場效電晶體裝置2000之俯視圖。第20B、21B、22B以及22D圖係顯示沿線A-A(如第20A圖所示)切割之鰭式場效電晶體裝置2000之剖面圖。第20C、21C以及22C圖係顯示沿線B-B(如第20A圖所示)切割之鰭式場效電晶體裝置2000之剖面圖。鰭式場效電晶體裝置 2000包括第3A-12B圖所示之鰭式場效電晶體裝置300。與第13A-18E圖所示的鰭式場效電晶體裝置1300基本相似,但是具有從閘極結構的頂表面延伸到第二層間介電層的頂表面的第三互連結構、少了第二互連結構、第二介電覆蓋層(有時稱為氮-包含介電覆蓋層)並且介電層的第一部分設置在第一互連結構之上而不是在閘極結構之上。
鰭式場效電晶體裝置 2000 以更大的比例示出,因此應當理解,以上關於鰭式場效電晶體裝置 300示出的一些特徵/結構可能不會在鰭式場效電晶體裝置 300 中再次示出,例如用於例如,接點蝕刻停止層1102、閘極介電層1202以及閘電極1204。雖然第20A-22E圖示出了鰭式場效電晶體裝置2000,但是應當理解鰭式場效電晶體裝置2000可以包括多個其他裝置,例如電感器、熔斷器、電容器、線圈等,在此僅為了清楚起見,它們在第20A-22E圖中未繪出。
對應於第19B圖的操作1934至操作1938,第20A圖係顯示鰭式場效電晶體裝置2000之俯視圖,其中第二介電覆蓋層2012(有時稱為含氮的介電覆蓋層)係在形成介電層2002之前形成。為清楚起見,第二層間介電層1600未在第20A圖中的第二介電覆蓋層2012上方繪出。類似地,為清楚起見,閘極間隔件702至722未在第20A圖中繪出。第20B以及20C圖係分別顯示沿第20A之線A-A以及線B-B切開之鰭式場效電晶體裝置2000之對應剖面圖,其中鰭式場效電晶體裝置2000具有介電層2002,介電層2002具有設置在第一互連結構1504上方之第一部分2002A,第一互連結構1504被第二介電覆蓋層2012所覆蓋。
第二介電覆蓋層2012根據第19B圖的操作1934形成,並選擇性地設置在第一互連結構1504的頂表面上。在一些實施例中,第二介電覆蓋層2012形成在第一互連結構1504以及介電層之第一部分2002A之間,如第20B圖所示。第二介電覆蓋層2012含氮。在一些實施例中,第二介電覆蓋層2012可以包括SN、SiOCN、SiOC、SiON等。例如,熱氧化、化學氣相沉積(CVD)等可以用於形成第二介電覆蓋層2012。在形成第二介電覆蓋層2012之後,諸如化學機械拋光製程的平坦化製程可以使第二介電覆蓋層2012的頂表面與層間介電層1100的頂表面齊平。
對應於操作1936,然後在層間介電層 1100、第一介電覆蓋層1312至1332以及第二介電覆蓋層2012的頂表面上形成介電層2002。應當注意,在第19B圖的製造方法1900之複數實施例中,第一介電覆蓋層1312至1332可以不含氮。例如,第一介電覆蓋層1312至1332可以包括氧化矽。介電層2002可以包括具有第一厚度T1的第一部分2002A以及具有第二厚度T2之第二部分2002B,其中介電層2002之第一部分2002A設置在第二介電覆蓋層2012上,且第二介電覆蓋層2012位於第一互連結構1504之上,如第20B圖所示。第一厚度T 1可以大於第二厚度T 2,如第 20B圖所示。第一厚度 T 1與第二厚度 T 2的比率可以大於 2。在一些實施例中,第一厚度 T 1可以在大約 1 奈米到大約 10 奈米之間(即,1、2、3、4、5、 6、7、8、9 以及 10 奈米)。在一些實施例中,介電層2002之第一部分2002A可以包括沿線A-A的寬度,該寬度在約10奈米以及約30奈米之間即,10、15、20、25以及30奈米。在一些實施例中,介電層2002之第一部分2002A可包括沿線B-B的長度在約10奈米以及約100奈米之間即,10、20、30、40、50、60、70、80、90以及 100 奈米。介電層2002之第一部分2002A 以及介電層2002之第二部分2002B可以形成階梯 2003。在一些實施例中,階梯 2003到層間介電層1100的頂表面為大約 60 度到大約 90 度(例如,60、65、70、75、80、85、以及90度)。在一些實施例中,階梯2003沿著閘極結構的側壁1211或第一互連結構的側壁1505設置。在這樣的實施例中,閘極結構的側壁1211設置為面對第一互連結構1504,如第20B圖所示。在這樣的實施例中,第一互連結構的側壁1505設置為面對閘極結構1210,如第20B圖所示。介電層2002可以是一體成型的一體式結構。在一些實施例中,介電層2002可以形成為耦合在一起的多片結構。
介電層2002含氮。在一些實施例中,介電層2002是氮化硼。氮化硼是一種極好的絕緣體,是一種低k介電材料(例如,k值小於約7.0或甚至小於約4.0),並具有選擇性沉積能力。氮化硼選擇性地沉積在氮化矽(SiN)或矽表面(形成非揮發性副產物)上,而不沉積在氧化物表面(形成揮發性副產物)上。可以使用任何合適的沉積方法,例如熱氧化、化學氣相沉積(CVD)等來形成介電層。在一些實施例中,氮化硼選擇性地沉積在含氮的第二介電覆蓋層2012上。在一些實施例中,介電層2002的第一部分2002A選擇性地沉積在諸如第二介電覆蓋層2012的含氮的材料上,並且第二部分2002B選擇性地沉積在不含氮的材料(例如層間介電層 1100以及第一介電覆蓋層1312-1332)。在一些其他實施例中,介電層2002可以包括碳化硼、氧化硼(B 2O 3)、C xF y聚合物等,其中x以及y大於0。在一些實施例中,介電層2002A可以用抑制方法(inhibitor approach)沉積。
對應於操作1938,第二層間介電層 1600形成在介電層2012上方,如第20A、20B與20C圖所示。在一些實施例中,第二層間介電層1600由諸如氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼摻雜的磷矽酸鹽玻璃(BPSG)、未摻雜的矽酸鹽玻璃(USG)等的介電材料形成,並且可以通過任何合適的方法沉積,例如化學氣相沉積、電漿增強化學氣相沉積或可流動化學氣相沉積。在形成第二層間介電層 1600之後,可以執行平坦化製程,例如化學機械拋光製程,以實現第二層間介電層 1600之平坦的上表面。在一些實施例中,第二層間介電層 1600的厚度可以在大約10奈米到約 50 奈米之間(例如,10、20、30、40 以及 50 奈米)。
對應於第19B圖的操作1940至操作1944,第21A圖是鰭式場效電晶體裝置2000的俯視圖,其中形成凹槽2102以暴露閘極結構1210的頂表面。為清楚起見,閘極間隔件702至722未在第21A圖中繪出。第21B以及21C圖係顯示分別沿第21A圖之線A-A以及線B-B切割之鰭式場效電晶體裝置2000之對應剖面圖。
對應於操作1940且響應於用以產生圖案的曝光製程之至少一個光阻層(也稱為阻劑層、光敏層、圖案化層、光敏層等)形成於電晶體裝置的頂表面上。光阻層可以是正型或負型阻劑材料並且可以形成多層結構。一種示例光阻材料是化學放大器(CA)光阻。然後,對應於操作1942的微影製程可以施加於光阻層。微影圖案化製程可以包括光阻塗層(例如,旋塗)、軟烘烤、遮罩對齊、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如,硬烘烤)、其他合適的製程及/或其組合。微影圖案化製程可以包括光阻塗層(例如,旋塗)、軟烘烤、遮罩對齊、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如,硬烘烤)、其他合適的製程及/或其組合。微影製程可以包括暴露光阻層的一個或多個部分,同時保護光阻層的一個或多個其他部分。微影曝光製程也可以通過其他適當的方法來實施或替代,例如無遮罩微影、電子束寫入(electron-beam writing)、離子束寫入(on-beam writing)以及分子壓印(molecular imprint)。此外,光阻圖案化以及曝光製程可以實施氟化氪(KrF)準分子激光器、氟化氬(ArF)準分子激光器、浸沒式微影、紫外輻射、極紫外(EUV)輻射及/或其組合。
然後,可以通過對應於操作 1944 的蝕刻製程而將凹槽2102形成於第二層間介電層1600以及第一介電覆蓋層1322之中,其中蝕刻製程包括各種乾式蝕刻、濕式蝕刻及/或其他蝕刻方法(例如,反應性離子蝕刻)。在一些實施例中,可以形成凹槽2102以暴露閘極結構1210的頂表面。在一些實施例中,可以形成凹槽2102以在閘極結構1210中形成凹槽,如第21B圖所示。凹槽2102可以是如第21B圖所示之梯形,但凹部2102不限於梯形形狀。凹槽2102的面可以形成為三角形、梯形、圓形、矩形或其形狀的組合。在一些實施例中,凹槽2102沿線A-A的寬度可以在大約5奈米以及大約35奈米之間,例如,5、10、15、20、25、30以及35奈米。在此類實施例中,凹槽 2102 沿線B-B 的長度可以在約 5 奈米以及約 105 奈米之間(例如,5、15、25、35、45、55、65、75、85、95 以及 105奈米)。在一些實施例中,凹槽2102的側壁的角度可以在大約85度以及大約90度之間(例如,85、86、87、88、89以及90度)。閘極結構1210的頂表面在第21B圖所示係為暴露。但應當理解,凹槽係形成以暴露任何閘極結構的頂表面。在一些實施例中,凹槽2102可能不與閘極結構1210(未示出)完美對齊。凹槽2102不延伸線B-B的長度,如第21A圖所示。蝕刻製程可以包括實施含氧氣體、含氟氣體(例如CF 4、NF 3、SF 6、CH 2F 2、CHF 3及/或CH 3F)、含氯氣體(例如Cl 2及/或BCl 3)、含溴氣體(例如HBr)、其他合適的氣體及/或電漿,或其組合的乾式蝕刻。在蝕刻製程之後,通過任何合適的製程去除剩餘的光阻層,包括光阻剝離製程。可以理解,可以同時或獨立地去除多個光阻層。
對應於第19B圖的操作1946, 第22A圖是鰭式場效電晶體裝置2000的俯視圖,其中形成第三互連結構2204以填充凹槽2102並電性耦接到閘極結構1210。為了清楚起見,閘極間隔件702至722未在第22A圖中繪出。第22B以及22C圖係分別顯示沿第22A圖之線A-A以及線B-B切割之鰭式場效電晶體裝置2000之對應剖面圖。第22D圖係第22A-22C圖之另一個實施例,也是部分形成之鰭式場效電晶體裝置1300的俯視圖,其中鰭式場效電晶體裝置1300包括電性耦接到閘極結構1210且不與閘極結構1210完全對齊之第三互連結構2204。第22E圖係顯示沿第18D圖中的截面AA切割之部分形成之鰭式場效電晶體裝置1300之一部分的剖面圖。
第三互連結構2204可以通過用金屬材料填充凹槽2102來形成,然後是化學機械拋光製程以去除對應於第19B圖中的操作1948的任何絕緣材料。在一些實施例中,第三互連結構2204將填充整個凹槽2102。金屬材料可以包括鎢(W),通過合適的方法形成,例如物理氣相沉積、化學氣相沉積、電鍍、化學鍍等。除了鎢之外,也可以使用其他材料,例如銅(Cu)、金(Au)、鈷(Co)、釕(Ru)、其組合、其多層、其合金等來形成第三互連結構2204。在一些實施例中,第三互連結構2204不含氮。第三互連結構2204設置在閘極結構1210的頂表面上並且與頂表面電性耦接,如第22B圖所示。介電層2002的第一部分2002A可以沿著第三互連結構2204的側壁的下部延伸。第三互連結構2204設置在介電層2002的第一部分2002A旁邊並且與介電層2002的第一部分2002A以及介電層2002的第二部分2002B之間的階梯相對。如第22A圖所示,第三互連結構2204不沿線B-B方向延伸。可以理解,鰭式場效電晶體裝置可以包括多個第三互連結構,或者第三互連結構可以電性耦接到任何閘極結構。在各種實施例中,第三互連結構2204可以是中端(MEOL)互連網絡的一部分,其中這種互連結構有時被稱為“VG”。對應於第19B圖中的操作1950,然後可以在鰭式場效電晶體裝置2000上方形成一個或多個金屬層(未繪出)。
在一些實施例中,第三互連結構 2204 與閘極結構 1210 沿線A-A 的接點寬度在大約 8 奈米至大約 30 奈米之間(例如,8、15、20、25 以及30 奈米)。在一些實施例中,第三互連結構2204與閘極結構1210沿線B-B的接點長度在約8至約100奈米之間(例如,8、20、30、40、50、60、70)、80、90 以及 100 奈米)。在一些實施例中,第三互連結構2204與閘極結構1210的頂表面呈約86度以及89度之間的角度(即,86度、97度、88度以及89度)。
在一些實施例中,第三互連結構2204可能不與閘極結構1210完美對齊,如第22D與22E圖所示。在這樣的實施例中,介電層的第一部分2002A可以接觸並沿著第三互連結構2204的側壁的下部延伸。第三互連結構2204電性耦接到閘極結構1210。第三互連結構2204不沿線B-B方向延伸,如第22D圖所示。可以理解,鰭式場效電晶體可以包括與閘極結構不完全對齊的多個第三互連結構,或者可以包括與閘極結構對齊以及不對齊的第三互連結構的混合物。第22C圖係顯示在沿第22D圖之線B-B切割之部分形成的鰭式場效電晶體的對應剖面圖。
在本揭露的一個實施例,揭露了一種半導體裝置。半導體裝置包括半導體通道。該半導體裝置包括耦接到半導體通道的磊晶結構以及電性耦接到半導體通道的閘極結構。半導體裝置包括電性耦接到磊晶結構的第一互連結構。該半導體裝置包括含有氮的介電層。介電層包括從含氮的介電覆蓋層突出的第一部分,且含氮的介電覆蓋層覆蓋閘極結構或第一互連結構。
在本揭露的另一實施例,揭露了一種半導體裝置。半導體裝置包括沿第一橫向方向延伸的半導體鰭片。半導體裝置包括沿第二橫向方向延伸並跨越半導體鰭片的閘極結構,第一橫向方向係垂直於第二橫向方向。半導體裝置包括設置在半導體鰭片中並鄰近閘極結構的磊晶結構。半導體裝置包括沿第二橫向方向延伸並設置在磊晶結構上方的第一互連結構。該半導體裝置包括介電層,該介電層包括形成階梯的第一部分以及第二部分。
在本揭露的又一實施例,揭露了一種製造半導體裝置的方法。該方法包括形成由含氮的介電覆蓋層所覆蓋的第一金屬結構。該方法包括在第一金屬結構旁邊形成第二金屬結構。該方法包括生長介電層,該介電層包括覆蓋含氮的介電覆蓋層的較厚部分以及覆蓋不含氮的表面的較薄部分。該方法包括形成電性耦接到第二金屬結構的互連結構。
在一些實施例中,本公開係有關於一種半導體裝置,上述半導體裝置包括半導體通道、磊晶結構、閘極結構、第一互連結構以及介電層。磊晶結構耦接至半導體通道。閘極結構電性耦接到半導體通道。第一互連結構電性耦接到磊晶結構。介電層含有氮。介電層包括第一部分,第一部分從覆蓋閘極結構或第一互連結構之含氮的介電覆蓋層突出。
在一些實施例中,介電層為一體成型的一體式結構。
在一些實施例中,第一部分設置在閘極結構上方,半導體裝置更包括電性耦接到第一互連結構的第二互連結構,其中介電層的第一部分沿第二互連結構的側壁之下部延伸。
在一些實施例中,第二互連結構的底面與閘極結構的頂表面垂直隔開,且含氮的介電覆蓋層夾設在閘極結構與介電層的第一部分之間。
在一些實施例中,第一部分設置在第一互連結構上方,半導體裝置更包括電性耦接到閘極結構的第三互連結構,並且介電層的第一部分沿著第三互連結構的側壁之一部分延伸。
在一些實施例中,含氮的介電覆蓋層夾設在第一互連結構與介電層的所述第一部分之間。
在一些實施例中,介電層還包括第二部分,第一部分以及第二部分分別具有第一厚度以及第二厚度,第一厚度大於第二厚度。
在一些實施例中,第一厚度與第二厚度的比率大於2。
在一些實施例中,介電層由氮化硼製成。
在其他實施例中,本公開係有關於一種半導體裝置,包括半導體鰭片、閘極結構、磊晶結構、第一互連結構以及介電層。半導體鰭片沿第一橫向方向延伸。閘極結構沿第二橫向方向延伸並跨接於半導體鰭片,第一橫向方向垂直於第二橫向方向。磊晶結構設置在半導體鰭片中並靠近閘極結構。第一互連結構沿第二橫向方向延伸且設置於磊晶結構上方。介電層包括形成階梯的第一部分以及第二部分。
在一些實施例中,半導體裝置更包括電性耦接到第一互連結構的第二互連結構,其中第二互連結構設置在第一部分旁邊並且與階梯相對。
在一些實施例中,半導體裝置更包括電性耦接到閘極結構的第三互連結構,其中第三互連結構設置在第一部分旁邊並且與階梯相對。
在一些實施例中,階梯沿著閘極結構的側壁或者第一互連結構的側壁設置。
在一些實施例中,閘極結構的側壁設置為面對第一互連結構。
在一些實施例中,第一互連結構的側壁設置為面對閘極結構。
在一些實施例中,介電層包括氮化硼。
在一些實施例中,第一部分以及第二部分分別具有第一厚度以及第二厚度,並且第一厚度與第二厚度的比率大於2。
在其他實施例中,本發明係有關於一種製造半導體裝置的方法,包括形成由含氮的介電覆蓋層覆蓋的第一金屬結構;在第一金屬結構旁邊形成第二金屬結構;生長介電層,介電層包括覆蓋含氮的介電覆蓋層的較厚部分以及覆蓋不含氮的表面的較薄部分;以及形成電性耦接到第二金屬結構的互連結構。
在其他實施例中,較厚部分的第一厚度與所述較薄部分的第二厚度的比率大於2。
在其他實施例中,介電層包括氮化硼。
以上概述了幾個實施例的特徵,以便本領域技術人員可以更好地理解本揭露的各個方面。本領域技術人員應當理解,他們可以容易地使用本揭露作為設計或修改用於執行相同目的及/或實現本文介紹的實施例的相同優點的其他過程以及結構的基礎。本領域技術人員也應該意識到,這樣的等價結構並不脫離本發明的精神以及範圍,並且可以在不脫離本發明的精神以及範圍的情況下對本文進行各種改動、替換以及變更。
如本文所用,術語“約”以及“大約”通常是指所述值的正負10%。例如,大約 0.5包括 0.45 以及 0.55,大約 10 包括 9 到 11,大約 1000包括 900 到 1100。術語“大約”以及“接近”可能不限於規定值的正負 10%並且通常可以指本領域普通技術人員已知的值。
本文使用的術語“耦合”、“連接”等是指兩個構件直接或間接地彼此連接。這種連接可以是靜止的(例如,永久的)或可移動的(例如,可移除的或可釋放的)。這種連接可以通過兩個構件或兩個構件以及任何附加的中間構件彼此一體性地形成為單個整體或兩個構件或兩個構件以及任何附加的中間構件彼此附接來實現。
100, 300, 1300, 2000:鰭式場效應電晶體裝置 102, 302:基板 104, 404, 406:鰭片 106:磊晶結構 108:隔離區 110:閘極介電層 112:閘極 200, 1900:製造方法 304:焊墊層 306:遮罩層 308:感光層 310:開口 403:表面 404A:鰭片 413:溝槽 502:淺溝槽隔離區 503:頂表面 600:偽閘極結構 602:偽閘極介電層 604:偽閘極電極 606:遮罩 700:源極/汲極溝槽 702, 712, 722:閘極間隔件 702A:第一部分以及第二部分 702B:延伸部分 800:毯式介電層 900:介電結構 1064:第三磊晶結構 1066:第四磊晶結構 1068:第一磊晶結構 1070:第七磊晶結構 1072:第五磊晶結構 1074:第六磊晶結構 1076:第二磊晶結構 1078:第八磊晶結構 1100:層間介電層 1102:接點蝕刻停止層 1200, 1210, 1220:閘極結構 1202:閘極介電層 1204:導電閘極電極 1211:閘極結構的側壁 1312, 1322, 1332:第一介電覆蓋層 1402:凹槽 1504:第一互連結構 1505:第一互連結構的側壁 1506:矽化物層 1600:第二層間介電層 1602:介電層 1602A:介電層的第一部分 1602B:介電層的第二部分 1603, 2003:階梯 1702:凹部 1804:第二互連結構 2002:介電層 2002A:介電層之第一部分 2002B:介電層之第二部分 2012:第二介電覆蓋層 2204:第三互連結構 W 1:第一寬度 W 2:第二寬度 H 1:第一高度 H 2:第二高度 T 1:第一厚度 T 2:第二厚度 202~248, 1902~1950:操作
第1圖係顯示根據本發明之一些實施例所述之鰭式場效應電晶體(FinFET)裝置的透視圖。 第2A-2B圖係顯示根據本發明之一些實施例所述之用於製造電晶體裝置的互連結構之製造方法的流程圖。 第3A、4A、5A、6A、7A、8A、9A、10A、11A以及12A圖係顯示根據本發明之一些實施例之在第2A-2B圖之製造方法在各個製造階段期間所製造之鰭式場效應電晶體裝置(或鰭式場效應電晶體裝置的一部分)的透視圖。 第13A、14A、15A、16A、17A、18A以及18D圖係顯示根據本發明之一些實施例之在第2A-2B圖之製造方法在各個製造階段期間所製造之鰭式場效應電晶體裝置(或鰭式場效應電晶體裝置的一部分)的對應頂視圖。 第3B、4B、5B、13B、14B、15B、16B、17B、18B以及18E圖係顯示根據本發明之一些實施例所述之沿第一橫截面(例如,沿線A-A)切割且分別對應第3A、4A、5A、13A、14A、15A、16A、17A、18A以及18D圖之鰭式場效應電晶體裝置的橫剖面圖。 第6B、7B、8B、9B、10B、11B、12B、13C、14C、15C、16C、17C以及18C圖係顯示根據本發明之一些實施例所述之沿第二橫截面(例如,沿線B-B)切割且分別對應第6A、7A、8A、9A、10A、11A、12A、13A、14A、15A、16A、17A以及18A圖之鰭式場效應電晶體裝置的橫剖面圖。 第19A-19B圖係顯示根據本發明之一些實施例所述之用於形成電晶體裝置的互連結構的另一製造方法的流程圖。 第20A、21A、22A以及22D圖係顯示根據本發明之一些實施例所述之在第19A-19B圖之製造方法在各個製造階段期間之鰭式場效應電晶體裝置(或鰭式場效應電晶體裝置的一部分)之對應上視圖。 第20B、21B、22B以及22E圖係顯示根據本發明之一些實施例所述之沿第一橫截面(例如,沿線A-A)切割且分別對應第20A、21A、22A以及22D圖之鰭式場效應電晶體裝置的橫剖面圖。 第20C、21C以及22C圖係顯示根據本發明之一些實施例所述之沿第二橫截面(例如,沿線B-B)切割且分別對應第20A-22A圖之在各個製造階段之鰭式場效應電晶體裝置的橫剖面圖。
1300:鰭式場效應電晶體裝置
302:基板
404:鰭片
702:閘極間隔件
712,722:閘極間隔件
1064:第三磊晶結構
1066:第四磊晶結構
1068:第一磊晶結構
1070:第七磊晶結構
1100:層間介電層
1200,1210,1220:閘極結構
1211:閘極結構的側壁
1312,1322,1332:第一介電覆蓋層
1504:第一互連結構
1505:第一互連結構的側壁
1506:矽化物層
1600:第二層間介電層
1602:介電層
1602A:介電層的第一部分
1602B:介電層的第二部分
1603:階梯
T1:第一厚度
T2:第二厚度

Claims (1)

  1. 一種半導體裝置,包括: 一半導體通道; 一磊晶結構,耦接至上述半導體通道; 一閘極結構,電性耦接到上述半導體通道; 一第一互連結構,電性耦接到上述磊晶結構;以及 一介電層,含有氮; 其中上述介電層包括一第一部分,上述第一部分從含氮的一介電覆蓋層突出,上述介電覆蓋層覆蓋上述閘極結構或上述第一互連結構。
TW111122819A 2021-08-30 2022-06-20 半導體裝置 TW202310287A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/461,779 2021-08-30
US17/461,779 US12046676B2 (en) 2021-08-30 2021-08-30 Semiconductor devices and methods of manufacturing thereof

Publications (1)

Publication Number Publication Date
TW202310287A true TW202310287A (zh) 2023-03-01

Family

ID=85287012

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111122819A TW202310287A (zh) 2021-08-30 2022-06-20 半導體裝置

Country Status (2)

Country Link
US (1) US12046676B2 (zh)
TW (1) TW202310287A (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9536879B2 (en) * 2014-07-09 2017-01-03 International Business Machines Corporation FinFET with constrained source-drain epitaxial region
US9613958B2 (en) * 2015-06-10 2017-04-04 International Business Machines Corporation Spacer chamfering gate stack scheme
US9899378B2 (en) * 2015-12-14 2018-02-20 International Business Machines Corporation Simultaneously fabricating a high voltage transistor and a finFET
US9761483B1 (en) * 2016-03-07 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, FinFET devices and methods of forming the same
US10854506B2 (en) * 2018-09-27 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Also Published As

Publication number Publication date
US12046676B2 (en) 2024-07-23
US20230067696A1 (en) 2023-03-02

Similar Documents

Publication Publication Date Title
US11742415B2 (en) Fin-like field effect transistor patterning methods for achieving fin width uniformity
US11121039B2 (en) FinFET structures and methods of forming the same
US10170367B2 (en) Semiconductor device and method
US12068201B2 (en) Semiconductor devices
CN110556418B (zh) 半导体器件及其形成方法
KR20180121313A (ko) 반도체 디바이스 및 방법
US20230261112A1 (en) Metal Gate Structure And Methods Of Fabricating Thereof
US10872892B2 (en) Semiconductor device and manufacturing method thereof
TWI780640B (zh) 半導體裝置及其形成方法
US11031298B2 (en) Semiconductor device and method
TW202205679A (zh) 半導體元件
US20240120402A1 (en) Semiconductor device structure including forksheet transistors and methods of forming the same
US20210335787A1 (en) Semiconductor device and method
TW202218159A (zh) 半導體元件結構
US20230223253A1 (en) Method of manufacturing semiconductor devices and semiconductor devices
US20220238695A1 (en) Self-Aligned Source/Drain Metal Contacts and Formation Thereof
US12046676B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230402521A1 (en) Semiconductor device structure and methods of forming the same
TWI795774B (zh) 填充結構及其製造方法
US11942478B2 (en) Semiconductor device structure and methods of forming the same
US20230402277A1 (en) Semiconductor structure and manufacturing method thereof
US20240178302A1 (en) Semiconductor device with protective gate structure and methods of fabrication thereof
US20220384438A1 (en) Semiconductor Device and Method
US20230137766A1 (en) Semiconductor Structures Having A Continuous Active Region