TW202303963A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202303963A
TW202303963A TW111107940A TW111107940A TW202303963A TW 202303963 A TW202303963 A TW 202303963A TW 111107940 A TW111107940 A TW 111107940A TW 111107940 A TW111107940 A TW 111107940A TW 202303963 A TW202303963 A TW 202303963A
Authority
TW
Taiwan
Prior art keywords
gate spacer
gate
layer
spacer
air gap
Prior art date
Application number
TW111107940A
Other languages
English (en)
Inventor
林士堯
李筱雯
鄭宇珊
張銘慶
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202303963A publication Critical patent/TW202303963A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種半導體裝置,包括通道結構,其沿著第一橫向方向延伸並置於基底的上方。半導體裝置包括閘極結構,其沿著正交於第一橫向方向的第二橫向方向延伸並跨立於通道結構。半導體裝置包括磊晶結構,其耦接於通道結構並相鄰於閘極結構而設置。半導體裝置包括第一間隔物與第二間隔物,各包括沿著第一橫向方向置於閘極結構與磊晶結構之間的第一部分。半導體裝置包括氣隙,其介於第一閘極間隔物的第一部分與第二閘極間隔物的第一部分之間。氣隙暴露出第一閘極間隔物在第一橫向方向延伸的第二部分。

Description

半導體裝置
本發明實施例是關於半導體裝置及其製造方法,特別是關於非平面式的電晶體及其製造方法。
半導體產業由於在各種電子構件(舉例而言:電晶體、二極體、電阻器、電容器等等)的集積密度的持續改善,已歷經了快速成長。大多數情況下,這樣的在集積密度的持續改善是來自不斷縮小最小特徵尺寸的持續,得以將更多構件整合至一既定晶片面積中。
一實施例是關於一種半導體裝置。上述半導體裝置包括:一通道結構,其沿著一第一橫向方向延伸並置於一基底的上方。上述半導體裝置包括:一閘極結構,其沿著一第二橫向方向延伸,上述第二橫向方向正交於上述第一橫向方向,其中上述閘極結構跨立於上述通道結構。上述半導體裝置包括:一磊晶結構,其耦接於上述通道結構,其中上述磊晶結構相鄰於上述閘極結構而設置。上述半導體裝置包括:一第一間隔物與一第二間隔物,其各包括一第一部分,上述第一部分沿著上述第一橫向方向置於上述閘極結構與上述磊晶結構之間。上述半導體裝置包括:一氣隙,其介於上述第一閘極間隔物的上述第一部分與上述第二閘極間隔物的上述第一部分之間。上述氣隙暴露出上述第一閘極間隔物在上述第一橫向方向延伸的一第二部分。
另一實施例是關於一種半導體裝置。上述半導體裝置包括:一閘極結構,其沿著一第一橫向方向延伸。上述半導體裝置包括:一源極/汲極結構,其置於上述閘極結構沿著一第二橫向方向的一側上,上述第二橫向方向正交於上述第一橫向方向。上述半導體裝置包括:一第一閘極間隔物,其包括一第一部分與一第二部分,上述第一部分沿著一垂直方向延伸,上述第二部分沿著上述第二橫向方向延伸。上述第一閘極間隔物的上述第一部分是沿著上述第二橫向方向介於上述閘極結構與上述源極/汲極結構之間。上述半導體裝置包括:一第二閘極間隔物,其包括一第一部分與一第二部分,上述第一部分沿著一垂直方向延伸,上述第二部分沿著上述第二橫向方向延伸。上述第二閘極間隔物的上述第一部分是沿著上述第二橫向方向介於上述閘極結構與上述源極/汲極結構之間。上述半導體裝置包括:一氣隙,其介於上述第一閘極間隔物的上述第一部分與上述第二閘極間隔物的上述第一部分之間。上述氣隙暴露出上述第一閘極間隔物的上述第二部分的一主要表面的一部分,而上述主要表面的其餘部分與上述第二閘極間隔物的上述第二部分接觸。
又另一實施例是關於一種半導體裝置的製造方法。上述方法包括:在一基底的上方形成一通道結構,其中上述通道結構沿著一第一橫向方向延伸。上述方法包括:形成一閘極結構,其沿著一第二橫向方向延伸,以跨立於上述通道結構的一部分。上述方法包括:形成一第一閘極間隔物,其跨立於上述通道結構的其餘部分。上述方法包括:在上述第一閘極間隔物的上方形成一犧牲閘極間隔物。上述方法包括:圖形化上述犧牲閘極間隔物,以暴露出上述第一閘極間隔物沿著上述第一橫向方向延伸的一部分。上述方法包括:在上述犧牲閘極間隔物的上方以及在上述第一閘極間隔物的暴露的部分的上方,形成一第二閘極間隔物。上述方法包括:移除上述圖形化的犧牲閘極間隔物,以形成一氣隙。
以下揭露內容提供了許多不同的實施例或範例,用於實現所提供之申請專利之發明的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例的說明。當然,這些僅僅是範例,並非用以限定本發明的實施例。舉例而言,以下敘述中提及第一部件形成於第二部件上或上方,可能包含第一與第二部件直接接觸的實施例,也可能包含額外的部件形成於第一與第二部件之間,使得第一與第二部件不直接接觸的實施例。此外,本發明實施例在各種範例中可能重複元件符號的數字及/或字母,此重複是為了簡化和清楚,並非在討論的各種實施例及/或組態之間指定其關係。
再者,在此可使用空間相對用詞,例如「在……下方」、「在……下」、「低於」、「下方的」、「在……上」、「高於」、「上方的」及類似的用詞以助於描述圖中所示之其中一個元件或部件相對於另一(些)元件或部件之間的關係。這些空間相對用詞係用以涵蓋圖式所描繪的方向以外,使用中或操作中之裝置的不同方向。裝置可能被轉向(旋轉90度或其他方向),且可與其相應地解釋在此使用之空間相對描述。
隨著積體電路持續縮減尺寸,在製程能力及基礎材料性質的限制,已經使平面式電晶體的尺寸縮減愈來愈艱難(舉例而言:由於漏電流與製程變異)。已經有人提出例如鰭式場效電晶體(fin-based field effect transistors;FinFETs)、全繞式閘極場效電晶體(gate-all-around field effect transistors;GAA FETs)等的非平面式電晶體,作為有希望替代平面式電晶體的裝置。近年來,在製程技術的進步已經使得這樣的非平面式電晶體成為在新興技術節點的一可實行的選項。
一般而言,一鰭式場效電晶體包括半導體材料的三維鰭狀物,其在源極與汲極區/結構之間延伸。一閘極結構設置在上述半導體材料的鰭狀物的上方。鰭式場效電晶體常常會更包括沿著上述閘極結構的側壁設置的閘極間隔物。上述閘極間隔物通常是以電絕緣材料所製,其可以在上述閘極結構與上述源極/汲極結構之間定義出一橫向空間。
隨著積體電路構件的尺寸持續縮小,經過這樣的閘極間隔物的寄生電容,在上述鰭式場效電晶體的總寄生電容所占比例已經變得愈來愈多。例如,設置在一鰭式場效電晶體的一閘極結構的周圍的閘極間隔物所具有的介電常數,使上述閘極結構與上述源極/汲極結構之間的寄生電容增加及/或使上述閘極結構與對應於上述源極/汲極結構的接觸件之間的寄生電容增加。此寄生電容會藉由增加電阻電容時間延遲(RC time delay)而不利地降低上述鰭式場效電晶體的效能。
就這一點而言,已經有人提出以具有較低的介電常數的材料來替換上述閘極間隔物的一部分的概念。例如,可以移除上述閘極間隔物的一中間部分,藉此在上述閘極結構與上述源極/汲極結構之間形成一氣隙,而可以有利地減少寄生電容(接著,減少上述電阻電容時間延遲)。然而,這樣的被移除的部分可能會位在上述閘極結構與上述源極/汲極結構之間。因此,當進行移除時(舉例而言:藉由蝕刻劑),上述蝕刻劑可能會突穿上述閘極間隔物的一側部並損及上述源極/汲極結構,而會再一次不利地減損上述鰭式場效電晶體的效能。
本發明實施例敘述的實施形態是在形成一非平面式的(non-planar)電晶體裝置(舉例而言:一鰭式場效電晶體裝置、一奈米結構電晶體裝置)的背景下討論,且特別是在對於形成具有一氣隙的一閘極間隔物的背景下討論。例如,在一局部形成的通道結構(舉例而言:一鰭狀物結構、複數個犧牲層與複數個通道層的堆疊物等等)的一部分的上方形成一虛設(dummy)閘極結構之後,在上述虛設閘極結構的兩側形成底閘極間隔物。接下來,在上述底閘極間隔物的上方形成犧牲閘極間隔物,然後將上述犧牲閘極間隔物圖形化,以移除其各自的橫向延伸部分。接下來,在上述犧牲閘極間隔物的上方形成頂閘極間隔物。如此一來,當以蝕刻劑來移除上述犧牲閘極間隔物以形成上述氣隙時,藉由上述頂閘極間隔物可以大幅避免上述蝕刻劑至上述源極/汲極結構(因此,有時會將上述頂閘極間隔物稱為「保護性閘極間隔物」或「阻礙性閘極間隔物」),而可以有利地減少對上述源極/汲極結構的損害。
第1圖繪示根據各種實施例的一例示的鰭式場效電晶體裝置100的透視圖。鰭式場效電晶體裝置100包括一基底102與一鰭狀物104,鰭狀物104從基底102突出。複數個隔離區106形成在鰭狀物104的對向側,其中鰭狀物104突出於隔離區106的上方。一閘極介電質108沿著鰭狀物104的側壁且在鰭狀物104的頂表面的上方,一閘極110在閘極介電質108的上方。源極區112S與汲極區112D在鰭狀物104中或從鰭狀物104延伸,且在閘極介電質108及閘極110的兩側。應瞭解的是,提供第1圖作為一簡單的參考來繪示一鰭式場效電晶體裝置的多個部件,因此鰭式場效電晶體裝置100可以包括未繪示於第1圖的一或多個額外的部件。例如,鰭式場效電晶體裝置100可以包括設置在閘極110的兩側上的數對的閘極間隔物,其將會在後文作詳細討論。
第2圖繪示根據本發明實施例的一或多個實施形態的形成一非平面式電晶體裝置的一方法200的流程圖。例如,方法200的至少一些操作(或步驟)可用來形成一鰭式場效電晶體裝置(舉例而言:作為一半導體裝置的鰭式場效電晶體裝置100)。然而,應瞭解的是,方法200可以用來形成一奈米結構電晶體(舉例而言:一奈米片電晶體(nanosheet transistor)裝置、一奈米線電晶體(nanowire transistor)裝置、一全繞式閘極(gate-all-around;GAA)電晶體裝置、一多橋式通道電晶體(multi-bridge channel transistor)、一垂直式電晶體(vertical transistor)裝置或類似裝置,而其餘裝置仍是在本發明實施例的範疇內。因此,要瞭解的是,可以在第2圖的方法200之前、過程中及之後加入額外的操作步驟,而一些其他的操作步驟可能僅會在本文作簡短敘述。
在一些實施例中,在分別示於第3、4、5、6、7、8、9、10、11、12與13圖的各種製造階段的一例示的非平面式電晶體裝置300的透視圖,可能與方法200的複數個操作步驟有關,這些將會在後文作詳細討論。
簡要概述,方法200開始於操作步驟202,提供一基底。方法200接續至操作步驟204,形成一半導體鰭狀物。方法200接續至操作步驟206,形成一隔離結構。方法200接續至操作步驟208,形成一虛設閘極結構。方法200接續至操作步驟210,形成一第一閘極間隔物。方法200接續至操作步驟212,形成一第二閘極間隔物。方法200接續至操作步驟214,將上述第二閘極間隔物圖形化。方法200接續至操作步驟216,形成一第三閘極間隔物。方法200接續至操作步驟218,移除上述半導體鰭狀物的突出部分。方法200接續至操作步驟220,成長複數個源極/汲極結構。方法200接續至操作步驟222,形成一氣隙。
對應於第2圖的操作步驟202,第3圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其包括一半導體的基底302)的透視圖。
基底302可為半導體基底,如塊材(bulk)半導體、絕緣體上覆半導體(semiconductor-on-insulator;SOI)基底或類似物,其可為已摻雜(舉例而言:具有p型或n型摻雜物)或未摻雜。基底302可為一晶圓,例如矽晶圓。一般來說,一絕緣體上覆半導體基底包括形成於一絕緣體層上的一層半導體材料。上述絕緣體層可為埋入式氧化物(buried oxide;BOX)層、氧化矽層或類似物。上述絕緣體層設置於一基底上,通常為矽或玻璃基底。也可使用其他基底,例如多層或漸變基底。在一些實施例中,基底302的半導體材料可包括矽;鍺;化合物半導體(包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦);合金半導體(包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP);或上述之組合。
對應於第2圖的操作步驟204,第4圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其包括一半導體鰭狀物402)的透視圖。如圖所示,半導體鰭狀物402具有一縱長方向,此縱長方向是沿著一第一橫向方向(舉例而言:Y軸)延伸。
半導體鰭狀物402是藉由使用例如光學微影及蝕刻技術對基底302進行圖形化而形成。例如,形成一遮罩層(例如,一墊氧化物層406及位於其上的一墊氮化物層408)於基底302的上方。墊氧化物層406可以是包括氧化矽的一薄膜,可使用例如一加熱氧化製程而形成。墊氧化物層406可作為基底302與位於其上的墊氮化物層408之間的一黏著層。在一些實施例中,墊氮化物層408是由氮化矽、氮氧化矽、氮碳化矽、類似物或上述之組合形成。儘管僅繪示一個墊氮化物層408,可形成一多層結構(舉例而言:一層氧化矽在一層氮化矽上)來作為墊氮化物層408。墊氮化物層408可使用例如低壓化學氣相沉積(low-pressure chemical vapor deposition;LPCVD)或電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)來形成。
可使用光學微影技術來將上述遮罩層圖形化。一般而言,光學微影技術利用將一光阻材料(未繪示)沉積、照射(曝光)及顯影以去除部分的上述光阻材料。餘留的光阻材料保護下方膜層材料(例如,本例中的遮罩層)免受後續製程步驟(例如,蝕刻)影響。例如如第4圖所示,將上述光阻材料用來對墊氧化物層406及墊氮化物層408進行圖形化,以形成一圖形化的遮罩410。
圖形化的遮罩410隨後用於將基底302的暴露的部分圖形化,以形成複數個溝槽(或開口)411,藉此如第4圖所示,在相鄰的溝槽411之間定義出半導體鰭狀物402。當形成多個鰭狀物時,這樣的溝槽可位於任何相鄰的上述鰭狀物之間。在一些實施例中,半導體鰭狀物402是藉由使用例如反應性離子蝕刻(reactive ion etch;RIE)、中性束蝕刻(neutral beam etch;NBE)、類似方法或上述之組合於基底302中蝕刻出溝槽而形成。上述蝕刻可以是異向性。在一些實施例中,溝槽411可以是相互平行的條狀物(從上方觀看),且相對於彼此緊密間隔。在一些實施例中,溝槽411可為連續的,並圍繞半導體鰭狀物402。
半導體鰭狀物402可藉由任何合適的方法進行圖形化。例如,可使用一或多道光學微影製程,包括雙重圖形化或多重圖形化製程來將半導體鰭狀物402圖形化。一般而言,雙重圖形化或多重圖形化製程結合光學微影及自對準製程,得以使所形成的圖形比使用單一、直接的光學微影製程可獲得的圖案具有更小的截距。例如在一實施例中,將一犧牲層形成於一基底的上方,並使用一光學微影製程對上述犧牲層進行圖形化。使用一自對準製程,在上述圖形化的犧牲層旁側形成複數個間隔物。然後,移除上述犧牲層,餘留的間隔物或芯軸(mandrels)可在後續用於鰭狀物的圖形化。
第3、4圖繪示形成半導體鰭狀物402的一實施例,但可以以各種不同製程來形成一鰭狀物。例如,可以以一合適的材料來替換基底302的頂部,例如適於即將形成的半導體裝置的預定類型(舉例而言:N型或P型)的一磊晶材料。其後,將在頂部具有磊晶材料的基底302圖形化,以形成包括磊晶材料的半導體鰭狀物402。
另一個例子是,可以在一基底的頂表面的上方形成一介電層;可以蝕刻出複數個溝槽而穿過上述介電層;可在上述溝槽中磊晶成長出同質磊晶結構;以及可將上述介電層凹陷,使得上述同質磊晶結構從上述介電層突出以形成一或多個半導體鰭狀物。
在又另一個例子,可以在一基底的頂表面的上方形成一介電層;可以蝕刻出複數個溝槽而穿過上述介電層;可在上述溝槽中使用與上述基底不同的材料磊晶成長出一異質磊晶結構;以及可將上述介電層凹陷,使得上述異質磊晶結構突出於上述介電層以形成一或多個半導體鰭狀物。
在成長一或多種磊晶材料或磊晶結構(舉例而言異質磊晶結構或同質磊晶結構)的複數個實施例中,可在成長的期間對所成長的材料或結構作原位( in situ)摻雜,儘管可一併使用原位以及佈植摻雜,這可避免先前或後續的佈植。更進一步而言,在一N型金屬─氧化物─半導體(NMOS)區域中與在一P型金屬─氧化物─半導體(PMOS)區域中磊晶成長不同的材料可能是有益處的。在各種實施例中,半導體鰭狀物402可包括矽鍺(Si xGe 1-x,x可以介於0與1之間)、碳化矽、純矽、純鍺、III-V族化合物半導體、II-VI族化合物半導體或其類似物。例如,用於形成上述III-V族化合物半導體的可用材料包括但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP及類似物。
對應於第2圖的操作步驟206,第5圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其包括一隔離結構502或隔離區)的透視圖。
隔離結構502是一絕緣材料形成,而可以將相鄰的互相隔離。上述絕緣材料可以是例如氧化矽等的氧化物、氮化物、類似物或上述之組合,並可以藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDP-CVD)、流動式化學氣相沉積(flowable CVD;FCVD) (舉例而言:在一遠端電漿系統中進行化學氣相沉積方法的材料沉積,並透過後固化(post curing)使其轉換為另一種材料,例如氧化物)、類似方法或上述之組合而形成。可使用其他絕緣材料及/或其他形成製程。在一例子中,上述絕緣材料為藉由一流動式化學氣相沉積(FCVD)製程形成的氧化矽。一旦形成上述絕緣材料,可進行一退火處理。一平坦化製程(例如,化學機械研磨(chemical mechanical polish;CMP)),可移除任何多餘的絕緣材料,並形成隔離結構502的頂表面。亦可以藉由上述平坦化製程來移除圖形化的遮罩410(第4圖)。
在一些實施例中,隔離結構502在隔離結構502與基底302(半導體鰭狀物402)之間的界面包括一襯層(liner),舉例而言,為一襯層氧化物(未繪示)。在一些實施例中,形成上述襯層氧化物是用來減少在基底302與隔離結構502之間的界面的結晶缺陷。類似地,亦可以將上述襯層氧化物用來減少在半導體鰭狀物402與隔離結構502之間的界面的結晶缺陷。上述襯層氧化物(舉例而言:氧化矽)可以是一熱氧化物,其經由基底302的一表面層的加熱氧化而形成,但是亦可以使用其他適當的方法來形成上述襯層氧化物。
對應於第2圖的操作步驟208,第6圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其包括虛設閘極結構602)的透視圖。如圖所示,虛設閘極結構602具有一縱長方向,其縱長方向沿著一第二橫向方向延伸——舉例而言為X軸,其正交於上述第一橫向方向。應注意的是,為了明確的目的,僅有非平面式電晶體裝置300的一半(舉例而言:置於虛設閘極結構602的一側上的半導體鰭狀物402的一半)顯示於第6圖。
虛設閘極結構602可以包括一虛設閘極介電質與一虛設閘極電極(在本文未分開顯示)。在一些實施例中,將在一稍後的移除(舉例而言:蝕刻)製程移除虛設閘極結構602的至少一主要部分(舉例而言:上述虛設閘極電極),以形成一金屬(或是別的主動)閘極結構。可以藉由施行至少一些的後述製程來形成上述虛設閘極介電質與上述虛設閘極電極。在半導體鰭狀物402的上方形成一介電層(用來形成上述虛設閘極介電質)。例如,上述介電層可以是氧化矽、氮化矽、上述之多層或類似物,且上述介電層可以是沉積而成或加熱成長而成。
接下來,一閘極層(用來形成上述虛設閘極電極)形成在上述介電層的上方,而一遮罩層形成在上述閘極層的上方。可以將上述閘極層沉積在上述介電層的上方,然後例如藉由化學機械研磨將上述閘極層平坦化。上述遮罩層可沉積於上述閘極層的上方。例如,上述閘極層可由多晶矽形成,但亦可以使用其他材料。例如,上述遮罩層可由氮化矽或類似材料形成。在形成各膜層(舉例而言:上述介電層、上述閘極層及上述遮罩層)之後,可使用可接受的光學微影及蝕刻技術來將上述遮罩層圖形化,以形成一遮罩。上述遮罩的圖形可藉由一可接受的蝕刻技術轉移至上述閘極層及上述介電層,以形成虛設閘極結構602。
對應於第2圖的操作步驟210,第7圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其包括作為一第一閘極間隔物的一底閘極間隔物702)的透視圖。應注意的是,為了明確的目的,僅有非平面式電晶體裝置300的一半(舉例而言:置於虛設閘極結構602的一側上的半導體鰭狀物402的一半)顯示於第7圖。因此,在虛設閘極結構602的其他側(沿著Y軸)上,非平面式電晶體裝置300可以包括另一個底閘極間隔物702。
底閘極間隔物702是沿著虛設閘極結構602的側壁之一形成,以覆於半導體鰭狀物402未被虛設閘極結構602上覆的一(舉例而言:一其餘)部分上。根據各種實施例,是將底閘極間隔物702形成為一相對薄層,如第7圖所繪示。如此一來,除了沿著虛設閘極結構602的一側壁延伸之外,底閘極間隔物702跨立於半導體鰭狀物402的其餘部分並覆於隔離結構502的一主要(舉例而言:頂)表面上。底閘極間隔物702的一些部分可以形成為一L形輪廓。例如,底閘極間隔物702之垂直地沿著虛設閘極結構602的側壁延伸的部分(此後稱為「垂直部分702A」)以及橫向延伸及覆於隔離結構502上的部分(此後稱為「橫向部分702B」),可以顯現一L形輪廓。稍後將會移除的跨立於作為鰭狀物結構的半導體鰭狀物402的部分,有時可將其稱為「跨立部分702C」。
為了形成底閘極間隔物702,可以在工件的上方共形地沉積一絕緣材料。上述絕緣材料可以包括一矽系的(silicon-based)介電材料,例如氧化矽(SiO)、氮化矽(SiN)、碳化矽(SiC)、氮化碳化矽(silicon carbide nitride ;SiCN)、氮碳氧化矽(silicon oxycarbonitride;SiOCN)、氮氧化矽(silicon oxynitride;SiON)、碳氧化矽(silicon oxycarbide;SiOC)、氮碳化矽硼(silicoboron carbonitride;SiBCN)、氮碳氧化矽硼(silicoboron oxycarbonitride;SiBOCN)或上述之組合。在一些其他實施例中,上述絕緣材料可以包括一金屬系的(metal-based)介電材料,例如氧化鉿(HfO)、氧化鋁(Al 2O 3)、氧化銅(CuO)、氮化鈦(TiN)或上述之組合。
可以藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDP-CVD)、流動式化學氣相沉積(flowable CVD;FCVD)、原子層沉積(atomic layer deposition;PEALD)、磊晶沉積、電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition;PECVD)、電漿輔助原子層沉積(plasma-enhanced atomic layer deposition;PEALD)或上述之組合來沉積上述絕緣材料。可以使用其他絕緣材料及/或其他形成製程,其均維持在本發明實施例的範疇內。
對應於第2圖的操作步驟212,第8圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其包括作為一第二閘極間隔物的一犧牲閘極間隔物802)的透視圖。應注意的是,為了明確的目的,僅有非平面式電晶體裝置300的一半(舉例而言:置於虛設閘極結構602的一側上的半導體鰭狀物402的一半)顯示於第8圖。因此,在虛設閘極結構602的其他側(沿著Y軸)上,非平面式電晶體裝置300可以包括另一個犧牲閘極間隔物802。
在一些實施例中,是將犧牲閘極間隔物802(舉例而言:共形地)形成為一相對薄層,其得以使犧牲閘極間隔物802的一些部分跟著底閘極間隔物702也具有L形輪廓。例如在第8圖中,犧牲閘極間隔物802可以具有一垂直延伸的部分(此後稱為「垂直部分802A」)以及一橫向延伸的部分(此後稱為「橫向部分802B」),其分別接觸垂直部分702A與橫向部分702B,以一起形成一L形輪廓。類似於底閘極間隔物702,犧牲閘極間隔物802亦具有一跨立部分802C,其接觸跨立部分702C。根據各種實施例,在被一頂閘極間隔物上覆之前,可以將犧牲閘極間隔物802圖形化,將跨立部分802C與橫向部分802B一起移除。如此一來,當蝕刻犧牲閘極間隔物802以形成一氣隙時,其蝕刻劑的通路可以被上述頂閘極間隔物阻擋,而可以有利地避免上述蝕刻劑損傷一稍後形成的源極/汲極結構。
為了形成犧牲閘極間隔物802,可以在工件的上方共形地沉積一絕緣材料。上述絕緣材料可以包括一矽系的(silicon-based)介電材料,例如氧化矽(SiO)、氮化矽(SiN)、碳化矽(SiC)、氮化碳化矽(silicon carbide nitride ;SiCN)、氮碳氧化矽(silicon oxycarbonitride;SiOCN)、氮氧化矽(silicon oxynitride;SiON)、碳氧化矽(silicon oxycarbide;SiOC)、氮碳化矽硼(silicoboron carbonitride;SiBCN)、氮碳氧化矽硼(silicoboron oxycarbonitride;SiBOCN)或上述之組合。在一些其他實施例中,上述絕緣材料可以包括一金屬系的(metal-based)介電材料,例如氧化鉿(HfO)、氧化鋁(Al 2O 3)、氧化銅(CuO)、氮化鈦(TiN)或上述之組合。
可以藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDP-CVD)、流動式化學氣相沉積(flowable CVD;FCVD)、原子層沉積(atomic layer deposition;PEALD)、磊晶沉積、電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition;PECVD)、電漿輔助原子層沉積(plasma-enhanced atomic layer deposition;PEALD)或上述之組合來沉積上述絕緣材料。可以使用其他絕緣材料及/或其他形成製程,其均維持在本發明實施例的範疇內。
對應於第2圖的操作步驟214,第9圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其中,將犧牲閘極間隔物802圖形化)的透視圖。應注意的是,為了明確的目的,僅有非平面式電晶體裝置300的一半(舉例而言:置於虛設閘極結構602的一側上的半導體鰭狀物402的一半)顯示於第9圖。因此,在虛設閘極結構602的其他側(沿著Y軸)上,非平面式電晶體裝置300可以包括另一個圖形化的犧牲閘極間隔物802。
可以施行一蝕刻製程901,以將犧牲閘極間隔物802圖形化。蝕刻製程901可為具有方向性(舉例而言:非等向性),而導致跨立部分802C與橫向部分802B(第8圖)的移除。如此一來,根據蝕刻製程901的施行,僅保留垂直部分802A而暴露出跨立部分702C與橫向部分702B。有時可以將這樣的重新暴露的層稱為一暴露層。藉由將犧牲閘極間隔物802圖形化為僅沿著虛設閘極結構602的側壁延伸,可以藉由一或多個稍後形成的上(舉例而言:頂)閘極間隔物來「終止」這樣的一圖形化的犧牲閘極間隔物(此後成為「垂直部分802A」)。因此,當移除犧牲閘極間隔物802而形成一氣隙時,針對形成在上述頂閘極間隔物的氣隙側的相反側的一主動裝置結構(舉例而言:一源極/汲極結構),可以避免其受到上述移除製程的一對應的蝕刻劑的攻擊。
根據一些實施例,蝕刻製程901包括一電漿蝕刻製程。在這樣的電漿蝕刻製程中(包括:原子團電漿蝕刻(radical plasma etching)、遠端電漿蝕刻(remote plasma etching)及其他適當的電漿蝕刻製程),氣體源可以搭配鈍性氣體使用,上述氣體源例如為氯(Cl 2)、溴化氫(HBr)、四氟化碳(CF 4)、氟仿(fluoroform;CHF 3)、二氟甲烷(CH 2F 2)、氟甲烷(CH 3F)、六氟-1,3-丁二烯(hexafluoro-1,3-butadiene;C 4F 6)、三氯化硼(BCl 3)、六氟化硫(SF 6)、氫 (H 2)、三氟化氮(NF 3)、其他適當的氣體源及上述之組合,上述鈍性氣體例如為氮(N 2)、氧(O 2)、二氧化碳(CO 2)、二氧化硫(SO 2)、一氧化碳(CO)、甲烷(CH 4)、四氯化矽(SiCl 4)其他適當的鈍性氣體及上述之組合。還有,對於上述電漿蝕刻製程,可以以例如氬 (Ar)、氦(He)、氖(Ne)、其他適當的稀釋氣體及上述之組合等的氣體來稀釋上述氣體源及/或上述鈍性氣體。上述電漿源的功率可以在100瓦(W)與3000瓦(W)之間,而電漿偏壓功率可以在0瓦(W)與3000瓦(W)之間。壓力可以在1 mTorr與800 mTorr之間。流量可以在1標準立方分鐘/每分鐘(舉例而言:standard cubic centimeters per minute;sccm)與5000sccm之間。對於一濕式清潔蝕刻(舉例而言:在上述電漿蝕刻製程之後),主蝕刻藥劑(main etch chemical)可以包括例如HF、F 2或H 3PO 4的至少一種。用於調整選擇性的一助蝕刻藥劑(assisted etch chemical)可以包括O 3、H 2SO 4、HCl、HBr或NH 3的至少一種。用於上述濕式蝕刻的溶劑可以包括去離子水、酒精或丙酮的至少一種。
此外,蝕刻製程901可以具有在底閘極間隔物702的材料與犧牲閘極間隔物802的材料之間的蝕刻選擇比。底閘極間隔物702的材料與犧牲閘極間隔物802的材料可為不同或具有不同組成,得以使蝕刻製程901在底閘極間隔物702與犧牲閘極間隔物802之間發揮夠高的蝕刻選擇比(舉例而言:大於5倍)。例如,犧牲閘極間隔物802可以具有氧系材料(oxide-based material)(舉例而言:SiO),而底閘極間隔物702可以具有氮系材料(nitride-based material)(舉例而言:SiN)。在另一個例子中,犧牲閘極間隔物802可以具有氮系材料(舉例而言:SiN),而底閘極間隔物702可以具有氧系材料(舉例而言:SiO)。在又另一個例子中,底閘極間隔物702與犧牲閘極間隔物802可以都是以SiCN形成,但是相較於在犧牲閘極間隔物802的一較低的碳濃度,底閘極間隔物702包括較高濃度的碳。
對應於第2圖的操作步驟216,第10圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其包括作為一第三閘極間隔物的一頂閘極間隔物1002)的透視圖。應注意的是,為了明確的目的,僅有非平面式電晶體裝置300的一半(舉例而言:置於虛設閘極結構602的一側上的半導體鰭狀物402的一半)顯示於第10圖。因此,在虛設閘極結構602的其他側(沿著Y軸)上,非平面式電晶體裝置300可以包括另一個頂閘極間隔物1002。
在一些實施例中,是將頂閘極間隔物1002(舉例而言:共形地)形成為一相對薄層,其得以使頂閘極間隔物1002形成為如底閘極間隔物702及圖形化前的犧牲閘極間隔物802的L形輪廓。例如在第10圖中,頂閘極間隔物1002可以具有一垂直延伸的部分(此後稱為「垂直部分1002A」)以及一橫向延伸的部分(此後稱為「橫向部分1002B」),以一起形成L形輪廓。類似地,頂閘極間隔物1002亦具有一跨立部分1002C。具體而言,垂直部分1002A是與垂直部分802A的一側壁接觸,以終止其朝向半導體鰭狀物402的一延伸部的橫向延伸(舉例而言:遠離虛設閘極結構602);橫向部分1002B是與暴露的橫向部分702B接觸;而跨立部分1002C是與跨立部分702C接觸。
為了形成頂閘極間隔物1002,可以在工件的上方共形地沉積一絕緣材料。上述絕緣材料可以包括一矽系的(silicon-based)介電材料,例如氧化矽(SiO)、氮化矽(SiN)、碳化矽(SiC)、氮化碳化矽(silicon carbide nitride ;SiCN)、氮碳氧化矽(silicon oxycarbonitride;SiOCN)、氮氧化矽(silicon oxynitride;SiON)、碳氧化矽(silicon oxycarbide;SiOC)、氮碳化矽硼(silicoboron carbonitride;SiBCN)、氮碳氧化矽硼(silicoboron oxycarbonitride;SiBOCN)或上述之組合。在一些其他實施例中,上述絕緣材料可以包括一金屬系的(metal-based)介電材料,例如氧化鉿(HfO)、氧化鋁(Al 2O 3)、氧化銅(CuO)、氮化鈦(TiN)或上述之組合。
根據本發明實施例的各種實施形態,犧牲閘極間隔物802的材料不同於底閘極間隔物702的材料及頂閘極間隔物1002的材料,藉此相較於底閘極間隔物702與頂閘極間隔物1002而具有高蝕刻選擇比而以一實質上較高的蝕刻速率(舉例而言:大於5倍)來蝕刻作為圖形化的犧牲閘極間隔物的垂直部分802A。替代性地,底閘極間隔物702、作為圖形化的犧牲閘極間隔物的垂直部分802A以及頂閘極間隔物1002可以以類似的材料形成但具有不同的組成。例如,犧牲閘極間隔物802可以具有氧系材料(舉例而言:SiO),而底閘極間隔物702與頂閘極間隔物1002可以具有氮系材料(舉例而言:SiN)。在另一個例子中,犧牲閘極間隔物802可以具有氮系材料(舉例而言:SiN),而底閘極間隔物702與頂閘極間隔物1002可以具有氧系材料(舉例而言:SiO)。在又另一個例子中,底閘極間隔物702、犧牲閘極間隔物802與頂閘極間隔物1002可以都是以SiCN形成,但是相較於在犧牲閘極間隔物802的一較低的碳濃度,底閘極間隔物702與頂閘極間隔物1002包括較高濃度的碳。如此一來,當移除作為圖形化的犧牲閘極間隔物的垂直部分802A以形成一氣隙時,底閘極間隔物702與頂閘極間隔物1002可以維持實質上完整無缺,以將上述氣隙夾置於其間。
可以藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDP-CVD)、流動式化學氣相沉積(flowable CVD;FCVD)、原子層沉積(atomic layer deposition;ALD)、磊晶沉積、電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition;PECVD)、電漿輔助原子層沉積(plasma-enhanced atomic layer deposition;PEALD)或上述之組合來沉積上述絕緣材料。可以使用其他絕緣材料及/或其他形成製程,其均維持在本發明實施例的範疇內。
對應於第2圖的操作步驟218,第11圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其中,移除半導體鰭狀物402從頂閘極間隔物1002突出的部分)的透視圖。應注意的是,為了明確的目的,僅有非平面式電晶體裝置300的一半(舉例而言:置於虛設閘極結構602的一側上的半導體鰭狀物402的一半)顯示於第11圖。因此,亦移除半導體鰭狀物402在虛設閘極結構602的其他側(沿著Y軸)上的另一部分。
在一些實施例中,可以施行一非等向性蝕刻製程,以移除半導體鰭狀物402。上述蝕刻製程可以一起分別移除工件的以下部分:頂閘極間隔物1002的跨立部分1002C(第10圖)、底閘極間隔物702的跨立部分702C的一主要部分(第10圖)以及半導體鰭狀物402的上述突出部分。如此一來,如第11圖所示,可以將半導體鰭狀物402被虛設閘極結構602、底閘極間隔物702、犧牲閘極間隔物802及頂閘極間隔物1002上覆的一(舉例而言:其餘)部分的一側壁暴露出來。應瞭解的是,虛設閘極結構602、底閘極間隔物702(舉例而言:垂直部分702A與跨立部分702C)、作為圖形化的犧牲閘極間隔物的垂直部分802A以及頂閘極間隔物1002(舉例而言:垂直部分1002A)仍然跨立於半導體鰭狀物402的上述其餘部分,其中因為例如垂直部分1002A而未將作為圖形化的犧牲閘極間隔物的垂直部分802A暴露出來。
對應於第2圖的操作步驟220,第12圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其包括一源極/汲極結構1202)的透視圖。應注意的是,為了明確的目的,僅有非平面式電晶體裝置300的一半(舉例而言:置於虛設閘極結構602的一側上的半導體鰭狀物402的一半)顯示於第12圖。因此,在虛設閘極結構602的其他側(沿著Y軸)上,非平面式電晶體裝置300可以包括另一個源極/汲極結構1202。
藉由從半導體鰭狀物402(第11圖)的暴露的側壁磊晶成長一半導體材料,而形成源極/汲極結構1202。因此,源極/汲極結構1202是從半導體鰭狀物402的被上覆的一端延伸(舉例而言:物理性連接於半導體鰭狀物402的被上覆的一端),其中半導體鰭狀物402的被上覆的一端是作為非平面式電晶體裝置300的導電通道的功能。可以使用各種適當的方法來磊晶成長源極/汲極結構1202,例如為金屬有機化學氣相沉積(metal-organic CVD;MOCVD)、分子束磊晶(molecular beam epitaxy;MBE)、液相磊晶(liquid phase epitaxy;LPE)、氣相磊晶(vapor phase epitaxy;VPE)、選擇性磊晶生長(selective epitaxial growth; SEG)、類似方法或上述之組合。
在一些實施例中,當形成的非平面式電晶體裝置300為一n型電晶體時,源極/汲極結構1202可包括碳化矽(SiC)、磷化矽(SiP)、磷摻雜矽碳(SiCP)或類似物。當形成的非平面式電晶體裝置300為一p型電晶體時,源極/汲極結構1202可包括SiGe以及p型雜質(例如,硼或銦)。
可以摻雜物來植入源極/汲極結構1202,以形成源極/汲極結構1202,後接一退火製程。上述佈植製程可包括形成例如一光阻等的遮罩及將其圖形化,以覆蓋非平面式電晶體裝置300即將在上述佈植製程中受保護的區域。源極/汲極結構1202的不純物(舉例而言:摻雜物)濃度可以在約lxl0 19cm -3至約lxl0 21cm -3的範圍。P型雜質(例如,硼或銦)可植入一P型電晶體的源極/汲極結構1202內。N型雜質(例如,磷或砷化物)可植入一N型電晶體的源極/汲極結構1202內。在一些實施例中,磊晶的源極/汲極結構1202可在成長的期間進行原位摻雜。
在形成源極/汲極結構1202之後,形成一層間介電(interlayer dielectric;ILD)層1250而上覆於源極/汲極結構1202。在一些實施例中,層間介電層1250是以一介電材料形成,例如為氧化矽、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼矽酸鹽玻璃(borosilicate glass;BSG)、摻硼的磷矽酸鹽玻璃(boron­ doped phosphosilicate glass;BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass;USG)或類似物,並且可藉由任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積或流動式化學氣相沉積。在形成層間介電層1250之後,如第12圖所繪示,可以施行一平坦化製程(例如,一化學機械研磨(CMP)製程),以對於層間介電層1250、頂閘極間隔物1002、犧牲閘極間隔物802、底閘極間隔物702與虛設閘極結構602得到一齊平(舉例而言:共平面)的上表面。
對應於第2圖的操作步驟222,第13圖為根據各種實施例在製造的各個階段的其中之一的非平面式電晶體裝置300(其包括一氣隙1302)的透視圖。應注意的是,為了明確的目的,僅有非平面式電晶體裝置300的一半(舉例而言:置於虛設閘極結構602的一側上的半導體鰭狀物402的一半)顯示於第13圖。因此,在虛設閘極結構602的其他側(沿著Y軸)上,非平面式電晶體裝置300可以包括另一個氣隙1302。
在一些實施例中,可以藉由選擇性蝕刻作為圖形化的犧牲閘極間隔物的垂直部分802A(第12圖)而留下實質上完整無缺的底閘極間隔物702與頂閘極間隔物1002,而形成氣隙1302。上述蝕刻製程可以包括一電漿灰化製程(舉例而言:當作為圖形化的犧牲閘極間隔物的垂直部分802A包括碳)、一濕式蝕刻製程或上述之組合。例如,當底閘極間隔物702與源極/汲極結構1202是以SiOCN形成時,犧牲閘極間隔物802/作為圖形化的犧牲閘極間隔物的垂直部分802A是以SiN形成,上述蝕刻製程可以包括一H 3PO 4蝕刻劑。然而,應瞭解的是,上述蝕刻製程可以包括在底閘極間隔物702與作為圖形化的犧牲閘極間隔物的垂直部分802A之間以及在頂閘極間隔物1002與作為圖形化的犧牲閘極間隔物的垂直部分802A之間具有蝕刻選擇性的任何各種蝕刻劑,而仍是在本發明實施例的範疇內。
如此一來,氣隙1302可以繼承作為圖形化的犧牲閘極間隔物的垂直部分802A的垂直延伸的輪廓。具體而言,可以將氣隙1302形成為具有一第一部分(舉例而言:一虛擬側壁)與一底端(舉例而言:一虛擬底表面),氣隙1302的第一部分暴露出垂直部分702A的一側壁,而氣隙1302的底端暴露出橫向部分702B的一主表面。在一些其他實施例中,在作為圖形化的犧牲閘極間隔物的垂直部分802A的移除製程之後,可能仍然保留作為圖形化的犧牲閘極間隔物的垂直部分802A的一部分,而維持橫向部分702B的未被暴露的狀態。
氣隙1302可以包括空氣或其他氣體,包括在犧牲閘極間隔物802的上述絕緣材料的沉積期間存在的氣體,包括氧、氮、氬、氫、氦、氙以及上述之混合物。在氣隙1302內的氣壓可以是大氣壓力。亦或是,在氣隙1302內的氣壓可以是大於或小於大氣壓力。
接下來,以作為一主動閘極結構的一例如金屬閘極結構1310來替換虛設閘極結構602(第12圖)。金屬閘極結構1310可以包括一閘極介電層、一金屬閘極層與一或多個其他層。例如,金屬閘極結構1310可以更包括一蓋層與一黏著層。上述蓋層可保護下層的功函數層而避免其受到氧化。在一些實施例中,上述蓋層可以是一含矽層,例如一層矽、一層氧化矽或一層氮化矽。上述黏著層可以在其下層與後續形成在此黏著層的上方的一閘極電極層(舉例而言:鎢)之間作為一黏結劑層的功能。可以以一適當的材料例如氮化鈦來形成上述黏著層。
上述閘極介電層是形成在一對應的閘極溝槽,以跨立於半導體鰭狀物402的一部分(舉例而言:非平面式電晶體裝置300的一通道結構)。在一實施例中,上述閘極介電層可以是上述虛設閘極結構的一虛設閘極介電質的餘留部分。在另一實施例中,可以藉由移除上述虛設閘極介電質、後接共形沉積或加熱反應來形成上述閘極介電層。在又另一實施例中,可以藉由移除上述虛設閘極介電質而未後接任何進一步的製程(舉例而言:上述閘極介電層可以是一原生氧化物)來形成上述閘極介電層。
上述閘極介電層包括氧化矽、氮化矽或上述之多層。在複數個示例性的實施例中,上述閘極介電層包括一高介電常數(高k值)介電材料,且在這些實施例中,上述閘極介電層具有的k值可大於約7.0,並可以包括金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb或上述之組合的矽酸鹽。上述閘極介電層的形成方法可包括分子束沉積(molecular beam deposition;MBD)、原子層沉積(atomic layer deposition;ALD)、電漿輔助化學氣相沉積或類似方法。在一例子中,上述閘極介電層的厚度可以例如在約8埃(Å)與約20埃之間。
上述金屬閘極層是形成在上述閘極介電層的上方。在一些實施例中,上述金屬閘極層可以是一P型功函數層、一N型功函數層、上述多層或上述之組合。因此,有時可以將上述金屬閘極層各稱作是一功函數層。例如,上述金屬閘極層可以是一N型功函數層。在本文的說明中,亦可將一功函數層稱為一功函數金屬。可以包含於用於P型裝置的閘極結構中的例示的P型功函數金屬包括TiN、TaN、Ru、Mo、 Al、WN、ZrSi 2、M0Si 2、TaSi 2、NiSi 2、WN、其他合適的P型功函數材料或上述之組合。可以包含於用於N型裝置的閘極結構中的例示的N型功函數金屬包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的N型功函數材料或上述之組合。
功函數值與功函數層的材料組成有關,因此,選擇功函數層的材料是為了調整其功函數值,使得在即將形成的裝置中達成一目標閾值電壓V t。上述功函數層可藉由化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)及/或其他合適的製程進行沉積。作為一範例,一P型功函數層的厚度可在約8Å與約15Å之間,一N型功函數層的厚度可在約15Å與約30Å之間。
儘管前文討論的每個底/犧牲/頂閘極間隔物(舉例而言:底閘極間隔物702、犧牲閘極間隔物802、頂閘極間隔物1002)是形成為一單一層,應瞭解的是,可以將任何的上述閘極間隔物形成為一多層堆疊物,而仍是在本發明實施例的範疇內。在這樣的實施例中,可以以一氣隙替換任何的上述閘極間隔物(舉例而言:上述犧牲閘極間隔物以外的一閘極間隔物)的一或多層。另外,可以形成超過一層的氣隙。又另外,這樣的多個氣隙可以彼此不連續。根據本發明實施例的各種實施形態,藉由將預計被替換為一氣隙的一上閘極間隔物「圖形化」,局部曝露出設置在此上閘極間隔物的下方的一較低層的閘極間隔物。有時,可以將這樣的較低層的閘極間隔物稱為一蝕刻停止層。亦應注意的是,上述較低層的閘極間隔物可能不會是比上述上閘極間隔物次低的一層,將會在後文作更詳細的討論。
在一例子中,其中形成二個(第一與第二)閘極間隔物且將每個第一與第二閘極間隔物各形成為一單一層,一半導體鰭狀物/隔離結構或上述第一閘極間隔物——均設置在上述第二閘極間隔物的下方(舉例而言:被上述第二閘極間隔物所上覆),可以在將上述第二閘極間隔物圖形化時作為一蝕刻停止層。在如此的設定,上述氣隙僅能藉由替換上述第二閘極間隔物來形成。換言之,關於在何處(舉例而言:哪一個閘極間隔物)可以形成上述氣隙的選項,答案為一個例子。
在另一例子中,其中形成三個(第一、第二與第三)閘極間隔物且將每個第一至第三閘極間隔物各形成為一單一層,一半導體鰭狀物/隔離結構、上述第一閘極間隔物或上述第二閘極間隔物——均設置在上述第三閘極間隔物的下方(舉例而言:被上述第三閘極間隔物所上覆),可以在將上述第三閘極間隔物圖形化時作為一蝕刻停止層。在如此的設定,可以藉由以下來形成上述氣隙:替換上述第二閘極間隔物、替換上述第三閘極間隔物或是將上述第二閘極間隔物與上述第三閘極間隔物都予以替換(其中,彼此連接的二個氣隙)。換言之,關於在何處(舉例而言:哪一個閘極間隔物)可以形成上述氣隙的選項,答案為三個例子,將其總結於以下的表I。在表I,每一列是代表在何處可以藉由替換一或多個對應的閘極間隔物來形成一或多個氣隙(以「AG」代表)的一「例子」,而每個例子是與以哪一層作為蝕刻停止層有關。
例子 半導體鰭狀物/ 隔離結構 (第零層) 第一閘極間隔物 (第一層) 第二閘極間隔物 (第二層) 第三閘極間隔物 (第三層) 蝕刻停止層
1     AG   第零層或第一閘極間隔物
2       AG 第零層、第一閘極間隔物或第二閘極間隔物
3     AG AG 第零層或第一閘極間隔物
表I
使用半導體鰭狀物402/隔離結構502、底閘極間隔物702、犧牲閘極間隔物802與頂閘極間隔物1002(來分別代表上述第零層、上述第一層、上述第二層與上述第三層)作為一繪示例,閱讀此一表格可以獲得較佳的理解。例如在例子# 1中,當將犧牲閘極間隔物802(第二層)圖形化以在稍後形成一氣隙時,可能暴露出底閘極間隔物702(第一層)或半導體鰭狀物402/隔離結構502(第零層)。例如在例子# 2中,當將頂閘極間隔物1002(第三層)圖形化以在稍後形成一氣隙時,可能暴露出犧牲閘極間隔物802(第二層)、底閘極間隔物702(第一層)或半導體鰭狀物402/隔離結構502(第零層)。例如在例子# 3中,當將犧牲閘極間隔物802(第二層)與頂閘極間隔物1002(第三層)圖形化以在稍後形成氣隙時,可能暴露出底閘極間隔物702(第一層)或半導體鰭狀物402/隔離結構502(第零層)。
在又另一例子中,其中形成四個(第一、第二、第三與第四)閘極間隔物且將每個第一至第四閘極間隔物各形成為一單一層,一半導體鰭狀物/隔離結構、上述第一閘極間隔物、上述第二閘極間隔物或上述第三閘極間隔物——均設置在上述第四閘極間隔物的下方(舉例而言:被上述第四閘極間隔物所上覆),可以在將上述第四閘極間隔物圖形化時作為一蝕刻停止層。在如此的設定,可以藉由以下來形成上述氣隙:替換上述第二閘極間隔物、替換上述第三閘極間隔物、替換上述第四閘極間隔物、將上述第二閘極間隔物與上述第三閘極間隔物都予以替換(其中,彼此連接的二個氣隙)、替換上述第二閘極間隔物與上述第四閘極間隔物(其中,彼此不連接的二個氣隙)、將上述第三閘極間隔物與上述第四閘極間隔物都予以替換(其中,彼此連接的二個氣隙)或是替換上述第二閘極間隔物至上述第四閘極間隔物都予以替換(其中,彼此連接的三個氣隙)。換言之,關於在何處(舉例而言:哪一個閘極間隔物)可以形成上述氣隙的選項,答案為七個例子,將其總結於以下的表II。在表II,每一列是代表在何處可以藉由替換一或多個對應的閘極間隔物來形成一或多個氣隙(以「AG」代表)的一「例子」,而每個例子是與以哪一層作為蝕刻停止層有關。
例子 半導體鰭狀物/隔離結構(第零層) 第一閘極 間隔物 (第一層) 第二閘極間 隔物 (第二層) 第三閘極間 隔物 (第三層) 第四閘極 間隔物 (第四層)   蝕刻停止層
1     AG     第零層或第一閘極間隔物
2       AG   第零層、第一閘極間隔物或第二閘極間隔物
3         AG 第零層、第一閘極間隔物、第二閘極間隔物或第三閘極間隔物
4     AG AG   第零層或第一閘極間隔物
5     AG   AG 第零層或第一閘極間隔物
6       AG AG 第零層、第一閘極間隔物或第二閘極間隔物
7     AG AG AG 第零層或第一閘極間隔物
表II
第14A、14B、15A、15B、16A、16B、17A、17B、18A、18B、19A與19B圖繪示前文討論的非平面式電晶體裝置300的各種實施例的剖面圖。在第14A、15A、16A、17A、18A與19A圖的非平面式電晶體裝置300的剖面圖是沿著剖面X-X(標示於第13圖)切開的剖面圖。剖面X-X是沿著半導體鰭狀物402的縱長方向(但是未在源極/汲極結構1202的上方延伸)。在第14B、15B、16B、17B、18B與19B圖的非平面式電晶體裝置300的剖面圖是沿著剖面Y-Y(標示於第13圖)切開的剖面圖。剖面Y-Y是平行於剖面X-X,其延伸穿越隔離結構502(但是未在源極/汲極結構1202的上方延伸)。
在第14A與14B圖的例子中,在將犧牲閘極間隔物802圖形化時(舉例而言:第9圖),形成為一單一層的底閘極間隔物702是作為一蝕刻停止層。如第14A與14B圖二者所示,在形成氣隙1302(藉由移除犧牲閘極間隔物802)之後,底閘極間隔物702可以呈現一或多個L形輪廓,其各自具有在氣隙1302與頂閘極間隔物1002的二者的下方延伸的一橫向部分(舉例而言:沿著Y軸)。具體而言,這樣的L形輪廓中的一個可以呈現在半導體鰭狀物402的上方(舉例而言:第14A圖),而另一個L形輪廓可以呈現在隔離結構502的上方(舉例而言:第14B圖)。
各種臨界尺寸(critical dimension;CD)可以用來描繪所揭露的非平面式電晶體裝置300的部件的特性。例如,頂閘極間隔物1002在半導體鰭狀物402的上方的一頂部的厚度可以標示為T c;頂閘極間隔物1002在半導體鰭狀物402的上方的一底部的厚度可以標示為B c;頂閘極間隔物1002在隔離結構502的上方的一頂部的厚度可以標示為T s;頂閘極間隔物1002在隔離結構502的上方的一底部的厚度可以標示為B s;氣隙1302在半導體鰭狀物402的上方的一側壁與底表面之間的一底角角度可以標示為θ c;氣隙1302在隔離結構502的上方的一側壁與底表面之間的一底角角度可以標示為θ s。作為一非限制性的例子,T c是實質上類似於B c(舉例而言:其差值小於或等於0.5 nm);T s是實質上類似於B s(舉例而言:其差值小於或等於 0.5 nm);θ c可以是在約85°與約95°之間的範圍;以及 θ s可以是在約85°與約95°之間的範圍。依存於各種製程條件(舉例而言:在第11圖討論的用以移除半導體鰭狀物402的突出部分的蝕刻條件),T c、B c、T s與B s可以各自在約0.3 nm至約30 nm的範圍。
在第15A與15B圖的例子中,在將犧牲閘極間隔物802圖形化時(舉例而言:第9圖),形成為一單一層的底閘極間隔物702是作為一蝕刻停止層。另外,在形成氣隙1302(藉由移除犧牲閘極間隔物802)時,亦可能消耗頂閘極間隔物1002的一下部。如第15A與15B圖二者所示,在形成氣隙1302之後,底閘極間隔物702可以呈現一或多個L形輪廓,其各自具有在氣隙1302與頂閘極間隔物1002的二者的下方延伸的一橫向部分(舉例而言:沿著Y軸)。具體而言,這樣的L形輪廓中的一個可以呈現在半導體鰭狀物402的上方(舉例而言:第15A圖),而另一個L形輪廓可以呈現在隔離結構502的上方(舉例而言:第15B圖)。在頂閘極間隔物1002的上述下部的消耗亦可能將氣隙1302的一下部向頂閘極間隔物1002或源極/汲極結構1202橫向延伸(第13圖)。
作為第15A與15B圖的一非限制性的例子,T c是大於B c(舉例而言:其差值大於或等於0.5 nm);T s是大於B s(舉例而言:其差值大於或等於0.5 nm);θ c可以是在約45°與約85°之間的範圍;以及 θ s可以是在約45°與約85°之間的範圍。依存於各種製程條件(舉例而言:在第11圖討論的用以移除半導體鰭狀物402的突出部分的蝕刻條件),T c、B c、T s與B s可以各自在約0.3 nm至約30 nm的範圍。
在第16A與16B圖的例子中,在將犧牲閘極間隔物802圖形化之時(舉例而言:第9圖),形成為一單一層的底閘極間隔物702是作為一蝕刻停止層。另外,在將犧牲閘極間隔物802圖形化之時,亦可能消耗底閘極間隔物702的一部分。如第16A與16B圖二者所示,在形成氣隙1302(藉由移除犧牲閘極間隔物802)之後,底閘極間隔物702可以呈現一或多個階梯形輪廓,其各自具有一第一橫向部分與一第二橫向部分,上述第一橫向部分在氣隙1302的下方延伸,上述第二橫向部分在頂閘極間隔物1002的下方延伸(舉例而言:沿著Y軸)。具體而言,這樣的階梯形輪廓中的一個可以呈現在半導體鰭狀物402的上方(舉例而言:第16A圖),而另一個階梯形輪廓可以呈現在隔離結構502的上方(舉例而言:第16B圖)。
作為第16A與16B圖的一非限制性的例子,T c是實質上類似於B c(舉例而言:其差值小於或等於0.5 nm);T s是實質上類似於B s(舉例而言:其差值小於或等於0.5 nm);θ c可以是在約85°與約95°之間的範圍;以及 θ s可以是在約85°與約95°之間的範圍。依存於各種製程條件(舉例而言:在第11圖討論的用以移除半導體鰭狀物402的突出部分的蝕刻條件),T c、B c、T s與B s可以各自在約0.3 nm至約30 nm的範圍。
在第17A與17B圖的例子中,在將犧牲閘極間隔物802圖形化之時(舉例而言:第9圖),形成為一單一層的底閘極間隔物702是作為一蝕刻停止層。另外,在將犧牲閘極間隔物802圖形化時,亦可能消耗底閘極間隔物702的一部分;以及在形成氣隙1302(藉由移除犧牲閘極間隔物802)時,亦可能消耗頂閘極間隔物1002的一下部。如第17A與17B圖二者所示,在形成氣隙1302(藉由移除犧牲閘極間隔物802)之後,底閘極間隔物702可以呈現一或多個階梯形輪廓,其各自具有一第一橫向部分與一第二橫向部分,上述第一橫向部分在氣隙1302與頂閘極間隔物1002二者的下方延伸,上述第二橫向部分在頂閘極間隔物1002的下方延伸(舉例而言:沿著Y軸)。具體而言,這樣的階梯形輪廓中的一個可以呈現在半導體鰭狀物402的上方(舉例而言:第76A圖),而另一個階梯形輪廓可以呈現在隔離結構502的上方(舉例而言:第17B圖)。在頂閘極間隔物1002的上述下部的消耗亦可能將氣隙1302的一下部向頂閘極間隔物1002或源極/汲極結構1202橫向延伸(第13圖)。
作為第17A與17B圖的一非限制性的例子,T c是大於B c(舉例而言:其差值大於或等於0.5 nm);T s是大於B s(舉例而言:其差值大於或等於0.5 nm);θ c可以是在約45°與約85°之間的範圍;以及θ s可以是在約45°與約85°之間的範圍。依存於各種製程條件(舉例而言:在第11圖討論的用以移除半導體鰭狀物402的突出部分的蝕刻條件),T c、B c、T s與B s可以各自在約0.3 nm至約30 nm的範圍。
在第18A與18B圖的例子中,在將犧牲閘極間隔物802圖形化(以及亦將下層的底閘極間隔物702圖形化)時,形成為半導體鰭狀物402及/或隔離結構502是作為一蝕刻停止層。如第18A與18B圖二者所示,在形成氣隙1302(藉由移除犧牲閘極間隔物802)之後,底閘極間隔物702可以呈現一或多個L形輪廓,其各自具有僅在氣隙1302的下方延伸的一橫向部分(舉例而言:沿著Y軸)。具體而言,這樣的L形輪廓中的一個可以呈現在半導體鰭狀物402的上方(舉例而言:第18A圖),而另一個L形輪廓可以呈現在隔離結構502的上方(舉例而言:第18B圖)。
作為第18A與18B圖的一非限制性的例子,T c是實質上類似於B c(舉例而言:其差值小於或等於0.5 nm);T s是實質上類似於B s(舉例而言:其差值小於或等於0.5 nm);θ c可以是在約85°與約95°之間的範圍;以及θ s可以是在約85°與約95°之間的範圍。依存於各種製程條件(舉例而言:在第11圖討論的用以移除半導體鰭狀物402的突出部分的蝕刻條件),T c、B c、T s與B s可以各自在約0.3 nm至約30 nm的範圍。
在第19A與19B圖的例子中,在將犧牲閘極間隔物802圖形化(以及亦將下層的底閘極間隔物702圖形化)時,半導體鰭狀物402及/或隔離結構502是作為一蝕刻停止層。另外,在形成氣隙1302(藉由移除犧牲閘極間隔物802)時,亦可能消耗頂閘極間隔物1002的一下部。如第19A與19B圖二者所示,在形成氣隙1302之後,底閘極間隔物702可以呈現一或多個L形輪廓,其各自具有在氣隙1302與頂閘極間隔物1002的二者的下方延伸的一橫向部分(舉例而言:沿著Y軸)。具體而言,這樣的L形輪廓中的一個可以呈現在半導體鰭狀物402的上方(舉例而言:第19A圖),而另一個L形輪廓可以呈現在隔離結構502的上方(舉例而言:第19B圖)。在頂閘極間隔物1002的上述下部的消耗亦可能將氣隙1302的一下部向頂閘極間隔物1002或源極/汲極結構1202橫向延伸(第13圖)。
作為第19A與19B圖的一非限制性的例子,T c是大於B c(舉例而言:其差值大於或等於0.5 nm);T s是大於B s(舉例而言:其差值大於或等於0.5 nm);θ c可以是在約45°與約85°之間的範圍;以及θ s可以是在約45°與約85°之間的範圍。依存於各種製程條件(舉例而言:在第11圖討論的用以移除半導體鰭狀物402的突出部分的蝕刻條件),T c、B c、T s與B s可以各自在約0.3 nm至約30 nm的範圍。
第20A至20E與21A至21D圖繪示前文討論的非平面式電晶體裝置300的各種實施例的剖面圖。在第20A至20E與21A至21D圖的非平面式電晶體裝置300的剖面圖是沿著剖面Z-Z(標示於第13圖)切開的剖面圖。剖面Z-Z是正交於剖面X-X與Y-Y而沿著半導體鰭狀物402的縱長方向(但是未在源極/汲極結構1202的上方延伸)。
首先請參考第20A圖,氣隙1302可以形成在半導體鰭狀物402與一相鄰的通道2002之間,在半導體鰭狀物402與相鄰的通道2002之間可以包括實質上平行於半導體鰭狀物402的一介電鰭狀物與另一介電鰭狀物。如圖所示,氣隙1302是置於上述介電鰭狀物的各自的二個區域2010與2020(舉例而言:沿著X軸)。這樣的二個區域2010與2020實質上彼此相似,舉例而言,具有相同的沿著X軸的寬度。第20A圖是顯示半導體鰭狀物402在與源極/汲極結構1202(未繪示)連接具有一平坦界面,而第20B與20C圖則繪示半導體鰭狀物402的其他實施例。在第20B圖中,半導體鰭狀物402在與源極/汲極結構1202(未繪示)連接具有的界面,是向半導體鰭狀物402的內部突出的界面;而在第20C圖中,半導體鰭狀物402在與源極/汲極結構1202(未繪示)連接具有的界面,是離開半導體鰭狀物402的方向而向外部突出的界面。
各種臨界尺寸可以用來描繪所揭露的非平面式電晶體裝置300的部件的特性。例如在第20D圖中,頂閘極間隔物1002相對於半導體鰭狀物402的一較遠側部的厚度可以標示為T p1;頂閘極間隔物1002相對於半導體鰭狀物402的一中間部的厚度可以標示為T p2;頂閘極間隔物1002相對於半導體鰭狀物402的一較近側部的厚度可以標示為T p3;氣隙1302(接觸頂閘極間隔物1002)的一側壁與氣隙1302(接觸相鄰的通道2002)的一側壁之間的一底角角度可以標示為θ p1;以及氣隙1302(接觸頂閘極間隔物1002)的一側壁與氣隙1302(接觸半導體鰭狀物402)的一側壁之間的一底角角度可以標示為θ p2
在一些實施例中,在氣隙1302與頂閘極間隔物1002之間的一界面可以是實質上平坦(如第20D圖所示)或是朝氣隙1302向外突出(如第20E圖所示)。在第20D圖的例子中,藉由控制各種蝕刻條件來移除犧牲閘極間隔物,而使T p1與T p3各自實質上近似於T p2(舉例而言:其差值小於或等於0.5 nm)。例如可以使用一脈衝控制(pulse control)的蝕刻製程(舉例而言:原子層蝕刻製程(atomic layer etching process))。因此,θ p1與θ p2可以各自在約85°與約95°之間的範圍。在第20E圖的例子中,T p1與T p3各自實質上小於T p2(舉例而言:其差值大於或等於0.5 nm)。因此,θ p1與θ p2可以各自在約30°與約85°之間的範圍。依存於各種製程條件(舉例而言:在第11圖討論的用以移除半導體鰭狀物402的突出部分的蝕刻條件),T p1、T p2與T p3可以各自在約0.3 nm至約30 nm的範圍。
接下來請參考第21A圖,氣隙1302是置於上述介電鰭狀物的各自的二個區域2110與2120(舉例而言:沿著X軸),其中一個氣隙1302的寬度(沿著X軸延伸)大於另一個氣隙1302的寬度。區域2110與2120的如此不同的寬度可以如第21B圖所繪示,分別標示為CD p1與CD p2。作為一非限制性的例子,CD p1與CD p2之間的差可以大於或等於1 nm。類似於示於第20A至20E圖的例子,各種臨界尺寸可以用來描繪所揭露的非平面式電晶體裝置300的部件的特性,如第21B至21D圖所繪示。
在區域2110中,頂閘極間隔物1002相對於半導體鰭狀物402的一較遠側部的厚度可以標示為T p1;頂閘極間隔物1002相對於半導體鰭狀物402的一中間部的厚度可以標示為T p2;頂閘極間隔物1002相對於半導體鰭狀物402的一較近側部的厚度可以標示為T p3;氣隙1302(接觸頂閘極間隔物1002)的一側壁與氣隙1302(接觸相鄰的通道2002)的一側壁之間的一底角角度可以標示為θ p1;以及氣隙1302(接觸頂閘極間隔物1002)的一側壁與氣隙1302(接觸半導體鰭狀物402)的一側壁之間的一底角角度可以標示為θ p2。在區域2120中,頂閘極間隔物1002相對於半導體鰭狀物402的一較遠側部的厚度可以標示為T p1’;頂閘極間隔物1002相對於半導體鰭狀物402的一中間部的厚度可以標示為T p2’;頂閘極間隔物1002相對於半導體鰭狀物402的一較近側部的厚度可以標示為T p3’;氣隙1302(接觸頂閘極間隔物1002)的一側壁與氣隙1302(接觸相鄰的通道2002)的一側壁之間的一底角角度可以標示為θ p1’;以及氣隙1302(接觸頂閘極間隔物1002)的一側壁與氣隙1302(接觸半導體鰭狀物402)的一側壁之間的一底角角度可以標示為θ p2’。
在一些實施例中,如第21B圖所示,每個在區域2110與2120中,在氣隙1302與頂閘極間隔物1002之間的一界面可以是實質上平坦。如此一來,藉由控制各種蝕刻條件來移除犧牲閘極間隔物,而使T p1(T p1’)與T p3(T p3’)各自實質上近似於T p2(T p2’)(舉例而言:其差值小於或等於0.5 nm)。例如可以使用一脈衝控制的蝕刻製程(舉例而言:原子層蝕刻製程)。因此,θ p1p1’)與θ p2p2’)可以各自在約85°與約95°之間的範圍。依存於各種製程條件(舉例而言:在第11圖討論的用以移除半導體鰭狀物402的突出部分的蝕刻條件),T p1、T p2、T p3、T p1’、T p2’與T p3’可以各自在約0.3 nm至約30 nm的範圍。
在一些實施例中,如第21C圖所示,在區域2110與2120中的一個,在氣隙1302與頂閘極間隔物1002之間的一界面可以是實質上平坦;在區域2110與2120中的另一個,在氣隙1302與頂閘極間隔物1002之間的一界面可以是朝氣隙1302向外突出。如此一來,藉由控制各種蝕刻條件來移除犧牲閘極間隔物,而使T p1與T p3各自實質上近似於T p2(舉例而言:其差值小於或等於0.5 nm),而T p1’與T p3’卻各自實質上小於T p2’(舉例而言:其差值大於或等於0.5 nm)。因此,θ p1與θ p2可以各自在約85°與約95°之間的範圍,而θ p1’與θ p2’可以各自在約30°與約85°之間的範圍。依存於各種製程條件(舉例而言:在第11圖討論的用以移除半導體鰭狀物402的突出部分的蝕刻條件),T p1、T p2、T p3、T p1’、T p2’與T p3’可以各自在約0.3 nm至約30 nm的範圍。
在一些實施例中,如第21D圖所示,每個在區域2110與2120中,在氣隙1302與頂閘極間隔物1002之間的一界面可以是朝氣隙1302向外突出。如此一來,而使T p1(T p1’)與T p3(T p3’)各自實質上小於T p2(T p2’)(舉例而言:其差值大於或等於0.5 nm)。因此,θ p1p1’)與θ p2p2’)可以各自在約30°與約85°之間的範圍。依存於各種製程條件(舉例而言:在第11圖討論的用以移除半導體鰭狀物402的突出部分的蝕刻條件),T p1、T p2、T p3、T p1’、T p2’與T p3’可以各自在約0.3 nm至約30 nm的範圍。
如前文所述,可以將每個底閘極間隔物702、犧牲閘極間隔物802與頂閘極間隔物1002形成為一多層堆疊物。第22A至22C圖繪示非平面式電晶體裝置300的各種實施例的剖面圖,其閘極間隔物的至少一個是形成為一多層堆疊物。在第22A至22C圖的非平面式電晶體裝置300的剖面圖是沿著剖面X-X或Y-Y(標示於第13圖)切開的剖面圖。
在第22A圖中,底閘極間隔物702包括二層702A’與702B’。如圖所示,每層702A’與702B’可以具有一L形輪廓,其具有在氣隙1302與頂閘極間隔物1002的下方延伸的一橫向部。應注意的是,氣隙1302是藉由移除犧牲閘極間隔物802所形成,而可以將犧牲閘極間隔物802形成為一單一層或是一多層堆疊物的最後形成的層。如此一來,氣隙1302可以呈現一I形輪廓。在第22B圖中,犧牲閘極間隔物802包括二層的下層802A’與上層802B’,其中僅將下層802A’移除以形成氣隙1302。由於下層802A’不是犧牲閘極間隔物802的多層堆疊物的最後形成的層,氣隙1302可以具有一L形輪廓。在第22C圖中,頂閘極間隔物1002包括二層1002A’與1002B’,其中一或多個非最後形成的層(舉例而言:層1002A’)具有一L形輪廓,而最後形成的層(舉例而言:層1002B’)則具有一I形輪廓。
儘管用於移除犧牲閘極間隔物802的蝕刻劑可以留下實質上完整無缺的底閘極間隔物及頂閘極間隔物(如前文所討論),在一些設定,部分的底閘極間隔物及頂閘極間隔物仍將會被蝕刻劑所消耗。如此一來,氣隙1302可能會從犧牲閘極間隔物802的原本的尺寸與輪廓擴大。第23A至23B圖繪示的例子中,已經改變氣隙1302的輪廓而與犧牲閘極間隔物802的輪廓不同。第23A至23B圖各自繪示的非平面式電晶體裝置300的剖面圖是沿著剖面X-X或Y-Y(標示於第13圖)切開的剖面圖。
在第23A至23B圖中,是以底閘極間隔物702與頂閘極間隔物1002夾置犧牲閘極間隔物802,其中底閘極間隔物702包括層702A’、702B’與702C’,而頂閘極間隔物1002包括層1002A’與1002B’。在形成氣隙1302之後(藉由主要移除犧牲閘極間隔物802),底閘極間隔物702的層702C’的一部分與頂閘極間隔物1002的層1002A’的一部分亦被消耗,而導致氣隙1302的輪廓從犧牲閘極間隔物802原本的輪廓擴大。
如前文所述,所揭露的方法200的至少一些操作步驟亦可以用於製造一全繞式閘極電晶體裝置。第24A圖顯示一全繞式電晶體裝置2400的透視圖,而第24B圖顯示全繞式電晶體裝置2400的剖面圖。第24圖的剖面圖是沿著剖面A-A(第24A圖)切開的剖面圖。
如第24A圖所示,全繞式電晶體裝置2400包括一基底2402與數個半導體層2404(舉例而言:奈米片(nanosheets)、奈米線(nanowires)或別的奈米結構),其在基底2402的上方。半導體層2404是彼此垂直分離,其可一起作為全繞式電晶體裝置2400的一(傳導)通道的功能。在基底2402的一突出部分的對向側上形成隔離區/結構2406,而半導體層2404是置於上述突出部分的上方。一閘極結構2408包裹在每個半導體層2404的周圍(舉例而言:包裹每個半導體層2404的周長)。一底閘極間隔物2410與一頂閘極間隔物2414是設置在閘極結構2408的一側上,一氣隙2412介於頂閘極間隔物2414與底閘極間隔物2410之間。一源極/汲極結構2416是設置在閘極結構2408的一側上,而底閘極間隔物2410、頂閘極間隔物2414及氣隙2412則設置在閘極結構2408與源極/汲極結構2416之間。一層間介電質(interlayer dielectric;ILD)218是設置在源極/汲極結構2416的上方及/或源極/汲極結構2416與頂閘極間隔物2414之間。進一步如第24B圖所示,以至少頂閘極間隔物2414,將氣隙2412與源極/汲極結構2416隔離。另外,全繞式電晶體裝置2400包括數個內間隔物2420,每個內間隔物2420是設在相鄰的個別的半導體層2404之間。
在本發明實施例的一個態樣,是揭露一種半導體裝置。上述半導體裝置包括:一通道結構,其沿著一第一橫向方向延伸並置於一基底的上方。上述半導體裝置包括:一閘極結構,其沿著一第二橫向方向延伸,上述第二橫向方向正交於上述第一橫向方向,其中上述閘極結構跨立於上述通道結構。上述半導體裝置包括:一磊晶結構,其耦接於上述通道結構,其中上述磊晶結構相鄰於上述閘極結構而設置。上述半導體裝置包括:一第一間隔物與一第二間隔物,其各包括一第一部分,上述第一部分沿著上述第一橫向方向置於上述閘極結構與上述磊晶結構之間。上述半導體裝置包括:一氣隙,其介於上述第一閘極間隔物的上述第一部分與上述第二閘極間隔物的上述第一部分之間。上述氣隙暴露出上述第一閘極間隔物在上述第一橫向方向延伸的一第二部分。
在一些實施例中,上述第二閘極間隔物還包括一第二部分,上述第二閘極間隔物的上述第二部分在上述第一橫向方向延伸。置於上述磊晶結構的下方的上述第一閘極間隔物的上述第二部分及上述第二閘極間隔物的上述第二部分彼此直接接觸。
在一些實施例中,每個上述第一閘極間隔物與上述第二閘極間隔物形成一L形輪廓。
在一些實施例中,上述氣隙僅沿著一垂直方向延伸。
在一些實施例中,上述氣隙包括一基腳部(footing portion),上述基腳部沿著上述第二橫向方向延伸進入上述第二閘極間隔物。
在一些實施例中,是以上述第一閘極間隔物將上述氣隙與上述通道結構分離。
在一些實施例中,上述半導體裝置更包括:一層間介電質(interlayer dielectric;ILD),置於上述磊晶結構的上方。
在一些實施例中,是以上述第二閘極間隔物將上述氣隙與上述層間介電質隔離。
在一些實施例中,在上述第二閘極間隔物與上述氣隙之間的至少一個界面具有一曲線型(curvature-based)的輪廓。
在一些實施例中,上述曲線型的輪廓向上述氣隙突出。
在本發明實施例的一個態樣,是揭露一種半導體裝置。上述半導體裝置包括:一閘極結構,其沿著一第一橫向方向延伸。上述半導體裝置包括:一源極/汲極結構,其置於上述閘極結構沿著一第二橫向方向的一側上,上述第二橫向方向正交於上述第一橫向方向。上述半導體裝置包括:一第一閘極間隔物,其包括一第一部分與一第二部分,上述第一部分沿著一垂直方向延伸,上述第二部分沿著上述第二橫向方向延伸。上述第一閘極間隔物的上述第一部分是沿著上述第二橫向方向介於上述閘極結構與上述源極/汲極結構之間。上述半導體裝置包括:一第二閘極間隔物,其包括一第一部分與一第二部分,上述第一部分沿著一垂直方向延伸,上述第二部分沿著上述第二橫向方向延伸。上述第二閘極間隔物的上述第一部分是沿著上述第二橫向方向介於上述閘極結構與上述源極/汲極結構之間。上述半導體裝置包括:一氣隙,其介於上述第一閘極間隔物的上述第一部分與上述第二閘極間隔物的上述第一部分之間。上述氣隙暴露出上述第一閘極間隔物的上述第二部分的一主要表面的一部分,而上述主要表面的其餘部分與上述第二閘極間隔物的上述第二部分接觸。
在一些實施例中,上述氣隙僅沿著上述垂直方向延伸。
在一些實施例中,上述半導體裝置更包括:一層間介電質(interlayer dielectric;ILD),其置於上述源極/汲極結構的上方。
在一些實施例中,是以上述第二閘極間隔物的上述第一部分將上述氣隙與上述層間介電質隔離。
在一些實施例中,上述第一閘極間隔物的上述第二部分與上述第二閘極間隔物的上述第二部分均置於上述源極/汲極結構的下方。
在一些實施例中,上述半導體裝置更包括:複數個奈米結構,其沿著上述垂直方向彼此分離,每個上述複數個奈米結構沿著上述第二橫向方向延伸。
在一些實施例中,上述閘極結構包裹在每個上述複數個奈米結構的周圍。上述源極/汲極結構耦接於每個上述複數個奈米結構的一端。
在一些實施例中,是以上述第一閘極間隔物將上述氣隙與上述複數個奈米結構的最頂部的奈米結構分離。
在本發明實施例的一個態樣,是揭露一種半導體裝置的製造方法。上述方法包括:在一基底的上方形成一通道結構,其中上述通道結構沿著一第一橫向方向延伸。上述方法包括:形成一閘極結構,其沿著一第二橫向方向延伸,以跨立於上述通道結構的一部分。上述方法包括:形成一第一閘極間隔物,其跨立於上述通道結構的其餘部分。上述方法包括:在上述第一閘極間隔物的上方形成一犧牲閘極間隔物。上述方法包括:圖形化上述犧牲閘極間隔物,以暴露出上述第一閘極間隔物沿著上述第一橫向方向延伸的一部分。上述方法包括:在上述犧牲閘極間隔物的上方以及在上述第一閘極間隔物的暴露的部分的上方,形成一第二閘極間隔物。上述方法包括:移除上述圖形化的犧牲閘極間隔物,以形成一氣隙。
在一些實施例中,在移除上述圖形化的犧牲閘極間隔物的上述步驟之前,上述方法更包括:移除上述通道結構的上述其餘部分的一部分以及移除上述第一閘極間隔物與上述第二閘極間隔物分別在上述通道結構的上述其餘部分的上述一部分上的部分。上述方法更包括:成長一磊晶結構,其耦接於上述通道結構,其中以上述第二閘極間隔物的一垂直部分將上述磊晶結構與上述圖形化的犧牲閘極間隔物隔離。上述方法更包括:以一介電材料覆蓋上述磊晶結構。
前述內文概述了許多實施例的特徵,使所屬技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。所屬技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。所屬技術領域中具有通常知識者也應了解這些均等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100:鰭式場效電晶體裝置 102:基底 104:鰭狀物 106:隔離區 108:閘極介電質 110:閘極 112D:汲極區 112S:源極區 200:方法 202,204,206,208,210,212,214,216,218,220,222:操作步驟 300:非平面式電晶體裝置 302:基底 402:半導體鰭狀物 406:墊氧化物層 408:墊氮化物層 410:圖形化的遮罩 411:溝槽 502:隔離結構 602:虛設閘極結構 702:底閘極間隔物 702A:垂直部分 702B:橫向部分 702C:跨立部分 702A’,702B’,702C’:層 802:犧牲閘極間隔物 802A:垂直部分 802A’:下層 802B:橫向部分 802B’:上層 802C:跨立部分 901:蝕刻製程 1002:頂閘極間隔物 1002A:垂直部分 1002B:橫向部分 1002C:跨立部分 1002A’,1002B’:層 1202:源極/汲極結構 1250:層間介電層 1302:氣隙 1310:金屬閘極結構 2002:通道 2010,2020:區域 2400:全繞式電晶體裝置 2402:基底 2404:半導體層 2406:隔離區/結構 2408:閘極結構 2410:底閘極間隔物 2412:氣隙 2414:頂閘極間隔物 2416:源極/汲極結構 2418:層間介電質 2420:內間隔物 A-A,X-X,Y-Y,Z-Z:剖面 B c,B s,T c,T p1,T p2,T p3,T p1’,T p2’,T p3’,T s:厚度 CD p1,CD p2:寬度 X,Y,Z:軸 θ cp1p2p1’,θ p2’,θ s:底角角度
藉由以下的詳述配合所附圖式可更加理解本文揭露的內容。要強調的是,根據產業上的標準作業,各個部件(feature)並未按照比例繪製,且僅用於說明目的。事實上,為了能清楚地討論,可能任意地放大或縮小各個部件的尺寸。 第1圖繪示根據一些實施例的一例示的鰭式場效電晶體(FinFET)裝置的透視圖。 第2圖繪示根據一些實施例的一非平面式電晶體裝置的例示的製作方法的流程圖。 第3圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第4圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第5圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第6圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第7圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第8圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第9圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第10圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第11圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第12圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第13圖繪示根據一些實施例藉由第2圖的方法製作的在各種製造階段期間的一例示的非平面式電晶體裝置(或是上述例示的非平面式電晶體裝置的一部分)的透視圖。 第14A圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第14B圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第15A圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第15B圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第16A圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第16B圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第17A圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第17B圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第18A圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第18B圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第19A圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第19B圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第20A圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第20B圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第20C圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第20D圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第20E圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第21A圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第21B圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第21C圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第21D圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第22A圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第22B圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第22C圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第23A圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第23B圖繪示根據一些實施例藉由第2圖的方法製作的例示的非平面式電晶體裝置的各種實施例的剖面圖。 第24A圖繪示根據一些實施例藉由第2圖的方法的至少一些操作製作的一例示的全繞式閘極裝置的各種實施例的透視圖。 第24B圖繪示根據一些實施例的示於第24A圖的例示的全繞式閘極裝置的一剖面圖。
300:非平面式電晶體裝置
302:基底
402:半導體鰭狀物
502:隔離結構
702:底閘極間隔物
702A:垂直部分
702B:橫向部分
1002:頂閘極間隔物
1202:源極/汲極結構
1250:層間介電層
1302:氣隙
1310:金屬閘極結構
X-X,Y-Y,Z-Z:剖面
X,Y,Z:軸

Claims (1)

  1. 一種半導體裝置,包括: 一通道結構,沿著一第一橫向方向延伸並置於一基底的上方; 一閘極結構,沿著一第二橫向方向延伸,該第二橫向方向正交於該第一橫向方向,其中該閘極結構跨立於該通道結構; 一磊晶結構,耦接於該通道結構,其中該磊晶結構相鄰於該閘極結構而設置; 一第一間隔物與一第二間隔物,各包括一第一部分,該第一部分沿著該第一橫向方向置於該閘極結構與該磊晶結構之間;以及 一氣隙,介於該第一閘極間隔物的該第一部分與該第二閘極間隔物的該第一部分之間, 其中該氣隙暴露出該第一閘極間隔物在該第一橫向方向延伸的一第二部分。
TW111107940A 2021-03-26 2022-03-04 半導體裝置 TW202303963A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163166625P 2021-03-26 2021-03-26
US63/166,625 2021-03-26
US17/493,699 2021-10-04
US17/493,699 US20220310819A1 (en) 2021-03-26 2021-10-04 Semiconductor devices and methods of manufacturing thereof

Publications (1)

Publication Number Publication Date
TW202303963A true TW202303963A (zh) 2023-01-16

Family

ID=82630157

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111107940A TW202303963A (zh) 2021-03-26 2022-03-04 半導體裝置

Country Status (3)

Country Link
US (1) US20220310819A1 (zh)
CN (1) CN114864694A (zh)
TW (1) TW202303963A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220145574A (ko) * 2021-04-22 2022-10-31 삼성전자주식회사 에어 갭을 갖는 반도체 소자

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9252233B2 (en) * 2014-03-12 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap offset spacer in FinFET structure
US9443956B2 (en) * 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
CN109904120B (zh) * 2017-12-11 2021-12-14 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US11011617B2 (en) * 2018-03-23 2021-05-18 International Business Machines Corporation Formation of a partial air-gap spacer
US10854503B2 (en) * 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with air gap and method sealing the air gap
US10840351B2 (en) * 2019-01-03 2020-11-17 International Business Machines Corporation Transistor with airgap spacer and tight gate pitch

Also Published As

Publication number Publication date
CN114864694A (zh) 2022-08-05
US20220310819A1 (en) 2022-09-29

Similar Documents

Publication Publication Date Title
TWI582990B (zh) 鰭式場效電晶體之源極/汲極區域及其形成方法
TWI696289B (zh) 半導體裝置及其形成方法
TWI792366B (zh) 半導體裝置及其形成方法
US20240170336A1 (en) Semiconductor devices and methods of manufacturing thereof
TW202243032A (zh) 半導體結構及其形成方法
US11626326B2 (en) Interconnect structures for semiconductor devices and methods of manufacturing the same
TW202201558A (zh) 製造半導體裝置的方法
US11961899B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230352485A1 (en) Semiconductor devices and methods of manufacturing thereof
TW202303963A (zh) 半導體裝置
US20230067425A1 (en) Semiconductor devices and methods of manufacturing thereof
US20230009347A1 (en) Semiconductor devices and methods of manufacturing thereof
US11842929B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230061497A1 (en) Semiconductor devices and methods of manufacturing thereof
US20230068279A1 (en) Semiconductor devices and methods of manufacturing thereof
US11721693B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230197521A1 (en) Semiconductor devices and methods of manufacturing thereof
US11749681B2 (en) Fin field-effect transistor and method of forming the same
TWI794665B (zh) 半導體裝置及其形成方法
US20230069198A1 (en) Semiconductor devices and methods of manufacturing thereof
US20230063039A1 (en) Semiconductor devices and methods of manufacturing thereof
TWI787817B (zh) 半導體元件的製造方法
US20230369334A1 (en) Fin field-effect transistor and method of forming the same
US20230067859A1 (en) Semiconductor devices and methods of manufacturing thereof
US20230066828A1 (en) Fin field-effect transistor and method of forming the same