TWI696289B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI696289B
TWI696289B TW108108639A TW108108639A TWI696289B TW I696289 B TWI696289 B TW I696289B TW 108108639 A TW108108639 A TW 108108639A TW 108108639 A TW108108639 A TW 108108639A TW I696289 B TWI696289 B TW I696289B
Authority
TW
Taiwan
Prior art keywords
epitaxial
layer
fin
gate
drain region
Prior art date
Application number
TW108108639A
Other languages
English (en)
Other versions
TW202005088A (zh
Inventor
李宜靜
楊宗熺
游明華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202005088A publication Critical patent/TW202005088A/zh
Application granted granted Critical
Publication of TWI696289B publication Critical patent/TWI696289B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一實施例是半導體裝置,包括自基板延伸的第一鰭片、位於第一鰭片之側壁之上且沿著第一鰭片之側壁的第一閘極堆疊、沿著第一閘極堆疊之側壁設置的第一閘極間隔物、以及位於第一鰭片中且鄰近第一閘極間隔物的第一磊晶源極/汲極區。上述第一磊晶源極/汲極區包括位於第一鰭片上的第一磊晶層,第一磊晶層包括矽及碳、位於第一磊晶層上的第二磊晶層,第二磊晶層具有不同於第一磊晶層的材料組成,第一磊晶層將第二磊晶層與第一鰭片分開、以及位於第二磊晶層上的第三磊晶層,第三磊晶層具有不同於第一磊晶層的材料組成。

Description

半導體裝置及其形成方法
本發明實施例是關於半導體製造技術,特別是有關於半導體裝置及其製造方法。
半導體裝置被使用於各種電子元件應用中,例如,舉例來說,個人電腦、行動電話、數位相機及其他電子元件設備。通常藉由依序沉積絕緣或介電層、導電層、及半導體層之材料於半導體基板之上以製造半導體裝置,且使用微影製程來圖案化上述不同材料層以於其上形成電路組件及元件。
半導體產業持續改善不同電子組件(例如,電晶體、二極體、電阻器、電容器等)的積集度(integration density),積集度的改善來自於最小特徵尺寸的不斷縮小,其允許在一給定面積內整合更多的組件。然而,隨著最小特徵尺寸的縮小,出現了應解決的額外問題。
本發明實施例提供一種半導體裝置。此裝置包括自基板延伸的第一鰭片、位於上述第一鰭片之側壁之上且沿著上述第一鰭片之側壁的第一閘極堆疊、沿著上述第一閘極堆疊之側壁設置的第一閘極間隔物、以及位於上述第一鰭片中且鄰 近上述第一閘極間隔物的第一磊晶源極/汲極區。上述第一磊晶源極/汲極區包括位於上述第一鰭片上的第一磊晶層,上述第一磊晶層包括矽及碳、位於上述第一磊晶層上的第二磊晶層,上述第二磊晶層具有不同於上述第一磊晶層的材料組成,上述第一磊晶層將上述第二磊晶層與上述第一鰭片分開、以及位於上述第二磊晶層上的第三磊晶層,上述第三磊晶層具有不同於上述第一磊晶層的材料組成。
本發明實施例提供一種半導體裝置的形成方法。此方法包括在第一鰭片之側壁之上且沿著上述第一鰭片之側壁沉積第一虛置閘極,上述第一鰭片自基板向上延伸、沿著上述第一虛置閘極之側壁形成第一閘極間隔物、在鄰近上述第一閘極間隔物的上述第一鰭片中形成第一凹槽、在上述第一凹槽中形成第一磊晶源極/汲極區,形成上述第一磊晶源極/汲極區的步驟包括在上述第一凹槽中磊晶成長第一膜層,上述第一膜層包括矽及碳、在上述第一膜層上磊晶成長第二膜層,上述第二膜層具有不同於上述第一膜層的材料組成,上述第一膜層將上述第二膜層與上述第一鰭片分開、以及在上述第二膜層上磊晶成長第三膜層,上述第三膜層具有不同於上述第一膜層的材料組成。
本發明實施例提供另一種半導體裝置的形成方法。此方法包括在第一鰭片之側壁之上且沿著上述第一鰭片之側壁形成第一虛置閘極,上述第一鰭片自基板向上延伸、沿著上述第一虛置閘極之側壁形成第一閘極間隔物、在鄰近上述第一閘極間隔物的上述第一鰭片中非等向性蝕刻出第一凹槽、在 上述第一凹槽中磊晶成長第一磊晶源極/汲極區,上述第一磊晶源極/汲極區包括第一磊晶含碳層、第二磊晶層、以及第三磊晶層,上述第一磊晶含碳層內襯上述第一凹槽,上述第二磊晶層位於上述第一磊晶含碳層上,上述第二磊晶層具有不同於上述第一磊晶含碳層的材料組成,且上述第三磊晶層位於上述第二磊晶層上,上述第三磊晶層具有不同於上述第一磊晶含碳層的材料組成、以及使用功能閘極堆疊置換上述第一虛置閘極,上述功能閘極堆疊設置在上述第一鰭片之側壁之上且沿著上述第一鰭片之側壁。
50‧‧‧基板
50B、50C‧‧‧區域
52、58‧‧‧鰭片
54‧‧‧絕緣材料
56‧‧‧隔離區
60‧‧‧虛置介電層
62‧‧‧虛置閘極層
64‧‧‧遮罩層
70‧‧‧虛置閘極介電層
72‧‧‧虛置閘極
74、83、89‧‧‧遮罩
80‧‧‧閘極密封間隔物
82‧‧‧輕摻雜源極/汲極區
84‧‧‧閘極間隔物
85、85A、85B、87、87A、87B、92‧‧‧凹槽
86、88‧‧‧源極/汲極區
86A、88A‧‧‧第一層
86B、88B‧‧‧第二層
86C、88C‧‧‧蓋層
90、110‧‧‧層間介電質
100‧‧‧界面層
102、102a、102b‧‧‧閘極介電層
103、103a、103b‧‧‧功函數層
104‧‧‧閘極電極層
106‧‧‧閘極電極
112‧‧‧源極/汲極接觸件
114‧‧‧閘極接觸件
A-A、B-B、C-C‧‧‧剖面
D1‧‧‧距離
T1、T2、T3、T4、T5‧‧‧厚度
以下將配合所附圖式詳述本揭露之實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。
第1圖係根據一些實施例,繪示出鰭式場效電晶體(Fin Field Effect Transistors,FinFETs)之示例的三維視圖。
第2、3、4、5、6、7、8、9、10、11、12A、12B、12C、13、14、15、16、17、18、19、20、21、及22圖係根據一些實施例,繪示在鰭式場效電晶體的製造中之中間階段的剖面示意圖。
第23及24圖係根據一些實施例,繪示在鰭式場效電晶體的製造中之中間階段的剖面示意圖。
第25、26、27、及28圖係根據一些實施例,繪示在鰭式場效電晶體的製造中之中間階段的剖面示意圖。
以下的揭示內容提供許多不同的實施例或範例,以展示本揭露的不同部件。以下將揭示本說明書各部件及其排列方式之特定範例,用以簡化本揭露敘述。當然,這些特定範例並非用於限定本揭露。例如,若是本說明書以下的發明內容敘述了將形成第一部件於第二部件之上或上方,即表示其包括了所形成之第一及第二部件是直接接觸的實施例,亦包括了尚可將附加的部件形成於上述第一及第二部件之間,則第一及第二部件為未直接接觸的實施例。此外,本揭露說明中的各式範例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述配置之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(些)元件或部件的關係,可使用空間相對用語,例如「在...之下」、「下方」、「下部」、「上方」、「上部」及諸如此類用語。除了圖式所繪示之方位外,空間相對用語亦涵蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如,旋轉90度或者其他方位),則其中所使用的空間相對形容詞亦將依轉向後的方位來解釋。
此處根據不同實施例提供鰭式場效電晶體(Fin Field Effect Transistors,FinFETs)及其形成方法。此處繪示出形成鰭式場效電晶體的中間階段。本揭露描述的一些實施例係在使用閘極後製(gate-last)(有時稱為置換閘極製程)製程形成鰭式場效電晶體的背景下描述。在其他實施例中,可以使用閘 極先製(gate-first)製程。此處描述上述實施例的一些變化。而且,一些實施例考慮了使用在平面式(planar)裝置中的面向,例如平面式場效電晶體。本領域具有通常知識者將容易理解在其他實施例的範圍內可做其他的修改。雖然討論的一些方法實施例以特定順序進行,各式其他方法實施例可以另一合乎邏輯的順序進行,且可包括少於或多於此處討論的步驟。
在具體地描述所述實施例之前,將一般性地點出本發明實施例的一些有利特徵及面向。一般而言,本發明實施例為藉由擴寬虛置閘極去除製程的製程寬裕度,來改善鰭式場效電晶體裝置的可靠度(reliability)的半導體裝置及其形成方法。在傳統鰭式場效電晶體中,在側壁間隔物中的缺陷或斷裂可能會讓在虛置閘極的去除期間所使用的蝕刻劑攻擊並傷害源極/汲極區。在本發明實施例中,上述源極/汲極區包括外層(outer layer),上述外層對於在虛置閘極的去除期間所使用的蝕刻劑具有低蝕刻速率,以在虛置閘極的去除期間保護上述源極/汲極區不受攻擊及傷害。舉例來說,如果在閘極密封間隔物及/或閘極間隔物中存在缺陷或斷裂,則對所使用之蝕刻劑具有低蝕刻速率的外層可以在虛置閘極去除製程期間保護上述源極/汲極區不受攻擊及傷害。如果上述源極/汲極區在虛置閘極去除製程期間受到傷害,則後續置換閘極的形成可能會讓上述置換閘極的金屬擠出穿過間隔物中的缺陷,並進入上述源極/汲極區的受損區。上述擠出的閘極部分可能使閘極短路至源極/汲極區,並使裝置失去功能。此外,可以藉由本發明實施例來控制上述源極/汲極區的磊晶輪廓。所揭露的製程及結 構可以改善上述鰭式場效電晶體裝置的可靠度及良率。
一些實施例考慮了在製造製程期間所製造的n型裝置(例如,n型鰭式場效電晶體)及p型裝置(例如,p型鰭式場效電晶體)。因此,一些實施例考慮了互補型(complementary)裝置的形成。以下圖式可以繪示出一個裝置,但本領域具有通常知識者將容易理解在製程期間可以形成複數裝置,一些裝置具有不同的裝置類型。以下將討論形成互補型裝置的一些面向,但是這類面向可能未必在圖式中示出。
第1圖係根據一些實施例,繪示出鰭式場效電晶體之示例的三維視圖。上述鰭式場效電晶體包括位於基板50上的鰭片58。在上述基板50上形成隔離區56,且鰭片58從相鄰的隔離區56之間突出於其上。閘極介電層102沿著上述鰭片58的側壁及位於鰭片58的頂表面之上,且閘極電極106位於上述閘極介電層102之上。源極/汲極區86設置在相對於上述閘極介電層102及閘極電極106的鰭片58的兩側中。第1圖更繪示出用於後續圖式中的參考剖面。剖面A-A橫跨上述鰭式場效電晶體的通道、閘極介電層102、及閘極電極106。剖面B-B垂直於剖面A-A且沿著上述鰭片58的縱軸,並位於例如上述源極/汲極區86之間的電流方向上。剖面C-C平行於剖面A-A且延伸穿過鰭式場效電晶體的源極/汲極區。為了清楚起見,後續圖式將參考此些參考剖面。
第2-6圖係根據一些實施例,繪示在鰭式場效電晶體的製造中之中間階段的剖面示意圖。除了沒有繪示出複數鰭片/鰭式場效電晶體之外,第2至6圖係沿著第1圖中所示的參考 剖面A-A繪示。
在第2圖中,在基板50中形成鰭片52。上述基板50可為半導體基板,例如塊體(bulk)半導體基板、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基板、或類似基板,其可以是摻雜(例如,使用p-型或n-型摻質(dopant))或未摻雜的。上述基板50可以是晶圓,例如矽晶圓。一般而言,絕緣體上覆半導體基板為形成在絕緣層上的半導體材料的膜層。舉例來說,此絕緣層可以是,埋藏氧化物(buried oxide,BOX)層、氧化矽(silicon oxide)層、或類似層。提供上述絕緣層於基板上,通常是矽或玻璃(glass)基板。亦可使用其他基板,例如多層(multi-layered)或梯度(gradient)基板。在一些實施例中,上述基板50之半導體材料可以包括含矽;鍺(germanium);包括碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、及/或銻化銦(indium antimonide)的化合物(compound)半導體;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP的合金半導體;或上述之組合。
上述基板50具有區域50B及區域50C。上述區域50B可以用於形成n型裝置,例如n型金屬氧化物半導體電晶體(N-type Metal-Oxide-Semiconductor transistor,NMOS transistor),例如n型鰭式場效電晶體。上述區域50C可以用於形成p型裝置,例如P型金屬氧化物半導體電晶體(P-type Metal-Oxide-Semiconductor transistor,PMOS transistor),例如p型鰭式場效電晶體。上述區域50B可以與上述區域50C物理性 分開(如所繪示的,藉由分隔符號表示),且可以在上述區域50B及區域50C之間設置任何數量的裝置部件(例如,其他主動裝置、摻雜區、隔離結構等)。在一些實施例中,上述區域50B及區域50C皆用以形成相同類型的裝置,例如兩個區域皆用於n型裝置或p型裝置。
上述鰭片52為半導體條(strip)。在一些實施例中,可以藉由在上述基板50中蝕刻溝槽,以在基板50中形成上述鰭片52。上述蝕刻可以是任何合適的蝕刻製程,例如反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似製程、或上述之組合。上述蝕刻可以是非等向性的(anisotropic)。
在第3圖中,在上述基板50之上且在相鄰鰭片52之間形成絕緣材料54。上述絕緣材料54可以是氧化物(例如氧化矽)、氮化物(nitride)、類似材料、或上述之組合,且可藉由高密度電漿化學氣相沉積(high density plasma CVD,HDP-CVD)、流動式化學氣相沉積(flowable CVD,FCVD)(例如,於遠端控制(remote)電漿系統中沉積以化學氣相沉積為主的材料,並且後硬化(post curing)此材料,使其轉變為例如氧化物的其他材料)、類似製程、或上述之組合,以形成此絕緣材料54。可使用任何合適的製程所形成的其他絕緣材料。在所繪示的實施例中,上述絕緣材料54為藉由流動式化學氣相沉積製程形成的氧化矽。一旦形成上述絕緣材料,則可以進行退火製程。在一實施例中,形成上述絕緣材料54使得上述鰭片52被過量的絕緣材料54覆蓋。
在第4圖中,對上述絕緣材料54施加平坦化製程。在一些實施例中,上述平坦化製程包括化學機械研磨(chemical mechanical polish,CMP)、回蝕刻(etch back)製程、上述之組合、或類似製程。上述平坦化製程暴露上述鰭片52。在完成上述平坦化製程之後,上述鰭片52的頂表面與絕緣材料54齊平。
在第5圖中,凹蝕上述絕緣材料54以形成淺溝槽隔離(Shallow Trench Isolation,STI)區56(亦稱為隔離區56)。凹蝕上述絕緣材料54,以使在上述區域50B及區域50C中的鰭片58從相鄰淺溝槽隔離區56之間突出。此外,上述淺溝槽隔離區56的頂表面可以具有如圖所繪示的平坦(flat)表面、凸(convex)面、凹(concave)面(例如碟狀(dishing))、或上述之組合。可以藉由適當蝕刻,將淺溝槽隔離區56的頂表面形成為平坦的、凸的、及/或凹的。可以使用合適的蝕刻製程凹蝕淺溝槽隔離區56,例如對上述絕緣材料54的材料具有選擇性的蝕刻製程。舉例來說,可以採用使用CERTAS®蝕刻、應用材料公司(Applied Materials)的SICONI工具或稀釋氫氟酸(dilute hydrofluoric,dHF)的化學氧化物去除(chemical oxide removal)。
本領域具有通常知識者將容易理解關於第2至5圖之製程描述僅為可如何形成鰭片58之一範例。在一些實施例中,可以形成介電層於基板50的頂表面之上;可以蝕刻溝槽穿過上述介電層;可以磊晶成長同質磊晶(homoepitaxial)結構於此些溝槽中;且可以凹蝕此介電層以使同質磊晶結構自介電層突出,以形成鰭片。在一些實施例中,異質磊晶(heteroepitaxial)結構可以用於此些鰭片52。舉例來說,可以凹蝕第4圖中的鰭 片52,且可以在其位置中磊晶成長不同於鰭片52之材料的材料。在更進一步的實施例中,可以於上述基板50的頂表面之上形成介電層;可以蝕刻溝槽穿過介電層;可以使用不同於基板50之材料的材料磊晶成長異質結構於上述溝槽中;並且可以凹蝕上述介電層以使異質結構自介電層突出,以形成鰭片58。在其中同質磊晶或異質磊晶結構為磊晶成長的一些實施例中,此成長材料可以在成長過程中被原位(in situ)摻雜,這可以免除之前及後續的佈植,雖然原位摻雜及佈植摻雜可以被一起使用。此外,在n型金屬氧化物半導體區中磊晶成長的材料使用不同於在p型金屬氧化物半導體區中磊晶成長的材料可能是有優勢的。在不同實施例中,上述鰭片58可以由矽鍺(SixGe1-x,其中x可在約0至1)、碳化矽、純的或大體上純的鍺、三五族化合物半導體、二六族化合物半導體、或類似材料所形成。舉例來說,用於形成三五族化合物半導體的可用材料包括,但不限於,InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、及類似材料。
此外,可以在上述鰭片58、鰭片52、及/或基板50中形成適當摻雜區(未繪示,有時稱為井區(well region))。在一些實施例中,可以在區域50B中形成P型摻雜區,並且可以在區域50C中形成N型摻雜區。在一些實施例中,在上述區域50B及區域50C中僅形成P型或僅形成N型摻雜區。
在一些具有不同類型之摻雜區的實施例中,可以使用光阻或其他遮罩(未繪示)來實現對區域50B及區域50C的不同佈植步驟。舉例來說,可以在上述區域50B中的鰭片58及 淺溝槽隔離56之上形成光阻。圖案化上述光阻以露出上述基板50的區域50C,例如P型金屬氧化物半導體區。可以藉由使用旋轉塗佈(spin-on)技術來形成上述光阻,並且可以使用合適的光微影技術來圖案化上述光阻。一旦圖案化上述光阻,即可在區域50C中進行n型雜質佈植,且上述光阻可以作為遮罩,以在很大的程度上防止n型雜質被佈植進區域50B中,例如N型金屬氧化物半導體區。上述n型雜質可以是佈植在上述區域中的磷(phosphorus)、砷(arsenic)、或類似材料,其濃度相等或少於約1018cm-3,例如約1017cm-3至約1018cm-3。在上述佈植之後,去除上述光阻,例如藉由合適的灰化(ashing)製程。在上述區域50C的佈植之後,在上述區域50C中的鰭片58及淺溝槽隔離區56之上形成光阻。圖案化上述光阻以露出上述基板50的區域50B,例如N型金屬氧化物半導體區。可以藉由使用旋轉塗佈技術來形成上述光阻,並且可以使用合適的光微影技術來圖案化上述光阻。一旦圖案化上述光阻,即可在區域50B中進行p型雜質佈植,且上述光阻可以作為遮罩,以在很大的程度上防止p型雜質被佈植進區域50C中,例如P型金屬氧化物半導體區。上述p型雜質可以是佈植在上述區域中的硼(boron)、BF2、或類似材料,其濃度相等或少於約1018cm-3,例如約1017cm-3至約1018cm-3。在上述佈植之後,去除上述光阻,例如藉由合適的灰化製程。在上述區域50B及區域50C的佈植之後,可以進行退火,以活化上述被佈植的p型及/或n型雜質。在一些實施例中,可以在成長期間原位摻雜上述磊晶鰭片的成長材料,這可以免除上述佈植,雖然原位摻雜及佈植摻雜可以被一起使 用。
在第6圖中,在上述鰭片58之上形成虛置介電層60。上述虛置介電層60可以是,舉例來說,氧化矽、氮化矽、上述之組合、或類似材料,並且可以根據合適的製程來沉積或熱成長上述虛置介電層60。在上述虛置介電層60之上形成虛置閘極層62,並且在上述虛置閘極層62之上形成遮罩層64。可以在上述虛置介電層60之上沉積上述虛置閘極層62,並且接著平坦化此虛置閘極層62,例如藉由化學機械研磨。上述虛置閘極層62可以是導電材料,且可以擇自包括多晶矽(polycrystalline-silicon,polysilicon)、多晶矽鍺(poly-crystalline silicon-germanium,poly-SiGe)、金屬氮化物(metallic nitride)、金屬矽化物(metallic silicide)、金屬氧化物(metallic oxide)、及金屬的群組。在一實施例中,沉積及再結晶(recrystallize)非晶矽(amorphous silicon)以創造多晶矽。可以藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍(sputter)沉積、或現今已知且用於沉積導電材料的其他技術來沉積上述虛置閘極層62。上述虛置閘極層62可以由其他材料所形成,上述材料對隔離區的蝕刻具有高蝕刻選擇性。可以在上述虛置閘極層62之上沉積上述遮罩層64。上述遮罩層64可以包括,舉例來說,例如SiN、SiON、或類似材料。在此範例中,可以橫跨上述區域50B及區域50C形成單一虛置閘極層62及單一遮罩層64。在一些實施例中,可以在上述區域50B及區域50C中形成單獨的虛置閘極層,並且可以在上述區域50B及區域50C中形成單獨的遮罩層。
第7-24圖係根據一些實施例,繪示在鰭式場效電晶體的製造中之中間階段的剖面示意圖。除了沒有繪示出複數鰭片/鰭式場效電晶體之外,第7-12A圖及第13-24圖係沿著第1圖中所示的參考剖面B-B繪示。除了沒有繪示出複數鰭片/鰭式場效電晶體之外,第12B-12C圖係沿著第1圖中所示的參考剖面C-C繪示。
第7-12A圖及第13-24圖繪示出一或多個上述鰭片58的區域50B及區域50C。上述區域50B及區域50C可以位於相同的鰭片58或不同的鰭片58中。可以將位於不同區域50B及50C中的裝置形成為具有不同的臨界電壓(threshold voltage)。
在第7圖中,使用合適的光微影及蝕刻技術來圖案化上述遮罩層64,以形成遮罩74。可接著藉由合適的蝕刻技術將上述遮罩74的圖案轉移至上述虛置閘極層62及虛置介電層60,以分別形成虛置閘極72及虛置閘極介電層70。上述虛置閘極72及虛置閘極介電層70覆蓋上述鰭片58的各別通道區。可以使用上述鰭片74的圖案,以將各個虛置閘極72與鄰近的虛置閘極物理性地分開。上述虛置閘極72還可以具有大致上垂直於相應磊晶鰭片的長度方向的長度方向。
在第8圖中,可以在上述虛置閘極72及/或鰭片58的暴露表面上形成閘極密封間隔物(gate seal spacer)80。可以在熱氧化或沉積之後,藉由非等向性蝕刻形成上述閘極密封間隔物80。在一些實施例中,上述閘極密封間隔物可以由氮化物形成,例如氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、碳化矽(silicon carbide)、碳氮化矽(silicon carbon nitride)、類似材料、或上述之組合。上述閘極密封間隔物80密封後續形成的閘極堆疊的側壁,並且可以作為額外的閘極間隔層。
此外,可以對輕摻雜源極/汲極(lightly doped source/drain,LDD)區82進行佈植。在具有不同裝置類型的實施例中,相似於前述參考第5圖的佈植,可以在上述區域50B之上形成例如光阻的遮罩,同時露出上述區域50C,並且可以將適當類型(例如,n型或p型)的雜質佈植至區域50C中的暴露鰭片58中。接著可以去除上述遮罩。接下來,可以在區域50C之上形成例如光阻的遮罩,同時露出區域50B,並且可以將適當類型的雜質佈植至區域50B中的暴露鰭片58中。接著可以去除上述遮罩。上述n型雜質可以是先前所討論的任何n型雜質,上述p型雜質可以是先前所討論的任何p型雜質。上述輕摻雜源極/汲極區可以具有約1015cm-3至約1016cm-3的雜質濃度。可以使用退火以活化上述被佈植的雜質。
此外,可以在上述閘極密封間隔物80上形成閘極間隔物84,上述閘極密封間隔物80沿著上述虛置閘極72之側壁且位於上述輕摻雜源極/汲極區82之上。可以藉由順應性地沉積一材料且接著非等向性蝕刻上述材料來形成上述閘極間隔物84。上述閘極間隔物84的材料可以是氮化矽、SiCN、上述之組合,或類似材料。上述蝕刻可以對上述閘極間隔物84的材料具有選擇性,以在上述閘極間隔物84的形成期間,使上述輕摻雜源極/汲極區82不被蝕刻。
在第9A、9B、10、11、12A、12B、及12C圖中, 在第一區域50B(亦稱區域50B)中的鰭片58中形成磊晶源極/汲極區86。在上述鰭片58中形成磊晶源極/汲極區86,以使每個虛置閘極72設置在相應的一對相鄰磊晶源極/汲極區86之間。在一些實施例中,上述磊晶源極/汲極區86可以延伸穿過上述輕摻雜源極/汲極區82。在一些實施例中,使用上述閘極密封間隔物80及閘極間隔物84,以將上述磊晶源極/汲極區86及虛置閘極72分開適當的側向距離,使得上述磊晶源極/汲極區86不會使後續形成的所產生的鰭式場效電晶體的閘極短路。
在區域50B(例如,N型金屬氧化物半導體區)中的上述磊晶源極/汲極區86的形成期間,可以藉由遮罩83來遮蓋區域50C(例如,P型金屬氧化物半導體區)。首先參考第9圖,對上述鰭片58進行圖案化製程,以在鰭片58的磊晶源極/汲極區中形成凹槽85。可以藉由在相鄰虛置閘極堆疊72之間(在鰭片58的內部區域中)、或在隔離區56及鄰近虛置閘極堆疊72(亦稱虛置閘極72)之間(在鰭片58的端部區域中)形成凹槽的方式來進行上述圖案化製程。在一些實施例中,上述圖案化製程可以包括合適的非等向性乾蝕刻製程,同時使用上述虛置閘極堆疊72、閘極間隔物84、及/或隔離區56作為組合遮罩。上述合適的非等向性乾蝕刻製程可以包括反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似製程、或上述之組合。在其中於上述第一圖案化製程中使用反應離子蝕刻的一些實施例中,可以選擇製程參數例如,舉例來說,製程氣體混合物、電壓偏壓(voltage bias)、以及射頻(RF)功率,以使上述蝕刻主要為物理性蝕刻(例如,離子轟擊(ion bombardment))而非化學性蝕刻(例如,透過化學反應進行自由基蝕刻)。在一些實施例中,可以提升電壓偏壓,以增加在離子轟擊製程中使用的離子的能量,並從而提高物理性蝕刻的速率。由於物理性蝕刻本質上是非等向性的,且化學性蝕刻本質上是等向性的,因此上述蝕刻製程在垂直方向上的蝕刻速率大於其在側向方向上的速率。在一些實施例中,可以使用包括CH3F、CH4、HBr、O2、Ar、上述之組合、或類似氣體的製程氣體混合物來進行上述非等向性蝕刻。在一些實施例中,上述圖案化製程形成具有U形底表面的凹槽85。上述凹槽85也可以稱為U形凹槽85,第9圖中顯示出上述U形凹槽85的一示例凹槽85。
在第10、11、及12A圖中,區域50B中的磊晶源極/汲極區86磊晶成長於上述凹槽中。在第10圖中,上述磊晶源極/汲極區86的第一膜層86A形成於區域50B中。上述第一膜層86A可以包括SiC、SiCP、類似材料、或上述之組合。可以磊晶成長上述第一膜層86A以內襯(line)上述凹槽85。可以藉由導入矽前驅物、及碳前驅物至凹槽85中的鰭片58,以開始上述磊晶製程,上述矽前驅物例如矽烷(silane,SiH4)、二氯矽烷(dichlorosilane,DCS,SiH4Cl2)、或上述之組合,上述碳前驅物例如甲矽烷(methylsilane,CSiH6)。在一實施例中,以約400sccm至約1200sccm的流速導入上述矽前驅物,例如約850sccm,同時以約40sccm至約100sccm的流速導入上述碳前驅物,例如約70sccm。此外,可以使用約630℃至約710℃的溫度進行上述沉積,例如約700℃,並且可以使用介於約100Torr及約300Torr 之間的壓力進行上述沉積,例如約200Torr。可以形成上述第一膜層86A以具有範圍在約0.2%至約5%的碳原子濃度,例如約3%。高於5%的碳原子濃度會以可能對裝置性能有負面影響的方式來增加上述源極/汲極區的電阻。區域50B中的第一膜層86A(例如,SiC及/或SiCP)對在上述虛置閘極72的去除期間所使用的蝕刻劑具有低蝕刻速率,並且可以在上述虛置閘極去除製程期間幫助保護上述源極/汲極區86免受攻擊及傷害。
在第11圖中,在區域50B中形成上述磊晶源極/汲極區86的第二膜層86B。上述第二膜層86B可以包括SiP、SiCP、類似材料、或上述之組合。可以磊晶成長上述第二膜層86B於上述第一膜層86A上,以填充上述凹槽85的剩餘部分。區域50B中的磊晶源極/汲極區86的第二膜層86B可以具有自鰭片58之相應表面凸起的表面,且可以具有刻面(facet)。上述第二膜層86B可以具有介於約1019cm-3及約1021cm-3之間的磷之雜質濃度。
在第12圖中,在區域50B中形成磊晶源極/汲極區86的蓋層86C。上述蓋層86C可以包括SiP、或類似材料。可以將上述蓋層86C磊晶成長在上述第二膜層86B上,並且可以覆蓋上述第二膜層86B及上述第一膜層86A的任何暴露部分。上述蓋層86C在上述第二膜層86B的刻面之上可以具有大致上均勻的厚度。在一些實施例中,上述蓋層86C具有之磷之雜質濃度低於上述第二膜層86B中的磷之雜質濃度。在一些實施例中,上述蓋層86C具有之磷之雜質濃度高於上述第二膜層86B中之磷之雜質濃度。
如第12圖中所繪示的,上述第一膜層86A在凹槽85的側壁處具有厚度T1,並且在凹槽85的底部處具有厚度T2。在一些實施例中,上述厚度T1範圍在約1nm至約6nm,且上述厚度T2範圍在約4nm至約12nm。在一些實施例中,上述厚度T1/T2的比值範圍在約0.15至約0.4。在側壁處介於1nm及6nm之間的厚度T1是足夠在虛置閘極的去除期間提供對濕蝕刻的防護的厚度,同時也不至於太厚,故不會顯著降低上述第二膜層86B的體積,因為上述第二膜層86B可以作為對裝置的通道區施加壓力的壓力源(stressor)。
作為在區域50B及區域50C中使用磊晶製程以形成磊晶源極/汲極區86的結果,上述磊晶源極/汲極區86的上表面具有向外側向擴展超過鰭片58之側壁的刻面。在一些實施例中,這些刻面導致相同鰭式場效電晶體的鄰近磊晶源極/汲極區86合併,如藉由第12B圖之實施例所繪示的。在其他實施例中,在完成磊晶製程之後,鄰近磊晶源極/汲極區86保持分開,如藉由第12C圖之實施例所繪示的。
在第13、14、15、及16圖中,在第二區域50C(亦稱區域50C)中的鰭片58中形成磊晶源極/汲極區88。在上述鰭片58中形成磊晶源極/汲極區88,以使每個虛置閘極72設置在相應的一對相鄰磊晶源極/汲極區88之間。上述磊晶源極/汲極區88可以延伸穿過上述輕摻雜源極/汲極區82。在一些實施例中,使用上述閘極密封間隔物80及閘極間隔物84以將上述磊晶源極/汲極區88及虛置閘極72分開適當的側向距離,使得上述磊晶源極/汲極區88不會使後續形成的所產生的鰭式場效電晶 體的閘極短路。
在區域50C(例如,P型金屬氧化物半導體區)中的上述磊晶源極/汲極區88的形成期間,可以藉由遮罩89來遮蓋區域50B(例如,N型金屬氧化物半導體區)。首先參考第13圖,對上述鰭片58進行圖案化製程,以在鰭片58的源極/汲極區中形成凹槽87。上述凹槽87的形成可以相似於前述凹槽85的形成,且於此不再贅述。儘管在一些實施例中,上述凹槽85及凹槽87係藉由不同製程形成。上述凹槽87亦可稱為U形凹槽87,第13圖中顯示出上述U形凹槽87的一示例凹槽87。
在第14、15、及16圖中,在區域50C中的磊晶源極/汲極區88磊晶成長於上述凹槽中。在第14圖中,形成上述磊晶源極/汲極區88的第一膜層88A於區域50C中。上述第一膜層88A可以包括SiC、SiGeC、SiGeBC、類似材料、或上述之組合。在一些實施例中,可以磊晶成長上述第一膜層88A以內襯(line)上述凹槽87。可以藉由導入矽前驅物、及碳前驅物至凹槽87中的鰭片58以開始上述磊晶製程,上述矽前驅物例如矽烷(silane,SiH4)、二氯矽烷(dichlorosilane,DCS,SiH4Cl2)、或上述之組合,上述碳前驅物例如甲矽烷(methylsilane,CSiH6)。在一實施例中,以約400sccm至約1200sccm的流速導入上述矽前驅物,例如約850sccm,同時以約40sccm至約100sccm的流速導入上述碳前驅物,例如約70sccm。此外,可以使用約630℃至約710℃的溫度進行上述沉積,例如約700℃,並且可以使用介於約100Torr及約300Torr之間的壓力進行上述沉積,例如約200Torr。可以形成上述第一膜層88A以具有範圍在約0.2%至約 5%的碳原子濃度,例如約3%。高於5%的碳原子濃度會以可能對裝置性能有負面影響的方式來增加上述源極/汲極區的電阻。區域50C中的第一膜層88A(例如,SiC、SiGeC、及/或SiGeBC)對在上述虛置閘極72的去除期間所使用的蝕刻劑具有低蝕刻速率,並且可以在上述虛置閘極去除製程期間幫助保護上述源極/汲極區88免受攻擊及傷害。
在第15圖中,在區域50C中形成上述磊晶源極/汲極區88的第二膜層88B。上述第二膜層88B可以包括SiGe、SiGeB、類似材料、或上述之組合。可以磊晶成長上述第二膜層88B於上述第一膜層88A上,以填充上述凹槽87的剩餘部分。區域50C中的磊晶源極/汲極區88的第二膜層88B可以具有自鰭片58之相應表面凸起的表面,且可以具有刻面。上述第二膜層88B可以具有介於約1019cm-3及約1021cm-3之間的硼及/或鍺之雜質濃度。
在第16圖中,在區域50C中形成磊晶源極/汲極區88的蓋層88C。上述蓋層88C可以包括Si、SiGe、SiGeB、或類似材料。可以將上述蓋層86C磊晶成長在上述第二膜層88B上,並且可以覆蓋上述第二膜層88B及上述第一膜層88A的任何暴露部分。上述蓋層88C在上述第二膜層88B的刻面之上可以具有大致上均勻的厚度。在一些實施例中,上述蓋層88C具有之硼及/或鍺之雜質濃度低於上述第二膜層88B中的硼及/或鍺之雜質濃度。在一些實施例中,上述蓋層88C具有之硼及/或鍺之雜質濃度高於上述第二膜層88B中之硼及/或鍺之雜質濃度。
如第16圖中所繪示的,上述第一膜層88A在凹槽87 的側壁具有厚度T1,並且在凹槽87的底部具有厚度T2。在一些實施例中,上述厚度T1範圍在約1nm至約6nm,且上述厚度T2範圍在約4nm至約12nm。在一些實施例中,上述厚度T1/T2的比值範圍在約0.15至約0.4。在側壁處介於1nm及6nm之間的厚度T1是足夠在虛置閘極的去除期間提供對濕蝕刻的防護的厚度,同時也不至於太厚,故不會顯著降低上述第二膜層88B的體積,因為上述第二膜層88B可以作為對裝置的通道區施加壓力的壓力源。
作為在區域50C中使用磊晶製程以形成磊晶源極/汲極區88的結果,上述磊晶源極/汲極區88的上表面具有向外側向擴展超過鰭片58之側壁的刻面。在一些實施例中,這些刻面導致相同鰭式場效電晶體的鄰近磊晶源極/汲極區88合併,如藉由第12B圖之實施例所繪示的。在其他實施例中,在完成磊晶製程之後,鄰近磊晶源極/汲極區88保持分開,如藉由第12C圖之實施例所繪示的。
在第17圖中,在上述鰭片58之上設置層間介電質90。上述層間介電質90可以由介電材料或半導體材料所形成,且可以藉由任何合適方法沉積,例如化學氣相沉積、電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)、或流動式化學氣相沉積(flowable CVD,FCVD)。介電材料可以包括磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、或類似材料。半導體材料可以包括非晶矽、矽鍺(SixGe1-x,其中 x可約在0至1)、純鍺、或類似材料。可以使用藉由任何合適的製程所形成的其他絕緣或半導體材料。在一些實施例中,在上述層間介電質90及磊晶源極/汲極區86、閘極間隔物84、閘極密封間隔物80、及遮罩74之間設置接觸蝕刻終止層(contact etch stop layer,CESL)(未繪示)。
在第18圖中,可以進行例如化學機械研磨的平坦化製程以使上述層間介電質90的頂表面與虛置閘極72的頂表面齊平。上述平坦化製程也可以去除在虛置閘極72上的遮罩74、以及沿著上述遮罩74的部分閘極密封間隔物80及部分閘極間隔物84。在上述平坦化製程之後,上述虛置閘極72、閘極密封間隔物80、閘極間隔物84、以及層間介電質90的頂表面齊平。因此,上述虛置閘極72的頂表面透過層間介電質90露出。
在第19圖中,在一或多個蝕刻步驟中去除上述虛置閘極72以及直接位於暴露的虛置閘極72底下的部分虛置閘極介電層70,從而形成凹槽92。在一些實施例中,在濕蝕刻製程中去除上述虛置閘極72。舉例來說,濕蝕刻製程可以利用濕蝕刻化學藥劑,例如NH4OH,其使用選擇性蝕刻上述虛置閘極72而不顯著地蝕刻層間介電質90或閘極間隔物84的反應劑(蝕刻劑)。每個凹槽92暴露各個鰭片58的通道區。每個通道區設置在相鄰的一對磊晶源極/汲極區86之間。在去除期間,在蝕刻上述虛置閘極72時,可以使用上述虛置閘極介電層70作為蝕刻終止層。在上述虛置閘極72的去除之後,可以接著去除上述虛置閘極介電層70。
在上述虛置閘極去除製程期間,如果在閘極密封 間隔物80及/或閘極間隔物84中存在缺陷或斷裂,則上述第一膜層86A(其對所使用的蝕刻劑具有低蝕刻速率)將保護第二膜層86B免受攻擊及避免傷害源極/汲極區86。如果源極/汲極區86在虛置閘極去除製程期間受到傷害,則後續置換閘極的形成可能會讓上述置換閘極的金屬擠出穿過上述缺陷,並進入上述源極/汲極區的受損區。上述擠出的閘極部分可能使閘極短路至源極/汲極區,並使裝置失去功能。
藉由使上述源極/汲極區86具有範圍在約0.2%至約5%的碳原子濃度的第一膜層86A及88A,減少了金屬閘極擠出的發生。舉例來說,藉由具有至少約0.2%的碳原子濃度,金屬擠出缺陷率顯著地提高。此外,當碳原子濃度至少為2%時,上述金屬擠出缺陷率有效地接近零,且當碳原子濃度在約2.5%至約5%時,上述金屬擠出缺陷率為零。上述缺陷率的降低,部份是因為降低了包含碳的第一膜層的蝕刻速率。舉例來說,當上述第一膜層中的碳原子濃度提升至約1%至約2.5%時,上述第一膜層的蝕刻速率約降低80%。
在一些實施例中,藉由非等向性乾蝕刻製程去除上述虛置閘極72。舉例來說,上述蝕刻製程可以包括使用一或多種反應氣體的乾蝕刻製程,上述反應氣體選擇性地蝕刻虛置閘極72而不顯著地蝕刻層間介電質90或閘極間隔物84。在一些實施例中,可以利用濕蝕刻製程及乾蝕刻製程兩者來去除上述虛置閘極72。
在第20圖中,在上述凹槽92中形成界面層100。在上述鰭片58之上順應性地形成界面層100,且因此上述界面層 100內襯上述凹槽92的側壁及底表面。上述界面層100也可以覆蓋層間介電質90的上表面。根據一些實施例,上述界面層100為鰭片58之材料的氧化物,且可以藉由例如氧化凹槽92中的鰭片58來形成界面層100。亦可以藉由沉積製程來形成上述界面層100,例如化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、或類似沉積技術。
此外,在上述界面層100之上形成閘極介電層102(包括在區域50B中的閘極介電層102a及在區域50C中的閘極介電層102b)。可以在凹槽92中順應性地沉積上述閘極介電層102,例如在凹槽92中的鰭片58的頂表面及側壁上以及界面層100的側壁上。亦可以沿著上述層間介電質90的頂表面形成上述閘極介電層102。根據一些實施例,上述閘極介電層102為高介電常數介電材料,高介電常數介電材料可具有大於約7.0的介電常數值,且可以包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb、及上述之組合的金屬氧化物或金屬矽酸鹽(metal silicate)。上述閘極介電層102的形成方法可以包括分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積、電漿增強化學氣相沉積、及類似製程。上述層102a及102b(亦可稱為閘極介電層102a及102b)亦可以具有不同的材料組成或可以具有相同的材料組成。
在第20圖中更進一步,形成導電材料以填充上述凹槽92。上述導電材料可以包括一或多個阻障層、功函數層、及/或功函數調整層,以調整後續形成的閘極電極的功函數。 在一實施例中,功函數層103(包括在區域50B中的功函數層103a以及在區域50C中的功函數層103b)設置於上述閘極介電層102之上。上述功函數層103可以是含金屬材料,例如Al、TiC、TiN、上述之組合、或上述之多層膜。可接著在上述功函數層103之上沉積閘極電極層104並且填充凹槽92。上述閘極電極層104可以是含金屬材料,例如W、TiN、TaN、TaC、TiO、Co、Ru、Al、上述之組合、或上述之多層膜。儘管顯示出單一閘極電極層104以及單一功函數層103,但可以在凹槽92中沉積任何數量的閘極電極層104以及任何數量的功函數層103。
在第21圖中,進行例如化學機械研磨的平坦化製程以去除上述界面層100、閘極介電層102、功函數層103、以及閘極電極層104的過量部分,其中過量部分係位於層間介電層90的頂表面之上。上述閘極電極層104以及功函數層103的剩餘部分形成閘極電極106,上述閘極電極106與其他膜層組合形成所產生的鰭式場效電晶體的置換閘極。上述界面層100、閘極介電層102、功函數層103、以及閘極電極層104可以統稱為所產生的鰭式場效電晶體的「閘極」或「閘極堆疊」。上述閘極堆疊可以沿著鰭片58的通道區的側壁延伸。
上述區域50B及區域50C中的閘極的形成可以同時發生,使得這些區域中的閘極由相同的材料所形成。然而,在其他實施例中,可以藉由不同的製程來形成上述區域50B及區域50C中的閘極,使得這些區域中的閘極由不同的材料所形成。當使用不同製程時,可以使用不同遮罩步驟以遮蓋及暴露適當區域。
在第22圖中,在上述閘極堆疊及層間介電質90之上形成層間介電質110。在一實施例中,上述層間介電質110為藉由流動式化學氣相沉積方法所形成的流動式薄膜。在一些實施例中,上述層間介電質110由介電材料形成,例如磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼磷矽酸鹽玻璃(BPSG)、未摻雜的矽酸鹽玻璃(USG)、或類似材料,且可以藉由任何合適方法沉積,例如化學氣相沉積及電漿增強化學氣相沉積。
穿過上述層間介電質90及層間介電質110形成源極/汲極接觸件112及閘極接觸件114。穿過上述層間介電質90及層間介電質110形成用於源極/汲極接觸件112的開口,且穿過上述層間介電質110形成用於閘極接觸件114的開口。可以使用合適的光微影及蝕刻技術來形成上述開口。在上述開口中形成襯層(例如,擴散阻障層、黏著層、或類似膜層)、以及導電材料。上述襯層可以包括鈦(titanium)、氮化鈦(titanium nitride)、鉭(tantalum)、氮化鉭(tantalum nitride)、或類似材料。上述導電材料可以是銅(copper)、銅合金、銀(silver)、金(gold)、鎢(tungsten)、鈷(cobalt)、鋁(aluminum)、鎳(nickel)、或類似材料。可以進行例如化學機械研磨的平坦化製程,以自上述層間介電層110的表面去除過量材料。上述剩餘的襯層及導電材料在上述開口中形成源極/汲極接觸件112及閘極接觸件114。可以進行退火製程以在磊晶源極/汲極區86及88與源極/汲極接觸件112之間的界面處形成矽化物。上述源極/汲極接觸件112物理性及電性耦接至磊晶源極/汲極區86及88,且上述閘極接觸件114物理性及電性耦接至閘極電極106。可以在不同的製程 中、或可以在相同的製程中形成上述源極/汲極接觸件112及閘極接觸件114。儘管上述源極/汲極接觸件112及閘極接觸件114顯示為形成在相同的剖面中,但是應當理解各個源極/汲極接觸件112及閘極接觸件114可以形成在不同的剖面中,這可以避免接觸件的短路。
第23及24圖繪示出在先前在第16圖中示出的第一膜層86A及88A的另一種配置。除了在此實施例中,上述第一膜層86A及88A在凹槽85及87的側壁及底部上具有大致上均勻的厚度T3以外,此實施例相似於先前第1-22圖的實施例。在此將不再重複關於此實施例的與先前描述的實施例相似的細節。
第23圖是如第16圖所示製程的等效中間階段(去除了第16圖的遮罩89)且於此不再贅述。在第23圖中,上述第一膜層86A可以包括SiC、SiCP、類似材料、或上述之組合,且上述第一膜層88A可以包括SiC、SiGeC、SiGeBC、類似材料、或上述之組合。可以磊晶成長上述第一膜層86A及88A以分別內襯上述凹槽85及87。可以藉由導入矽前驅物、及碳前驅物至凹槽85及/或87中的鰭片58以開始上述磊晶製程,上述矽前驅物例如矽烷(silane,SiH4)、二氯矽烷(dichlorosilane,DCS,SiH4Cl2)、或上述之組合,上述碳前驅物例如甲矽烷(methylsilane,CSiH6)。在一實施例中,以約400sccm至約1200sccm的流速導入上述矽前驅物,例如約850sccm,同時以約10sccm至約40sccm的流速導入上述碳前驅物,例如約25sccm。此外,可以使用約630℃至約710℃的溫度進行上述沉積,例如約700℃,並且可以使用介於約100Torr及約300Torr 之間的壓力進行上述沉積,例如約200Torr。上述碳前驅物的流速低於先前實施例的碳前驅物的流速。可以形成上述第一膜層86A及88A以具有範圍在約0.2%至約5%的碳原子濃度,例如約3%。在上述虛置閘極72的去除期間,區域50B中的第一膜層86A(例如,SiC及/或SiCP)以及區域50C中的第一膜層88A(例如,SiC、SiGeC、或SiGeBC)對所使用的蝕刻劑具有低蝕刻速率,並且可以在上述虛置閘極去除製程期間幫助保護上述源極/汲極區86免受攻擊及傷害。
如先前實施例所討論的,可以在不同的時點以及藉由不同的製程來形成上述源極/汲極區86及88。
第24圖繪示出對第23圖的結構的進一步製程。在這兩個圖式之間的製程相似於先前參考第16至22圖所繪示及描述的製程,第22圖是與第24圖等效的中間階段,且於此不再贅述。
第25至28圖繪示出在先前在第1-22圖中示出的源極/汲極區86及88的另一種配置。除了在此實施例中,藉由兩步驟蝕刻製程(包括非等向性蝕刻及等向性蝕刻)來形成上述源極/汲極區86及88以外,此實施例相似於先前第1-22圖的實施例。在此將不再重複關於此實施例的與先前描述的實施例相似的細節。
第25圖是如第9圖所示製程的等效中間階段(除了在第25圖中,凹槽85A及87A兩者被繪示為同時形成)且於此不再贅述。在第25圖中,上述凹槽85A及87A等效於前述凹槽85及87,且藉由第一非等向性蝕刻製程所形成。第26圖繪示出對 第25圖的結構的進一步製程。儘管第25-27圖將源極/汲極區86及88繪示為同時形成,但如先前實施例所討論的,可以在不同的時點以及藉由不同的製程來形成上述源極/汲極區86及88。
在第26圖中,對上述85A及87A進行第二蝕刻製程,以形成凹槽85B及87B。上述第二蝕刻製程可以是等向性濕蝕刻製程。在一些實施例中,可以使用包括Cl2、NF3、上述之組合、或類似氣體的製程氣體混合物來進行上述等向性蝕刻製程。在第二蝕刻製程之後,上述凹槽85B及87B的側壁在閘極間隔物84的外側壁下方側向延伸距離D1。在一些實施例中,上述距離D1範圍在約0.3nm至約5nm。此第二蝕刻製程可以增加上述磊晶源極/汲極區86的體積,這可以提升鰭式場效電晶體的遷移率。
第27圖繪示出對第26圖的結構的進一步製程。在這兩個圖式之間的製程相似於先前參考第8至16圖所繪示及描述的製程,第27圖是與第26圖等效的中間階段,且於此不再贅述。
如第27圖所繪示的,上述第一膜層86A及88A在上述凹槽85B及87B的側壁處具有厚度T4,且在上述凹槽85B及87B的底部處具有厚度T5。在一些實施例中,在一些實施例中,上述厚度T4範圍在約3nm至約12nm,且上述厚度T5範圍在約3nm至約12nm。由於源極/汲極區的較大體積,在此實施例中的厚度T4及T5可以大於先前實施例的厚度T1及T2。在此實施例中,由於來自第二蝕刻製程的較大容積的凹槽85B及87B,用以形成上述第一膜層86A及88A的磊晶製程可以較用於形成先前 實施例之第一膜層86A及88A的磊晶製程長。在一些實施例中,上述厚度T4/T5的比值範圍在約0.3至約1.3。在側壁處介於3nm及12nm之間的厚度T4是足夠在虛置閘極的去除期間提供對濕蝕刻的防護的厚度,同時也不至於太厚,故不會顯著降低上述第二膜層86B及88B的體積,因為上述第二膜層86B及88B可以作為對裝置的通道區施加壓力的壓力源。
可以在上述第一膜層86A及88A上磊晶成長上述第二膜層86B及88B,以填充上述凹槽85B及87B的剩餘部分。區域50B及50C中的磊晶源極/汲極區86及88的第二膜層86B及88B可以具有自鰭片58之相應表面凸起的表面,且可以具有刻面。在此實施例中,由於來自第二蝕刻製程的較大容積的凹槽85B及87B,用以形成上述第二膜層86B及88B的磊晶製程可以較用於形成先前實施例之第一膜層86B及88B的磊晶製程長。
第28圖繪示出對第27圖的結構的進一步製程。在這兩個圖式之間的製程相似於先前參考第16至22圖所繪示及描述的製程,第28圖是與第22圖等效的中間階段,且於此不再贅述。
一些實施例可達到一些優點。本發明實施例藉由擴寬虛置閘極去除製程的製程寬裕度,來改善鰭式場效電晶體裝置的可靠度。在傳統鰭式場效電晶體中,在側壁間隔物中的缺陷或斷裂可能會讓在虛置閘極的去除期間所使用的蝕刻劑攻擊並傷害極/汲極區。在本發明實施例中,上述源極/汲極區包括第一膜層86A,上述第一膜層86A對於在虛置閘極的去除期間所使用的蝕刻劑具有低蝕刻速率,以在虛置閘極的去除期 間保護上述源極/汲極區不受攻擊及傷害。舉例來說,如果在閘極密封間隔物80及/或閘極間隔物84中存在缺陷或斷裂,則對所使用之蝕刻劑具有低蝕刻速率的第一膜層86A可以在虛置閘極去除製程期間保護上述第二膜層86B不受攻擊並避免傷害源極/汲極區86。如果上述源極/汲極區86在虛置閘極去除製程期間受到傷害,則後續置換閘極的形成可能會讓上述置換閘極的金屬擠出穿過間隔物中的缺陷,並進入上述源極/汲極區的受損區。上述擠出的閘極部分可能使閘極短路至源極/汲極區,並使裝置失去功能。
一實施例為一裝置,包括自基板延伸的第一鰭片、位於上述第一鰭片之側壁之上且沿著上述第一鰭片之側壁的第一閘極堆疊、沿著上述第一閘極堆疊之側壁設置的第一閘極間隔物、以及位於上述第一鰭片中且鄰近上述第一閘極間隔物的第一磊晶源極/汲極區。上述第一磊晶源極/汲極區包括位於上述第一鰭片上的第一磊晶層,上述第一磊晶層包括矽及碳、位於上述第一磊晶層上的第二磊晶層,上述第二磊晶層具有不同於上述第一磊晶層的材料組成,上述第一磊晶層將上述第二磊晶層與上述第一鰭片分開、以及位於上述第二磊晶層上的第三磊晶層,上述第三磊晶層具有不同於上述第一磊晶層的材料組成。
實施例可以包括一或多個下列部件。上述第一磊晶層在上述第一磊晶源極/汲極區之側邊及底部具有均勻厚度的裝置。上述第一磊晶源極/汲極區之底部的第一磊晶層較在上述第一磊晶源極/汲極區之側邊的第一磊晶層厚的裝置。上 述第一磊晶層具有範圍在0.2%至5%的碳原子濃度的裝置。上述第二磊晶層具有刻面頂表面,且其中上述第三磊晶層在上述第二磊晶層之刻面頂表面上具有均勻厚度的裝置。上述第三磊晶層接觸上述第一磊晶層及上述第一閘極間隔物的裝置。更包括自上述基板延伸的第二鰭片、位於上述第二鰭片之側壁之上且沿著上述第二鰭片之側壁的第二閘極堆疊、沿著上述第二閘極堆疊之側壁設置的第二閘極間隔物、以及位於上述第二鰭片中且鄰近上述第二閘極間隔物的第二磊晶源極/汲極區,上述第二磊晶源極/汲極區具有不同於上述第一磊晶源極/汲極區的材料組成的裝置。上述第一磊晶源極/汲極區在上述第一閘極間隔物之下延伸的裝置。
在一實施例中,一方法包括在第一鰭片之側壁之上且沿著上述第一鰭片之側壁沉積第一虛置閘極,上述第一鰭片自基板向上延伸、沿著上述第一虛置閘極之側壁形成第一閘極間隔物、在鄰近上述第一閘極間隔物的上述第一鰭片中形成第一凹槽、在上述第一凹槽中形成第一磊晶源極/汲極區,形成上述第一磊晶源極/汲極區的步驟包括在上述第一凹槽中磊晶成長第一膜層,上述第一膜層包括矽及碳、在上述第一膜層上磊晶成長第二膜層,上述第二膜層具有不同於上述第一膜層的材料組成,上述第一膜層將上述第二膜層與上述第一鰭片分開、以及在上述第二膜層上磊晶成長第三膜層,上述第三膜層具有不同於上述第一膜層的材料組成。
實施例可以包括一或多個下列部件。形成上述第一凹槽的步驟包括對上述第一鰭片進行非等向性蝕刻製程,其 中在上述非等向性蝕刻製程之後上述該第一凹槽沒有在上述第一閘極間隔物之下延伸的方法。形成上述第一凹槽的步驟包括對上述第一鰭片進行非等向性蝕刻製程、以及在上述非等向性蝕刻製程之後,對上述第一鰭片進行等向性蝕刻製程,其中在上述等向性蝕刻製程之後,上述第一凹槽在上述第一閘極間隔物之下延伸的方法。更包括使用功能閘極堆疊置換上述第一虛置閘極,上述功能閘極堆疊設置在上述第一鰭片之側壁之上且沿著上述第一鰭片之側壁的方法。更包括在上述第一鰭片之上表面形成輕摻雜汲極區,其中上述第一凹槽延伸穿過上述輕摻雜汲極區,且其中上述第一膜層沿著上述輕摻雜汲極區延伸的方法。該第一膜層在該第一凹槽之側邊及底部具有一均勻厚度的方法。在上述第一凹槽之底部的上述第一膜層較在上述第一凹槽之側邊的上述第一膜層厚的方法。上述第二膜層具有刻面頂表面,上述刻面頂表面在上述第一鰭片之上表面上方凸起,且其中上述第三膜層在上述第二膜層之刻面頂表面上具有一均勻厚度的方法。
在一實施例中,一方法包括在第一鰭片之側壁之上且沿著上述第一鰭片之側壁形成第一虛置閘極,上述第一鰭片自基板向上延伸、沿著上述第一虛置閘極之側壁形成第一閘極間隔物、在鄰近上述第一閘極間隔物的上述第一鰭片中非等向性蝕刻出第一凹槽、在上述第一凹槽中磊晶成長第一磊晶源極/汲極區,上述第一磊晶源極/汲極區包括第一磊晶含碳層、第二磊晶層、以及第三磊晶層,上述第一磊晶含碳層內襯上述第一凹槽,上述第二磊晶層位於上述第一磊晶含碳層上,上述 第二磊晶層具有不同於上述第一磊晶含碳層的材料組成,且上述第三磊晶層位於上述第二磊晶層上,上述第三磊晶層具有不同於上述第一磊晶含碳層的材料組成、以及使用功能閘極堆疊置換上述第一虛置閘極,上述功能閘極堆疊設置在上述第一鰭片之側壁之上且沿著上述第一鰭片之側壁。
實施例可以包括一或多個下列部件。更包括在非等向性蝕刻第一凹槽之後,對上述第一凹槽進行等向性蝕刻製程,其中在上述等向性蝕刻製程之後,上述第一凹槽在上述第一閘極間隔物之下延伸的方法。上述第一源極/汲極區之上述第一磊晶含碳層防止上述功能閘極堆疊的材料延伸至上述第一第一源極/汲極區中的方法。在上述第一凹槽之底部的上述第一磊晶含碳層較在上述第一凹槽之側邊的上述第一磊晶含碳層的方法厚。
以上概略說明了本揭露數個實施例的特徵,使所屬技術領域內具有通常知識者對於本揭露可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構或製程並未脫離本揭露之精神及保護範圍內,且可在不脫離本揭露之精神及範圍內,當可作更動、替代與潤飾。
50B、50C‧‧‧區域
58‧‧‧鰭片
82‧‧‧輕摻雜源極/汲極區
86A、88A‧‧‧第一層
86B、88B‧‧‧第二層
86C、88C‧‧‧蓋層
90、110‧‧‧層間介電質
100‧‧‧界面層
102‧‧‧閘極介電層
103‧‧‧功函數層
106‧‧‧閘極電極
112‧‧‧源極/汲極接觸件
114‧‧‧閘極接觸件

Claims (14)

  1. 一種半導體裝置,包括:一第一鰭片,自一基板延伸;一第一閘極堆疊,位於該第一鰭片之側壁之上且沿著該第一鰭片之側壁;一第一閘極間隔物,沿著該第一閘極堆疊之側壁設置;以及一第一磊晶源極/汲極區,位於該第一鰭片中且鄰近該第一閘極間隔物,該第一磊晶源極/汲極區包括:一第一磊晶層,位於該第一鰭片上,該第一磊晶層包括矽及碳;一第二磊晶層,位於該第一磊晶層上,該第二磊晶層具有不同於該第一磊晶層的材料組成,該第一磊晶層將該第二磊晶層與該第一鰭片分開;以及一第三磊晶層,位於該第二磊晶層上,該第三磊晶層具有不同於該第一磊晶層的材料組成,其中該第二磊晶層具有刻面(faceted)頂表面,且其中該第三磊晶層在該第二磊晶層之刻面頂表面上具有一均勻厚度。
  2. 如申請專利範圍第1項所述之半導體裝置,其中該第一磊晶層在該第一磊晶源極/汲極區之側邊及底部具有一均勻厚度。
  3. 如申請專利範圍第1項所述之半導體裝置,其中在該第一磊晶源極/汲極區之底部的該第一磊晶層較在該第一磊晶源極/汲極區之側邊的該第一磊晶層厚。
  4. 如申請專利範圍第1項所述之半導體裝置,其中該第一磊晶層具有範圍在0.2%至5%的碳原子濃度。
  5. 如申請專利範圍第1-4項中任一項所述之半導體裝置,其中該第三磊晶層接觸該第一磊晶層及該第一閘極間隔物。
  6. 如申請專利範圍第1-4項中任一項所述之半導體裝置,更包括:一第二鰭片,自該基板延伸;一第二閘極堆疊,位於該第二鰭片之側壁之上且沿著該第二鰭片之側壁;一第二閘極間隔物,沿著該第二閘極堆疊之側壁設置;以及一第二磊晶源極/汲極區,位於該第二鰭片中且鄰近該第二閘極間隔物,該第二磊晶源極/汲極區具有不同於該第一磊晶源極/汲極區的材料組成。
  7. 如申請專利範圍第1-4項中任一項所述之半導體裝置,其中該第一磊晶源極/汲極區在該第一閘極間隔物之下延伸。
  8. 一種半導體裝置的形成方法,包括:在一第一鰭片之側壁之上且沿著該第一鰭片之側壁沉積一第一虛置閘極,該第一鰭片自一基板向上延伸;沿著該第一虛置閘極之側壁形成一第一閘極間隔物;在鄰近該第一閘極間隔物的該第一鰭片中形成一第一凹槽;以及在該第一凹槽中形成一第一磊晶源極/汲極區,形成該第一磊晶源極/汲極區的步驟包括: 在該第一凹槽中磊晶成長一第一膜層,該第一膜層包括矽及碳;在該第一膜層上磊晶成長一第二膜層,該第二膜層具有不同於該第一膜層的材料組成,該第一膜層將該第二膜層與該第一鰭片分開;以及在該第二膜層上磊晶成長一第三膜層,該第三膜層具有不同於該第一膜層的材料組成。
  9. 如申請專利範圍第8項所述之半導體裝置的形成方法,其中形成該第一凹槽的步驟包括:對該第一鰭片進行一非等向性蝕刻製程,其中在該非等向性蝕刻製程之後,該第一凹槽沒有在該第一閘極間隔物之下延伸。
  10. 如申請專利範圍第8項所述之半導體裝置的形成方法,其中形成該第一凹槽的步驟包括:對該第一鰭片進行一非等向性蝕刻製程;以及在該非等向性蝕刻製程之後,對該第一鰭片進行一等向性蝕刻製程,其中在該等向性蝕刻製程之後,該第一凹槽在該第一閘極間隔物之下延伸。
  11. 如申請專利範圍第8-10項中任一項所述之半導體裝置的形成方法,更包括:使用一功能閘極堆疊置換該第一虛置閘極,該功能閘極堆疊設置在該第一鰭片之側壁之上且沿著該第一鰭片之側壁。
  12. 如申請專利範圍第8-10項中任一項所述之半導體裝置的形 成方法,更包括:在該第一鰭片之上表面形成一輕摻雜汲極區,其中該第一凹槽延伸穿過該輕摻雜汲極區,且其中該第一膜層沿著該輕摻雜汲極區延伸。
  13. 一種半導體裝置的形成方法,包括:在一第一鰭片之側壁之上且沿著該第一鰭片之側壁形成一第一虛置閘極,該第一鰭片自一基板向上延伸;沿著該第一虛置閘極之側壁形成一第一閘極間隔物;在鄰近該第一閘極間隔物的該第一鰭片中非等向性蝕刻出一第一凹槽;在該第一凹槽中磊晶成長一第一磊晶源極/汲極區,該第一磊晶源極/汲極區包括一第一磊晶含碳層、一第二磊晶層、以及一第三磊晶層,該第一磊晶含碳層內襯該第一凹槽,該第二磊晶層位於該第一磊晶含碳層上,該第二磊晶層具有不同於該第一磊晶含碳層的材料組成,且該第三磊晶層位於該第二磊晶層上,該第三磊晶層具有不同於該第一磊晶含碳層的材料組成;以及使用一功能閘極堆疊置換該第一虛置閘極,該功能閘極堆疊設置在該第一鰭片之側壁之上且沿著該第一鰭片之側壁。
  14. 如申請專利範圍第13項所述之半導體裝置的形成方法,其中該第一源極/汲極區之該第一磊晶含碳層防止該功能閘極堆疊的材料延伸至該第一第一源極/汲極區中。
TW108108639A 2018-05-30 2019-03-14 半導體裝置及其形成方法 TWI696289B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/993,252 2018-05-30
US15/993,252 US10269655B1 (en) 2018-05-30 2018-05-30 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW202005088A TW202005088A (zh) 2020-01-16
TWI696289B true TWI696289B (zh) 2020-06-11

Family

ID=66174873

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108108639A TWI696289B (zh) 2018-05-30 2019-03-14 半導體裝置及其形成方法

Country Status (3)

Country Link
US (4) US10269655B1 (zh)
CN (1) CN110556424B (zh)
TW (1) TWI696289B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10269655B1 (en) * 2018-05-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102020112695A1 (de) * 2019-05-31 2020-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Optimiertes näheprofil für verspanntes source/drain-merkmal und verfahren zu dessen herstellung
DE102020120634A1 (de) * 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11757020B2 (en) 2020-01-31 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11532750B2 (en) * 2020-02-27 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
KR20220080302A (ko) 2020-12-07 2022-06-14 삼성전자주식회사 반도체 장치
US11735483B2 (en) * 2021-02-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial source/drain features using a self-aligned mask and semiconductor devices fabricated thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140252412A1 (en) * 2013-03-06 2014-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and Uniform Doping Technique for FINFETs
US20160343815A1 (en) * 2014-04-21 2016-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-Around Contact on FinFET

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9142643B2 (en) * 2012-11-15 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial feature
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9520498B2 (en) 2014-03-17 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure and method for fabricating the same
US9449975B1 (en) * 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9543419B1 (en) * 2015-09-18 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
KR102374321B1 (ko) * 2015-10-14 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9608069B1 (en) * 2016-04-13 2017-03-28 Intenational Business Machines Corporation Self aligned epitaxial based punch through control
US10038094B2 (en) * 2016-05-31 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure and methods thereof
US20180197734A1 (en) * 2017-01-12 2018-07-12 Globalfoundries Inc. Buffer layer to inhibit wormholes in semiconductor fabrication
US10347764B2 (en) * 2017-06-30 2019-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
KR102543178B1 (ko) * 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US10269655B1 (en) * 2018-05-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140252412A1 (en) * 2013-03-06 2014-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and Uniform Doping Technique for FINFETs
US20160343815A1 (en) * 2014-04-21 2016-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-Around Contact on FinFET

Also Published As

Publication number Publication date
CN110556424B (zh) 2022-11-29
US20200402862A1 (en) 2020-12-24
US10515858B1 (en) 2019-12-24
CN110556424A (zh) 2019-12-10
US11315837B2 (en) 2022-04-26
TW202005088A (zh) 2020-01-16
US10269655B1 (en) 2019-04-23
US20190371677A1 (en) 2019-12-05
US20200020597A1 (en) 2020-01-16
US10770359B2 (en) 2020-09-08

Similar Documents

Publication Publication Date Title
KR102030725B1 (ko) 반도체 디바이스 및 방법
TWI696289B (zh) 半導體裝置及其形成方法
US10879128B2 (en) Semiconductor device and method of forming same
US11908750B2 (en) Semiconductor device and method
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
US10510861B1 (en) Gaseous spacer and methods of forming same
TWI729525B (zh) 半導體裝置及其製造方法
TWI739147B (zh) 半導體裝置及其形成方法
TW201820483A (zh) 鰭式場效應電晶體裝置之形成方法
US11640977B2 (en) Non-conformal oxide liner and manufacturing methods thereof
KR102370299B1 (ko) 더미 핀들 및 그의 형성 방법들
TWI801859B (zh) 半導體裝置及其形成方法
TW202016999A (zh) 半導體裝置及其製造方法
TW202143338A (zh) 半導體裝置的製造方法
TWI807706B (zh) 半導體裝置及其製造方法
TWI742137B (zh) 半導體裝置的製造方法
TW201911376A (zh) 接觸插塞之形成方法
TWI794665B (zh) 半導體裝置及其形成方法
TW202221772A (zh) 填充結構及其製造方法