DE102020120634A1 - Halbleitervorrichtung und verfahren - Google Patents

Halbleitervorrichtung und verfahren Download PDF

Info

Publication number
DE102020120634A1
DE102020120634A1 DE102020120634.8A DE102020120634A DE102020120634A1 DE 102020120634 A1 DE102020120634 A1 DE 102020120634A1 DE 102020120634 A DE102020120634 A DE 102020120634A DE 102020120634 A1 DE102020120634 A1 DE 102020120634A1
Authority
DE
Germany
Prior art keywords
layer
forming
nitrogen
protective layer
fin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020120634.8A
Other languages
English (en)
Inventor
Wan-Yi Kao
Che-Hao Chang
Yung-Cheng Lu
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/941,445 external-priority patent/US11757020B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020120634A1 publication Critical patent/DE102020120634A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Ein Verfahren umfasst: Ausbilden einer Finne, die sich von einem Substrat erstreckt; Ausbilden eines ersten Isolationsgebiets entlang gegenüberliegender Seitenwände der Finne; Ausbilden einer Gatestruktur über der Finne; Ausbilden eines epitaktischen Source-/Draingebiets in der Finne benachbart zur Gatestruktur; Ausbilden einer Ätzstoppschicht über dem epitaktischen Source-/Draingebiet und über der Gatestruktur; Ausbilden einer Schutzschicht über der Ätzstoppschicht, wobei die Schutzschicht Siliziumoxinitrid aufweist; und Ausbilden eines zweiten Isolationsmaterials über der Schutzschicht, wobei das Ausbilden des zweiten Isolationsmaterials eine Stickstoffkonzentration der Schutzschicht reduziert.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 62/968,458 , die am 31. Januar 2020 eingereicht wurde und die hier durch Rückbezug aufgenommen ist.
  • STAND DER TECHNIK
  • Halbleitervorrichtungen werden in einer Vielfalt von elektronischen Anwendungen, wie zum Beispiel Personal Computern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten, verwendet. Halbleitervorrichtungen werden in der Regel hergestellt, indem sequenziell isolierende oder dielektrische Schichten, leitfähige Schichten und Halbleitermaterialschichten über einem Halbleitersubstrat abgeschieden werden, und die verschiedenen Materialschichten unter Verwendung von Lithografie strukturiert werden, um Schaltungskomponenten und - elemente darauf auszubilden.
  • Die Halbleiterindustrie verbessert beständig die Integrationsdichte verschiedener elektronischer Komponenten (z.B. Transistoren, Dioden, Widerstände, Kondensatoren usw.), indem die minimale Merkmalgröße fortlaufend reduziert wird, was ermöglicht, dass mehr Komponenten in eine bestimmte Fläche integriert werden. Mit der Reduzierung der kleinsten Merkmalgrößen treten jedoch zusätzliche Probleme zutage, die angegangen werden müssen.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Figuren gelesen wird. Es ist zu beachten, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein
    • 1 zeigt ein Beispiel eines FinFET in einer dreidimensionalen Ansicht gemäß einigen Ausführungsformen.
    • 2, 3, 4, 5, 6, 7, 8A, 8B, 9A, 9B, 10A, 10B, 10C, 10D, 11A, 11B, 12A, 12B, 13A, 13B, 14A, 14B, 15A, 15B, 15C, 16A, 16B, 17A und 17B sind Querschnittsansichten von Zwischenstufen beim Herstellen von FinFETs gemäß einigen Ausführungsformen.
    • 18 und 19 zeigen experimentelle Daten von der Umwandlung einer Schutzschicht in eine umgewandelte Schicht, gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die nachstehende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Erfindung bereit. Konkrete Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese stellen selbstverständlich lediglich Beispiele dar und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor.
  • Außerdem können hierin Begriffe, die sich auf räumliche Relativität beziehen, wie z.B. „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, zur Erleichterung der Besprechung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal (zu anderen Elementen oder Merkmalen), wie in den Figuren dargestellt, zu beschreiben. Die Begriffe, die räumliche Relativität betreffen, sollen verschiedene Ausrichtungen der verwendeten oder betriebenen Vorrichtung zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann auf eine andere Weise ausgerichtet sein (um 90 Grad gedreht oder anders ausgerichtet) und die hier verwendeten Bezeichnungen, die räumliche Relativität betreffen, können gleichermaßen dementsprechend ausgelegt werden.
  • Ein Verfahren zum Ausbilden einer Schutzschicht über den Source-/Draingebieten einer Transistorvorrichtung (z.B. eines FinFET) wird gemäß verschiedenen Ausführungsformen bereitgestellt. Die Zwischenstufen im Ausbilden der Schutzschicht sind gemäß einigen Ausführungsformen dargestellt. Einige Abwandlungen einiger Ausführungsformen werden besprochen. Die Schutzschicht kann eine dielektrische Schicht, wie z.B. Siliziumoxinitrid, sein, die über einer Ätzstoppschicht ausgebildet wird. Die Schutzschicht kann Schäden an den Source-/Draingebieten während anschließender Verarbeitungsschritte reduzieren oder verhindern. Zum Beispiel kann die Schutzschicht Oxidation der Source-/Draingebiete während des Ausbildens einer dielektrischen Zwischenschicht (ILD) reduzieren oder verhindern. Die Schutzschicht kann es ermöglichen, dass eine dünnere Ätzstoppschicht ohne erhöhtes Risiko von Schäden an den Source-/Draingebieten verwendet wird. Eine dünnere Ätzstoppschicht kann in manchen Fällen die Reproduzierbarkeit und Zuverlässigkeit der Ausbildung von Source-/Drainkontakten verbessern. Ein Temperprozess kann durchgeführt werden, um den Stickstoffgehalt der Schutzschicht zu reduzieren, was ein leichteres Ätzen der Schutzschicht ermöglichen kann. Der Temperprozess kann ein Teil des Prozesses zum Ausbilden der ILD-Schicht sein. Auf diese Weise können die Source-/Draingebiete durch die Schutzschicht geschützt werden, ohne das Ausbilden der Kontakte an den Source-/Draingebieten wesentlich zu beeinflussen. Außerdem ermöglicht die Schutzschicht das Ausbilden einer dünneren Kontaktätzstoppschicht (CESL), was ein besseres Metall-Landing-Fenster (MD-Fenster) auf der dünneren CESL bereitstellen kann.
  • 1 zeigt ein Beispiel eines FinFET in einer dreidimensionalen Ansicht gemäß einigen Ausführungsformen. Der FinFET weist eine Finne 52 auf einem Substrat 50 (z.B. einem Halbleitersubstrat) auf. Isolationsgebiete 56 werden im Substrat 50 angeordnet, und die Finne 52 steht über benachbarten Isolationsgebieten 56 und aus dem Raum zwischen ihnen hervor. Obwohl die Isolationsgebiete 56 derart beschrieben/dargestellt sind, dass sie vom Substrat 50 separat sind, kann, wie hier verwendet, der Begriff „Substrat“ verwendet werden, um ausschließlich auf das Halbleitersubstrat oder ein Halbleitersubstrat samt Isolationsgebieten zu verweisen. Obwohl die Finne 52 als ein einzelnes durchgehendes Material wie das Substrat 50 dargestellt ist, können außerdem die Finne 52 und/oder das Substrat 50 ein einzelnes Material oder mehrere Materialien aufweisen. In diesem Kontext bezieht sich die Finne 52 auf den Abschnitt, der sich zwischen den benachbarten Isolationsgebieten 56 erstreckt.
  • Eine Gatedielektrikumsschicht 92 befindet sich entlang von Seitenwänden und über einer oberen Fläche der Finne 52, und eine Gateelektrode 94 befindet sich über der Gatedielektrikumsschicht 92. Source-/Draingebiete 82 sind auf gegenüberliegenden Seiten der Finne 52 in Bezug auf die Gatedielektrikumsschicht 92 und die Gateelektrode 94 angeordnet. 1 zeigt ferner Referenzquerschnitte, die in späteren Figuren verwendet werden. Der Querschnitt A-A verläuft entlang einer Längsachse der Gateelektrode 94 und zum Beispiel in einer Richtung senkrecht zur Richtung eines Stromflusses zwischen den Source-/Draingebieten 82 des FinFET. Der Querschnitt B-B ist senkrecht zum Querschnitt A-A und verläuft entlang einer Längsachse der Finne 52 und zum Beispiel in einer Richtung eines Stromflusses zwischen den Source-/Draingebieten 82 des FinFET. Der Querschnitt C-C ist zum Querschnitt A-A parallel und erstreckt sich durch ein Source-/Draingebiet des FinFET. Nachfolgende Figuren beziehen sich zur Klarheit auf diese Referenzquerschnitte.
  • Einige hier besprochene Ausführungsformen werden im Kontext von FinFETs diskutiert, die unter Verwendung eines Gate-Zuletzt-Prozesses ausgebildet werden. In anderen Ausführungsformen kann ein Gate-Zuerst-Prozess verwendet werden. Außerdem ziehen einige Ausführungsformen Aspekte in Betracht, die in planaren Vorrichtungen, wie z.B. planaren FETs, verwendet werden.
  • 2 bis 17B sind Querschnittsansichten von Zwischenstufen beim Herstellen von FinFETs gemäß einigen Ausführungsformen. 2 bis 7 zeigen einen in 1 dargestellten Referenzquerschnitt A-A, mit der Ausnahme von mehrfachen Finnen/FinFETs. 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A, 16A und 17A sind entlang des in 1 dargestellten Referenzquerschnitts A-A gezeigt, und 8B, 9B, 10B, 11B, 12B, 13B, 14B, 15B, 15C, 16B und 17B sind entlang eines in 1 dargestellten ähnlichen Querschnitts B-B dargestellt, mit der Ausnahme von mehreren Finnen/FinFETs. 10C und 10D sind entlang eines in 1 dargestellten Referenzquerschnitts C-C dargestellt, mit der Ausnahme von mehrfachen Finnen/FinFETs.
  • In 2 wird ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat, wie z.B. ein Bulk-Halbleiter, ein SOI-Substrat (Halbleiter auf einem Isolator) oder dergleichen sein, das dotiert (z.B. mit einem p- oder einem n-Dotierstoff) oder undotiert sein kann. Das Substrat 50 kann ein Wafer, wie z.B. ein Silizium-Wafer, sein. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolationsschicht ausgebildet wird. Die Isolationsschicht kann zum Beispiel eine vergrabene Oxid-Schicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolationsschicht wird auf einem Substrat, typischerweise einem Silizium- oder Glassubstrat, bereitgestellt. Andere Substrate, wie z.B. ein mehrschichtiges oder ein Gradientensubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 aufweisen: Silizium; Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid aufweist; einen Legierungshalbleiter, der Siliziumgermanium, Gallium-Arsenidphosphid, Aluminium-Indium-Arsenid, Aluminium-Gallium-Arsenid, Gallium-Indium-Arsenid, Gallium-Indium-Phosphid, und/oder Gallium-Indium-Arsenid-Phosphid aufweist, oder Kombinationen davon.
  • Das Substrat 50 weist ein Gebiet 50N und ein Gebiet 50P auf. Das Gebiet 50N kann zum Ausbilden von n-Vorrichtungen, wie z.B. NMOS-Transistoren, z.B. n-FinFETs, vorgesehen sein. Das Gebiet 50P kann zum Ausbilden von p-Vorrichtungen, wie z.B. von PMOS-Transistoren, z.B. p-FinFETs, vorgesehen sein. Das Gebiet 50N kann vom Gebiet 50P physisch getrennt sein (wie durch eine Trennung 51 dargestellt), und eine beliebige Anzahl von Vorrichtungsmerkmalen (z.B. andere aktive Vorrichtungen, dotierte Gebiete, Isolationsstrukturen usw.) kann zwischen dem Gebiet 50N und dem Gebiet 50P angeordnet werden.
  • In 3 werden Finnen 52 im Substrat 50 ausgebildet. Die Finnen 52 sind Halbleiterstege. In einigen Ausführungsformen können die Finnen 52 im Substrat 50 durch Ätzen von Gräben im Substrat 50 ausgebildet werden. Das Ätzen kann ein beliebiger geeigneter Ätzprozess sein, wie z.B. ein reaktives Ionenätzen (RIE), Neutralstrahlätzen (Neutral Beam Etch, NBE), dergleichen oder eine Kombination davon. Das Ätzen kann anisotrop sein.
  • Die Finnen können mithilfe eines beliebigen geeigneten Verfahrens strukturiert werden. Zum Beispiel können die Finnen unter Verwendung eines oder mehrerer fotolithografischer Prozesse, die Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse umfassen, strukturiert werden. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierende Prozesse, wodurch ermöglicht wird, dass Strukturen erzeugt werden, die zum Beispiel kleinere Pitches aufweisen als dies ansonsten unter Verwendung eines einzelnen direkten fotolithografischen Prozesses erzielbar ist. Zum Beispiel wird in einer Ausführungsform eine Opferschicht über einem Substrat ausgebildet und unter Verwendung eines fotolithografischen Prozesses strukturiert. Spacer werden entlang der strukturierten Opferschicht unter Verwendung eines Selbstjustierungsprozesses ausgebildet. Die Opferschicht wird dann entfernt und die verbleibenden Spacer können dann zum Strukturieren der Finnen verwendet werden. In einigen Ausführungsformen kann die Maske (oder eine andere Schicht) auf den Finnen 52 verbleiben.
  • In 4 wird ein Isolationsmaterial 54 über dem Substrat 50 und zwischen benachbarten Finnen 52 ausgebildet. Das Isolationsmaterial 54 kann ein Oxid, wie z.B. Siliziumoxid, ein Nitrid, dergleichen oder eine Kombination davon sein, und kann mithilfe einer chemischen Gasphasenabscheidung unter Verwendung von hochdichtem Plasma (HDP-CVD), einer fließfähigen CVD (Flowable CVD, FCVD) (z.B. einer CVD-basierten Materialabscheidung in einem Fernplasmasystem und einem anschließenden Härten, um es in ein anderes Material, wie z.B. ein Oxid, umzuwandeln), dergleichen oder einer Kombination davon ausgebildet werden. Andere Isolationsmaterialien, die mithilfe eines beliebigen geeigneten Prozesses ausgebildet werden, können verwendet werden. In der dargestellten Ausführungsform ist das Isolationsmaterial 54 Siliziumoxid, das mithilfe eines FCVD-Prozesses ausgebildet wird. Ein Temperprozess kann durchgeführt werden, nachdem das Isolationsmaterial ausgebildet wurde. In einer Ausführungsform wird das Isolationsmaterial 54 derart ausgebildet, dass überschüssiges Isolationsmaterial 54 die Finnen 52 abdeckt. Obwohl das Isolationsmaterial 54 als eine Einzelschicht dargestellt ist, können einige Ausführungsformen mehrere Schichten verwenden. Zum Beispiel kann in einigen Ausführungsformen ein Liner (nicht dargestellt) zuerst entlang einer Fläche des Substrats 50 und der Finnen 52 ausgebildet werden. Danach kann ein Füllmaterial, wie z.B. jene, die vorstehend besprochen wurden, über dem Liner ausgebildet werden.
  • In 5 wird ein Entfernungsprozess auf das Isolationsmaterial 54 angewendet, um überschüssiges Isolationsmaterial 54 über den Finnen 52 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie z.B. ein chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Der Planarisierungsprozess legt die Finnen 52 frei, so dass sich obere Flächen der Finnen 52 und das Isolationsmaterial 54 auf gleicher Höhe befinden, nachdem der Planarisierungsprozess abgeschlossen wurde. In Ausführungsformen, in denen eine Maske auf den Finnen 52 verbleibt, kann der Planarisierungsprozess die Maske freilegen oder die Maske entfernen, so dass sich jeweils obere Flächen der Maske bzw. der Finnen 52 und des Isolationsmaterials 54 auf gleicher Höhe befinden, nachdem der Planarisierungsprozess abgeschlossen wurde.
  • In 6 wird das Isolationsmaterial 54 ausgespart, um STI-Gebiete (Shallow Trench Isolation) 56 auszubilden. Das Isolationsmaterial 54 wird derart ausgespart, dass obere Abschnitte der Finnen 52 im Gebiet 50N und im Gebiet 50P aus dem Raum zwischen benachbarten STI-Gebieten 56 hervorstehen. Außerdem können die oberen Flächen der STI-Gebiete 56 eine flache Fläche, wie dargestellt, eine konvexe Fläche, eine konkave Fläche (wie z.B. eine Wölbung) oder eine Kombination davon aufweisen. Die oberen Flächen der STI-Gebiete 56 können mithilfe eines geeigneten Ätzens flach, konvex und/oder konkav ausgebildet werden. Die STI-Gebiete 56 können unter Verwendung eines geeigneten Ätzprozesses, wie z.B. eines, der gegenüber dem Material des Isolationsmaterials 54 selektiv ist (der z.B. das Material des Isolationsmaterials 54 mit einer schnelleren Rate ätzt als das Material der Finnen 52), ausgespart werden. Zum Beispiel kann ein Oxidentfernen, das zum Beispiel verdünnte Flusssäure (dHF) benutzt, verwendet werden.
  • Der in Bezug auf 2 bis 6 beschriebene Prozess ist lediglich ein Beispiel dessen, wie die Finnen 52 ausgebildet werden können. In einigen Ausführungsformen können die Finnen mithilfe eines epitaktischen Aufwachsprozesses ausgebildet werden. Zum Beispiel kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 ausgebildet werden, und Gräben können durch die dielektrische Schicht geätzt werden, um das darunterliegende Substrat 50 freizulegen. Homoepitaktische Strukturen können in den Gräben epitaktisch aufgewachsen werden, und die dielektrische Schicht kann derart ausgespart werden, dass die homoepitaktischen Strukturen von der dielektrischen Schicht hervorstehen, um Finnen auszubilden. Außerdem können in einigen Ausführungsformen Heteroepitaxiestrukturen für die Finnen 52 verwendet werden. Zum Beispiel können die Finnen 52 in 5 ausgespart werden, und ein von den Finnen 52 verschiedenes Material kann epitaktisch über den ausgesparten Finnen 52 aufgewachsen werden. In solchen Ausführungsformen weisen die Finnen 52 das ausgesparte Material sowie das epitaktisch aufgewachsene Material, das über dem ausgesparten Material angeordnet ist, auf. In einer noch weiteren Ausführungsform kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 ausgebildet werden, und Gräben können durch die dielektrische Schicht geätzt werden. Heteroepitaktische Strukturen können dann in den Gräben unter Verwendung eines vom Substrat 50 verschiedenen Materials epitaktisch aufgewachsen werden, und die dielektrische Schicht kann derart ausgespart werden, dass die heteroepitaktischen Strukturen von der dielektrischen Schicht hervorstehen, um die Finnen 52 auszubilden. In einigen Ausführungsformen, in denen Homoepitaxie- oder Heteroepitaxiestrukturen epitaktisch aufgewachsen werden, können die epitaktisch aufgewachsenen Materialien während des Aufwachsens in-situ dotiert werden, was vorherige und anschließende Implantationen vermeiden kann, obwohl eine In-situ- und Implantationsdotierung zusammen verwendet werden können.
  • Noch weiter kann es vorteilhaft sein, ein Material im Gebiet 50N (z.B. einem NMOS-Gebiet) epitaktisch aufzuwachsen, das vom Material im Gebiet 50P (z.B. einem PMOS-Gebiet) verschieden ist. In verschiedenen Ausführungsformen können obere Abschnitte der Finnen 52 aus Siliziumgermanium (SixGe1-x, wobei x im Bereich von 0 bis 1 liegen kann), Siliziumkarbid, reinem oder im Wesentlichen reinem Germanium, einem III-V-Verbindungshalbleiter, einem II-VI Verbindungshalbleiter oder dergleichen ausgebildet werden. Zum Beispiel weisen die verfügbaren Materialien zum Ausbilden eines III-V-Verbindungshalbleiters auf: Indiumarsenid, Aluminiumarsenid, Galliumarsenid, Indiumphosphid, Galliumnitrid, Indium-Galliumarsenid, Indium-Aluminiumarsenid, Galliumantimonid, Aluminiumantimonid, Aluminiumphosphid, Galliumphosphid und dergleichen, sind aber nicht darauf beschränkt.
  • Außerdem können in 6 geeignete Wannen (nicht dargestellt) in den Finnen 52 und/oder dem Substrat 50 ausgebildet werden. In einigen Ausführungsformen kann eine P-Wanne im Gebiet 50N ausgebildet werden, und eine N-Wanne kann im Gebiet 50P ausgebildet werden. In einigen Ausführungsformen wird eine P-Wanne oder eine N-Wanne sowohl im Gebiet 50N als auch im Gebiet 50P ausgebildet.
  • In den Ausführungsformen mit verschiedenen Wannentypen, können die verschiedenen Implantationsschritte für das Gebiet 50N und das Gebiet 50P unter Verwendung eines Fotolacks oder anderer Masken (nicht dargestellt) erzielt werden. Zum Beispiel kann ein Fotolack über den Finnen 52 und den STI-Gebieten 56 im Gebiet 50N ausgebildet werden. Der Fotolack wird strukturiert, um das Gebiet 50P des Substrats 50, wie z.B. ein PMOS-Gebiet, freizulegen. Der Fotolack kann durch Verwenden einer Rotationsbeschichtungstechnik ausgebildet werden und kann unter Verwendung geeigneter fotolithografischer Techniken strukturiert werden. Nachdem der Fotolack strukturiert wurde, wird eine n-Verunreinigungsimplantation im Gebiet 50P durchgeführt, und der Fotolack kann als eine Maske wirken, um im Wesentlichen zu verhindern, dass n-Verunreinigungen in das Gebiet 50N, wie z.B. ein NMOS-Gebiet, implantiert werden. Die n-Verunreinigungen können Phosphor, Arsen, Antimon oder dergleichen sein, die in das Gebiet bis zu einer Konzentration, die kleiner gleich 1018 cm-3 ist, wie z.B. zwischen ungefähr 1016 cm-3 und ungefähr 1018 cm-3 liegt, implantiert werden. Nach der Implantation wird der Fotolack, z.B. mithilfe eines geeigneten Veraschungsprozesses, entfernt.
  • Nach der Implantation im Gebiet 50P wird ein Fotolack über den Finnen 52 und den STI-Gebieten 56 im Gebiet 50P ausgebildet. Der Fotolack wird strukturiert, um das Gebiet 50N des Substrats 50, wie z.B. das NMOS-Gebiet, freizulegen. Der Fotolack kann durch Verwenden einer Rotationsbeschichtungstechnik ausgebildet werden und kann unter Verwendung geeigneter fotolithografischer Techniken strukturiert werden. Nachdem der Fotolack strukturiert wurde, kann eine p-Verunreinigungsimplantation im Gebiet 50N durchgeführt werden, und der Fotolack kann als eine Maske wirken, um im Wesentlichen zu verhindern, dass p-Verunreinigungen in das Gebiet 50P, wie z.B. das PMOS-Gebiet, implantiert werden. Die p-Verunreinigungen können Bor, Borfluorid, Indium oder dergleichen sein, die in das Gebiet bis zu einer Konzentration, die kleiner gleich 1018 cm-3 ist, wie z.B. zwischen ungefähr 1016 cm-3 und ungefähr 1018 cm-3 liegt, implantiert werden. Nach der Implantation kann der Fotolack, z.B. mithilfe eines geeigneten Veraschungsprozesses, entfernt werden.
  • Nach den Implantationen des Gebiets 50N und des Gebiets 50P kann ein Tempern durchgeführt werden, um Implantationsschäden zu beheben und die p- und/oder n-Verunreinigungen, die implantiert wurden, zu aktivieren. In einigen Ausführungsformen können die aufgewachsenen Materialien von epitaktischen Finnen während des Aufwachsens in-situ dotiert werden, was die Implantationen vermeiden kann, obwohl eine In-situ- und Implantationsdotierung zusammen verwendet werden können.
  • In 7 wird eine dielektrische Dummy-Schicht 60 auf den Finnen 52 ausgebildet. Die Dummy-Dielektrikumsschicht 60 kann zum Beispiel Siliziumoxid, Siliziumnitrid, eine Kombination davon oder dergleichen sein und kann gemäß geeigneten Techniken abgeschieden oder thermisch aufgewachsen werden. Eine Dummy-Gateschicht 62 wird über der Dummy-Dielektrikumsschicht 60 ausgebildet und eine Maskenschicht 64 wird über der Dummy-Gateschicht 62 ausgebildet. Die Dummy-Gateschicht 62 kann über der Dummy-Dielektrikumsschicht 60 abgeschieden und dann z.B. mithilfe eines CMP, planarisiert werden. Die Maskenschicht 64 kann über der Dummy-Gateschicht 62 abgeschieden werden. Die Dummy-Gateschicht 62 kann ein leitfähiges oder nicht leitfähiges Material sein und kann aus einer Gruppe ausgewählt werden, die amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Siliziumgermanium (Poly-SiGe) metallische Nitride, metallische Silizide, metallische Oxide und Metalle aufweist. Die Dummy-Gateschicht 62 kann mithilfe einer physikalischen Gasphasenabscheidung (PVD), einer CVD, einer Sputter-Abscheidung oder anderer im Stand der Technik bekannter und zum Abscheiden des ausgewählten Materials verwendeter Techniken abgeschieden werden. Die Dummy-Gateschicht 62 kann aus anderen Materialien hergestellt werden, die eine hohe Ätzselektivität vom Ätzen von Isolationsgebieten aufweisen. Die Maskenschicht 64 kann zum Beispiel Siliziumnitrid, Siliziumoxinitrid oder dergleichen aufweisen. In diesem Beispiel werden eine einzelne Dummy-Gateschicht 62 und eine einzelne Maskenschicht 64 über dem Gebiet 50N und dem Gebiet 50P ausgebildet. Es ist zu beachten, dass die Dummy-Dielektrikumsschicht 60 nur zu Veranschaulichungszwecken derart gezeigt ist, dass sie lediglich die Finnen 52 abdeckt. In einigen Ausführungsformen kann die Dummy-Dielektrikumsschicht 60 derart abgeschieden werden, dass die Dummy-Dielektrikumsschicht 60 die STI-Gebiete 56 abdeckt, indem sie sich zwischen der Dummy-Gateschicht 62 und den STI-Gebieten 56 erstreckt.
  • 8A bis 17B zeigen verschiedene zusätzliche Schritte beim Herstellen von Vorrichtungen der Ausführungsformen. 8A bis 17B zeigen Merkmale entweder im Gebiet 50N oder dem Gebiet 50P. Zum Beispiel können die in 8A bis 17B dargestellten Strukturen sowohl auf das Gebiet 50N als auch das Gebiet 50P anwendbar sein. Unterschiede (falls vorhanden) in den Strukturen des Gebiets 50N und des Gebiets 50P werden im Text beschrieben, der jede Figur begleitet.
  • In 8A und 8B kann die Maskenschicht 64 (siehe 7) unter Verwendung geeigneter fotolithografischer und Ätztechniken strukturiert werden, um Masken 74 auszubilden. Die Struktur der Masken 74 kann dann auf die Dummy-Gateschicht 62 übertragen werden. In einigen Ausführungsformen (nicht dargestellt) kann die Struktur der Masken 74 auch auf die Dummy-Dielektrikumsschicht 60 mithilfe einer geeigneten Ätztechnik übertragen werden, um Dummy-Gates 72 auszubilden. Die Dummy-Gates 72 decken jeweilige Kanalgebiete 58 der Finnen 52 ab. Die Struktur der Masken 74 kann verwendet werden, um jedes der Dummy-Gates 72 von benachbarten Dummy-Gates physisch zu trennen. Die Dummy-Gates 72 können auch eine Längsrichtung aufweisen, die zur Längsrichtung jeweiliger epitaktischen Finnen 52 im Wesentlichen senkrecht ist.
  • Ferner können in 8A und 8B Gateversiegelungsspacer 80 auf freigelegten Flächen der Dummy-Gates 72, der Masken 74 und/oder der Finnen 52 ausgebildet werden. Eine thermische Oxidation oder eine Abscheidung, auf die ein anisotropes Ätzen folgt, kann die Gateversiegelungsspacer 80 ausbilden. Die Gateversiegelungsspacer 80 können aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder dergleichen ausgebildet werden.
  • Nach dem Ausbilden der Gateversiegelungsspacer 80 können Implantationen für schwach dotierte Source-/Draingebiete (LDD) (nicht explizite dargestellt) durchgeführt werden. In den Ausführungsformen mit verschiedenen Vorrichtungstypen kann ähnlich den vorstehend in 6 besprochenen Implantationen eine Maske, wie z.B. ein Fotolack, über dem Gebiet 50N ausgebildet werden, während das Gebiet 50P freigelegt ist, und Verunreinigungen geeigneten Typs (z.B. p-Typs) können in die freigelegten Finnen 52 im Gebiet 50P implantiert werden. Die Maske kann dann entfernt werden. Anschließend kann eine Maske, wie z.B. ein Fotolack, über dem Gebiet 50P ausgebildet werden, während das Gebiet 50N freigelegt wird, und Verunreinigungen geeigneten Typs (z.B. n-Typs) können in die freigelegten Finnen 52 im Gebiet 50N implantiert werden. Die Maske kann dann entfernt werden. Die n-Verunreinigungen können beliebige von den vorstehend besprochenen n-Verunreinigungen sein, und die p-Verunreinigungen können beliebige von den vorstehend besprochenen p-Verunreinigungen sein. Die schwach dotierten Source-/Draingebiete können eine Konzentration von Verunreinigungen von ungefähr 1015 cm-3 bis ungefähr 1019 cm-3 aufweisen. Ein Tempern kann verwendet werden, um Implantationsschäden zu reparieren, und um die implantierten Verunreinigungen zu aktivieren.
  • In 9A und 9B werden Gatespacer 86 auf den Gateversiegelungsspacern 80 entlang von Seitenwänden der Dummy-Gates 72 und der Masken 74 ausgebildet. Die Gatespacer 86 können durch konformes Abscheiden eines Isolationsmaterials und anschließendes anisotropes Ätzen des Isolationsmaterials ausgebildet werden. Das Isolationsmaterial der Gatespacer 86 kann Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Siliziumkohlenstoffnitrid, eine Kombination davon oder dergleichen sein.
  • Es ist zu beachten, dass die vorstehende Offenbarung im Allgemeinen einen Prozess zum Ausbilden von Spacern und LDD-Gebieten beschreibt. Andere Prozesse und Sequenzen können verwendet werden. Zum Beispiel können weniger oder zusätzliche Spacer verwendet werden, eine andere Sequenz von Schritten kann verwendet werden (z.B. werden die Gateversiegelungsspacer 80 möglicherweise vor dem Ausbilden der Gatespacer 86 nicht geätzt, wodurch „L-förmige“ Gateversiegelungsspacer entstehen, Spacer können ausgebildet und entfernt werden, und/oder dergleichen). Außerdem können n- und p-Vorrichtungen unter Verwendung verschiedener Strukturen und Schritte ausgebildet werden. Zum Beispiel können LDD-Gebiete für n-Vorrichtungen vor dem Ausbilden der Gateversiegelungsspacer 80 ausgebildet werden, während die LDD-Gebiete für p-Vorrichtungen nach dem Ausbilden der Gateversiegelungsspacer 80 ausgebildet werden können.
  • In 10A und 10B werden epitaktische Source-/Draingebiete 82 in den Finnen 52 ausgebildet, um in den jeweiligen Kanalgebieten 58 Verspannungen auszuüben, wodurch eine Leistungsfähigkeit verbessert wird. Die epitaktischen Source-/Draingebiete 82 werden in den Finnen 52 derart ausgebildet, dass jedes Dummy-Gate 72 zwischen jeweiligen benachbarten Paaren der epitaktischen Source-/Draingebiete 82 angeordnet wird. In einigen Ausführungsformen können sich die epitaktischen Source-/Draingebiete 82 in die Finnen 52 erstrecken, und können auch durch diese dringen. In einigen Ausführungsformen werden die Gatespacer 86 verwendet, um die epitaktischen Source-/Draingebiete 82 von den Dummy-Gates 72 durch eine geeignete seitliche Distanz zu trennen, so dass die epitaktischen Source-/Draingebiete 82 anschließend ausgebildete Gates der resultierenden FinFETs nicht kurzschließen.
  • Die epitaktischen Source-/Draingebiete 82 im Gebiet 50N, z.B. dem NMOS-Gebiet, können durch Maskieren des Gebiets 50P, z.B. des PMOS-Gebiets, und Ätzen von Source-/Draingebieten der Finnen 52 im Gebiet 50N, um Aussparungen in den Finnen 52 auszubilden, ausgebildet werden. Dann werden die epitaktischen Source-/Draingebiete 82 im Gebiet 50N in den Aussparungen epitaktisch aufgewachsen. Die epitaktischen Source-/Draingebiete 82 können ein beliebiges geeignetes Material, das z.B. für n-FinFETs geeignet ist, aufweisen. Wenn zum Beispiel die Finne 52 Silizium ist, können die epitaktischen Source-/Draingebiete 82 im Gebiet 50N Materialien aufweisen, die eine Zugspannung im Kanalgebiet 58 ausüben, wie z.B. Silizium, Siliziumkarbid, mit Phosphor dotiertes Siliziumkarbid, Siliziumphosphid oder dergleichen. Die epitaktischen Source-/Draingebiete 82 im Gebiet 50N können Flächen aufweisen, die von jeweiligen Flächen der Finnen 52 angehoben sind, und können Rautenflächen aufweisen.
  • Die epitaktischen Source-/Draingebiete 82 im Gebiet 50P, z.B. dem PMOS-Gebiet, können durch Maskieren des Gebiets 50N, z.B. des NMOS-Gebiets, und Ätzen von Source-/Draingebieten der Finnen 52 im Gebiet 50P, um Aussparungen in den Finnen 52 auszubilden, ausgebildet werden. Dann werden die epitaktischen Source-/Draingebiete 82 im Gebiet 50P in den Aussparungen epitaktisch aufgewachsen. Die epitaktischen Source-/Draingebiete 82 können ein beliebiges geeignetes Material, das z.B. für p-FinFETs geeignet ist, aufweisen. Wenn zum Beispiel die Finne 52 Silizium ist, können die epitaktischen Source-/Draingebiete 82 im Gebiet 50P Materialien aufweisen, die eine Druckspannung im Kanalgebiet 58 ausüben, wie z.B. Siliziumgermanium, mit Bor dotiertes Siliziumgermanium, Germanium, Germanium-Zinn oder dergleichen. Die epitaktischen Source-/Draingebiete 82 im Gebiet 50P können außerdem Flächen aufweisen, die von jeweiligen Flächen der Finnen 52 angehoben sind, und können Rautenflächen aufweisen.
  • In die epitaktischen Source-/Draingebiete 82 und/oder die Finnen 52 können Dotierstoffe implantiert werden, um Source-/Draingebiete zu bilden, ähnlich dem vorstehend besprochenen Prozess zum Ausbilden von schwach dotierten Source-/Draingebieten, auf den ein Tempern folgt. Die Source-/Draingebiete können eine Konzentration von Verunreinigungen von zwischen ungefähr 1019 cm-3 und ungefähr 1021 cm-3 aufweisen. Die n- und die p-Verunreinigungen für Source-/Draingebiete können beliebige von den vorstehend besprochenen Verunreinigungen sein. In einigen Ausführungsformen können die epitaktischen Source-/Draingebiete 82 während des Aufwachsens in-situ dotiert werden.
  • Als Folge der epitaktischen Prozesse, die zum Ausbilden der epitaktischen Source-/Draingebiete 82 im Gebiet 50N und dem Gebiet 50P verwendet werden, weisen obere Flächen der epitaktischen Source-/Draingebiete Rautenflächen auf, die sich seitlich nach außen über Seitenwände der Finnen 52 hinaus erstrecken. In einigen Ausführungsformen verursachen diese Rautenflächen, dass sich benachbarte Source-/Draingebiete 82 eines selben FinFET vereinigen, wie durch 10C dargestellt. In anderen Ausführungsformen verbleiben benachbarte Source-/Draingebiete 82 getrennt, nachdem der epitaktische Prozess abgeschlossen wurde, wie durch 10D dargestellt. In den in 10C und 10D dargestellten Ausführungsformen werden Gatespacer 86 ausgebildet, die einen Abschnitt der Seitenwände der Finnen 52 abdecken, die sich über den STI-Gebieten 56 erstrecken, wodurch das epitaktische Wachstum gehemmt wird. In einigen anderen Ausführungsformen können die zum Ausbilden der Gatespacer 86 verwendeten Spacer eingestellt werden, um das Spacermaterial zu entfernen, um zu ermöglichen, dass sich das epitaktisch aufgewachsene Gebiet zur Fläche des STI-Gebiets 56 erstreckt.
  • In 11A und 11B werden eine Kontaktätzstoppschicht (CESL) 87 und eine Schutzschicht 100 über den in 10A und 10B dargestellten Strukturen ausgebildet. Die CESL 87 wird auf den epitaktischen Source-/Draingebieten 82 ausgebildet und wirkt als eine Ätzstoppschicht während des Ätzens der Öffnungen zum Ausbilden von Source-/Drainkontakten 112 (siehe 17A-B). Die CESL 87 kann außerdem dabei helfen, die epitaktischen Source-/Draingebiete 82 während anschließender Verarbeitungsschritte, wie z.B. während des Ausbildens der ersten ILD 88 (siehe 12A-B), zu schützen. Die CESL 87 kann ein dielektrisches Material, wie z.B. Siliziumnitrid, Siliziumoxid, Siliziumoxikarbid, dergleichen oder Kombinationen davon aufweisen, und kann unter Verwendung einer ALD, einer CVD oder eines anderen geeigneten Prozesses ausgebildet werden. Das Material der CESL 87 kann derart gewählt werden, dass es eine andere Ätzrate aufweist als das Material der darüberliegenden ersten ILD 88 (siehe 12A-B). Zum Beispiel kann die CESL 87 Siliziumnitrid sein und die erste ILD 88 kann Siliziumoxid sein, obwohl von diesen verschiedene Materialien verwendet werden können. In einigen Ausführungsformen kann die CESL 87 eine Dicke aufweisen, die zwischen ungefähr 2 nm und ungefähr 100 nm liegt, obwohl andere Dicken möglich sind. In manchen Fällen kann eine verhältnismäßig dünne CESL 87 ein leichteres, kontrollierbareres und/oder reproduzierbareres Ätzen der Öffnungen für die Source-/Drainkontakte 112 ermöglichen.
  • Die Schutzschicht 100 wird dann gemäß einigen Ausführungsformen über der CESL 87 ausgebildet. Wie vorstehend erwähnt, kann eine verhältnismäßig dünne CESL 87 ein verbessertes Ausbilden der Source-/Drainkontakte 112 ermöglichen. Jedoch stellt in manchen Fällen eine dünnere CESL 87 einen reduzierten Schutz der epitaktischen Source-/Draingebiete 82 bereit. In einigen Ausführungsformen wird die Schutzschicht 100 über der CESL 87 ausgebildet, um einen zusätzlichen Schutz für die epitaktischen Source-/Draingebiete 82 während anschließender Verarbeitungsschritte bereitzustellen. Zum Beispiel kann die Schutzschicht 100 die epitaktischen Source-/Draingebiete 82 vor Oxidation während des Ausbildens der ersten ILD 88 (siehe 12A-B) schützen. Das Verwenden der Schutzschicht 100 kann es ermöglichen, dass eine verhältnismäßig dünne CESL 87 mit einem geringeren Risiko von Schäden (z.B. Oxidation) der epitaktischen Source-/Draingebiete 82 während anschließender Prozessschritte verwendet wird.
  • In einigen Ausführungsformen weist die Schutzschicht 100 Siliziumoxinitrid auf, das eine Dicke zwischen ungefähr 2 nm und ungefähr 100 nm aufweist, obwohl andere Dicken möglich sind. Zum Beispiel kann die Schutzschicht 100 Siliziumoxinitrid aufweisen, dass einen Atomprozentsatz von Stickstoff aufweist, der zwischen ungefähr 1 % und ungefähr 10 % liegt, oder kann einen Atomprozentsatz von Sauerstoff aufweisen, der zwischen ungefähr 40 % und ungefähr 80 % liegt. In einigen Ausführungsformen kann das Verhältnis von Stickstoff zu Sauerstoff in einer aus Siliziumoxinitrid ausgebildeten Schutzschicht 100 zwischen ungefähr 1:6 und ungefähr 1:70 sein. Andere Zusammensetzungen oder Verhältnisse von Silizium, Stickstoff oder Sauerstoff in einer Schutzschicht 100 sind möglich, und in manchen Fällen kann die Schutzschicht 100 andere Atomspezies, wie z.B. Kohlenstoff, aufweisen. In einigen Ausführungsformen wird ein Temper- oder Härtungsprozess durchgeführt, der die Stickstoffkonzentration der Schutzschicht 100 reduziert, nachstehend für 12A-B beschrieben. Zum Beispiel ermöglicht das Verwenden einer Siliziumoxinitridschicht als der Schutzschicht 100 es, dass die Source-/Draingebiete 82 während des Ausbildens der ersten ILD 88, die Siliziumoxid aufweist (siehe 12A-B), geschützt werden, und ermöglicht ebenfalls es, dass die Schutzschicht in eine Schicht umgewandelt wird, die eine Zusammensetzung aufweist, die jener der ersten ILD 88 (z.B. Siliziumoxinitrid) ähnlicher ist, z.B. ähnliche Ätzraten aufweist, wodurch das Durchführen mancher anschließender Verarbeitungsschritte, wie z.B. Ätzen, leichter gestaltet wird.
  • Die Schutzschicht 100 kann unter Verwendung eines geeigneten Prozesses, wie z.B. einer ALD, einer CVD, einer PVD, oder dergleichen abgeschieden werden. Zum Beispiel ist in einigen Ausführungsformen die Schutzschicht 100 eine Schicht aus Siliziumoxinitrid, das unter Verwendung eines ALD-Prozesses abgeschieden wird, der in einer Prozesskammer durchgeführt werden kann. Der ALD-Prozess kann geeignete Vorstufen von Siliziumoxinitrid verwenden, wie z.B. 02, O3, NH3, H2O, N2, N2H2 oder dergleichen. In einigen Ausführungsformen können die Vorstufen mit einer Rate zwischen ungefähr 0,1 sccm und ungefähr 10 sccm geflossen werden. Andere Gase, wie z.B. Trägergase, können während des ALD-Prozesses ebenfalls geflossen werden. In einigen Ausführungsformen kann die Konzentration oder das Verhältnis von Stickstoff zu Sauerstoff innerhalb einer Siliziumoxinitridschicht durch Steuern von Durchflussraten oder -dauer der Vorstufen gesteuert werden. Zum Beispiel kann die Konzentration von Stickstoff in der Siliziumoxinitridschicht durch Erhöhen der Durchflussrate oder -dauer von stickstofferzeugenden Vorstufen, wie z.B. NH3, N2, N2H2 oder dergleichen, erhöht werden. Die Konzentration von Sauerstoff in der Siliziumoxinitridschicht kann durch Erhöhen der Durchflussrate oder -dauer von sauerstofferzeugenden Vorstufen, wie z.B. O2, H2O, O3 oder dergleichen, erhöht werden. Das Verhältnis von Stickstoff zu Sauerstoff in der Siliziumoxinitridschicht kann durch Steuern der relativen Durchflussraten oder -dauern von stickstofferzeugenden Vorstufen und sauerstofferzeugenden Vorstufen gesteuert werden. Zum Beispiel kann eine längere Dauer eines Flusses einer sauerstofferzeugenden Vorstufe die Menge von Stickstoff in der ausgebildeten Schutzschicht 100 reduzieren (siehe auch die nachstehende Diskussion von 18). Andere Prozessparameter, Materialien oder Techniken sind möglich.
  • In 12A und 12B wird gemäß einigen Ausführungsformen eine erste dielektrische Zwischenschicht (ILD) 88 über der in 11A-B dargestellten Struktur ausgebildet. Die erste ILD 88 kann aus einem dielektrischen Material ausgebildet werden, und kann mithilfe eines beliebigen geeigneten Verfahrens, wie z.B. einer CVD, einer plasmaunterstützten CVD (PECVD), oder einer FCVD, abgeschieden werden. Die erste ILD 88 kann aus einem dielektrischen Material, wie z.B. Siliziumoxid, Phosphorsilikatglas (PSG), Borsilikatglas (BSG), mit Bor dotiertem Phosphorsilikatglas (BPSG), undotiertem Silikatglas (USG) oder dergleichen ausgebildet werden. Zum Beispiel ist in einigen Ausführungsformen die erste ILD 88 Siliziumoxid, das unter Verwendung einer FCVD ausgebildet wird, bei der ein CVD-basiertes Material in einem Fernplasmasystem abgeschieden und anschließend gehärtet wird, um das abgeschiedene Material in ein Siliziumoxidmaterial umzuwandeln. In der dargestellten Ausführungsform ist das Isolationsmaterial 54 Siliziumoxid, das mithilfe eines FCVD-Prozesses ausgebildet wird. Andere dielektrische Materialien, die mithilfe eines beliebigen geeigneten Prozesses ausgebildet werden, können alternativ verwendet werden.
  • In einigen Ausführungsformen wird ein Temperprozess durchgeführt, um den Stickstoffgehalt der Schutzschicht 100 zu reduzieren. Der Temperprozess kann als der Härtungsschritt in einem FCVD-Prozess durchgeführt werden, oder kann zusätzlich zu einem FCVD-Härtungsschritt durchgeführt werden. Die Schutzschicht 100 nach dem Durchführen der Temperprozesses ist als die umgewandelte Schicht 100' in 12A-B dargestellt. Der Temperprozess kann in einer geeigneten Prozesskammer (z.B. einer Abscheidungskammer), einem Ofen oder dergleichen durchgeführt werden. In einigen Ausführungsformen wird der Temperprozess bei einer Temperatur durchgeführt, die zwischen ungefähr 400 °C und ungefähr 1000 °C liegt. In einigen Ausführungsformen wird der Temperprozess unter Verwendung eines oder mehrerer Prozessgase durchgeführt, die Argon, N2, H2, Dampf, H2O, O2, O3, dergleichen oder Kombinationen davon aufweisen können. Der Temperprozess kann in einigen Ausführungsformen für eine Zeitdauer zwischen ungefähr 0,5 Stunden und ungefähr 4 Stunden durchgeführt werden.
  • Der Temperprozess entfernt Stickstoff aus der Schutzschicht 100 und daher weist die umgewandelte Schicht 100' eine niedrigere Konzentration von Stickstoff auf als die Schutzschicht 100. In manchen Fällen entfernt der Temperprozess Stickstoff, indem H2O mit dem Siliziumoxinitrid reagiert, um Stickstoffatome durch Sauerstoffatome zu ersetzen und den Stickstoff als ein Reaktionsprodukt von NH3 zu fangen, das aus der Prozesskammer gespült werden kann. Zum Beispiel ist in einigen Ausführungsformen die Schutzschicht 100 Siliziumoxinitrid, das einen ersten Atomprozentsatz von Stickstoff aufweist, und die umgewandelte Schicht 100' ist Siliziumoxinitrid, das einen zweiten Atomprozentsatz von Stickstoff aufweist, der kleiner ist als der erste Atomprozentsatz von Stickstoff. In einigen Ausführungsformen kann der Temperprozess eine umgewandelte Schicht 100' ausbilden, die weniger als ungefähr die Hälfte der Menge von Stickstoff in der anfänglichen Schutzschicht 100 aufweist. Zum Beispiel kann die umgewandelte Schicht 100' zwischen 0 % und ungefähr 5 % der Menge von Stickstoff in der anfänglichen Schutzschicht 100 aufweisen.
  • Dies ist in 18 dargestellt, die experimentelle Daten von Atomprozentsatz von Stickstoff in vier Probenschutzschichten A, B, C und D und in den entsprechenden umgewandelten Schichten A, B, C und D nach dem Durchführen des Temperprozesses zeigt. Wie in 18 dargestellt, reduziert der Temperprozess den Atomprozentsatz von Stickstoff in der Schutzschicht 100. Zum Beispiel weist die umgewandelte Schicht von Probe A lediglich ungefähr 5,6 % des Atomprozentsatzes von Stickstoff, der in der anfänglichen Schutzschicht von Probe A vorhanden war. Gleichermaßen weisen die umgewandelten Schichten von Proben B, C und D jeweils ungefähr 15,8 %, ungefähr 20 % bzw. ungefähr 47,8 % des Atomprozentsatzes von Stickstoff, der in ihren anfänglichen Schutzschichten vorhanden war. Auf diese Weise kann eine umgewandelte Schicht einen Atomprozentsatz von Stickstoff aufweisen, der kleiner ist als ein Fünftel des Atomprozentsatzes von Stickstoff der anfänglichen Schutzschicht. Die Menge von Sickstoff in einer umgewandelten Schicht 100' kann von der Menge von Stickstoff in der anfänglichen Schutzschicht 100 oder von den Parametern des Temperprozesses abhängen. Zum Beispiel kann ein Temperprozess, der eine längere Dauer und/oder eine höhere Temperatur verwendet, mehr Stickstoff entfernen als ein Temperprozess, der eine kürzere Dauer und/oder eine niedrigere Temperatur verwendet. Dies sind Beispiele und andere Ergebnisse sind möglich.
  • Außerdem zeigt 18, wie das Steuern der Exposition von verschiedenen Vorstufen verwendet werden kann, um die Konzentration von Stickstoff in der Schutzschicht 100 zu steuern. Zum Beispiel verwendete das Ausbilden der Schutzschicht von Probe A eine längere Dauer eines Sauerstoffvorstufenflusses als das Ausbilden der Schutzschichten von Proben B, C oder D. Aufgrund der erhöhten Dauer des Sauerstoffvorstufenflusses weist die Schutzschicht (und die umgewandelte Schicht) von Probe A den niedrigsten Atomprozentsatz von Stickstoff von den vier Proben auf. Als ein anderes Beispiel wies Probe D die kürzeste Dauer eines Sauerstoffvorstufenflusses von den vier Proben auf und weist infolgedessen den größten Atomprozentsatz von Stickstoff auf. Dies sind Beispiele und andere Ergebnisse oder Techniken zum Steuern einer Schichtzusammensetzung sind möglich.
  • Durch Umwandeln der Schutzschicht 100 in die umgewandelte Schicht 100' unter Verwendung des Temperprozesses kann die Auswirkung auf anschließende Verarbeitungsschritte der Schutzschicht 100 reduziert werden. Durch Reduzieren des Stickstoffgehalts der Schutzschicht 100 unter Verwendung des Temperprozesses kann zum Beispiel die verbleibende umgewandelte Schicht 100' leichter geätzt werden, wie z.B. während des Ausbildens der Öffnungen für die Source-/Drainkontakte 112 (siehe 17A-B). In manchen Fällen wirkt durch Umwandeln der Schutzschicht 100 in die umgewandelte Schicht 100' die Schutzschicht 100 nicht wesentlich als eine zusätzliche Ätzstoppschicht und daher werden die Vorteile einer dünnen CESL 87 erhalten. Auf diese Weise können die epitaktischen Source-/Draingebiete 82 vor Oxidation geschützt werden, auch wenn eine dünne CESL 87 verwendet wird. Zum Beispiel zeigt 19 experimentelle Daten der relativen Konzentrationen von mehreren Atomspezies gegenüber Tiefe nach dem Ausbilden der ILD 88. Wie in 19 dargestellt, ist die Konzentration von Sauerstoff innerhalb des epitaktischen Source-/Draingebiets 82 klein, was eine reduzierte Oxidation aufgrund der Anwesenheit der Schutzschicht 100 (z.B. der umgewandelten Schicht 100' in 19) anzeigt.
  • Unter Bezugnahme auf 13A und 13B kann ein Planarisierungsprozess, wie z.B. ein CMP, durchgeführt werden, um die obere Fläche der ersten ILD 88 mit den oberen Flächen der Dummy-Gates 72 oder der Masken 74 zu ebnen. Der Planarisierungsprozess kann auch die Masken 74 auf den Dummy-Gates 72 und Abschnitte der Gateversiegelungsspacer 80 und der Gatespacer 86 entlang von Seitenwänden der Masken 74 entfernen. Nach dem Planarisierungsprozess befinden sich obere Flächen der Dummy-Gates 72, der Gateversiegelungsspacer 80, der Gatespacer 86 und der ersten ILD 88 auf gleicher Ebene. Dementsprechend werden die oberen Flächen der Dummy-Gates 72 durch die erste ILD 88 freigelegt. In einigen Ausführungsformen können die Masken 74 verbleiben, wobei in diesem Fall der Planarisierungsprozess die obere Fläche der ersten ILD 88 mit den oberen Flächen der oberen Fläche der Masken 74 ebnet.
  • In 14A und 14B werden die Dummy-Gates 72 und die Masken 74, falls vorhanden, in einem Ätzschritt(en) entfernt, so dass Aussparungen 90 ausgebildet werden. Abschnitte der Dummy-Dielektrikumsschicht 60 in den Aussparungen 90 können ebenfalls entfernt werden. In einigen Ausführungsformen werden lediglich die Dummy-Gates 72 entfernt und die Dummy-Gatedielektrikumsschicht 60 verbleibt und wird durch die Aussparungen 90 freigelegt. In einigen Ausführungsformen wird die Dummy-Dielektrikumsschicht 60 von den Aussparungen 90 in einem ersten Gebiet eines Die (z.B. einem Kernlogikgebiet) entfernt, und verbleibt in den Aussparungen 90 in einem zweiten Gebiet des Die (z.B. einem Eingabe-/Ausgabegebiet). In einigen Ausführungsformen werden die Dummy-Gates 72 durch einen anisotropen Trockenätzprozess entfernt. Zum Beispiel kann der Ätzprozess einen Trockenätzprozess umfassen, der ein Reaktionsgas(e) verwendet, das (die) die Dummy-Gates 72 selektiv ätzt (ätzen), ohne die ILD 88 oder die Gatespacer 86 zu ätzen. Jede Aussparung 90 legt ein Kanalgebiet einer jeweiligen Finne 52 frei und/oder liegt über diesem. Jedes Kanalgebiet 58 ist zwischen benachbarten Paaren der epitaktischen Source-/Draingebiete 82 angeordnet. Während des Entfernens kann die Dummy-Dielektrikumsschicht 60 als eine Ätzstoppschicht verwendet werden, wenn die Dummy-Gates 72 geätzt werden. Die Dummy-Dielektrikumsschicht 60 kann dann fakultativ nach dem Entfernen der Dummy-Gates 72 entfernt werden.
  • In 15A und 15B werden Gatedielektrikumsschichten 92 und Gateelektroden 94 für Ersatzgates ausgebildet. 14C zeigt eine detaillierte Ansicht des Gebiets 89 von 14B. Die Gatedielektrikumsschichten 92 werden konform in den Aussparungen 90, wie z.B. auf den oberen Flächen und den Seitenwänden der Finnen 52 und auf Seitenwänden der Gateversiegelungsspacer 80/Gatespacer 86, abgeschieden. Die Gatedielektrikumsschichten 92 können auch auf der oberen Fläche der ersten ILD 88 ausgebildet werden. Gemäß einigen Ausführungsformen weisen die Gatedielektrikumsschichten 92 Siliziumoxid, Siliziumnitrid oder Mehrfachschichten davon auf. In einigen Ausführungsformen weisen die Gatedielektrikumsschichten 92 ein High-k-Dielektrikumsmaterial auf und in diesen Ausführungsformen können die Gatedielektrikumsschichten 92 einen k-Wert aufweisen, der größer als ungefähr 7,0 ist, und können ein Metalloxid oder ein Silikat von Hafnium, Aluminium, Zirkonium, Lanthan, Mangan, Barium, Titan, Blei, und Kombinationen davon aufweisen. Die Verfahren zum Ausbilden der Gatedielektrikumsschichten 92 können eine Molekularstrahlabscheidung (MBD), eine ALD, eine PECVD und dergleichen umfassen. In Ausführungsformen, in denen Abschnitte des Dummy-Gatedielektrikums 60 in den Aussparungen 90 verbleiben, weisen die Gatedielektrikumsschichten 92 ein Material des Dummy-Gatedielektrikums 60 (z.B. SiO2) auf.
  • Die Gateelektroden 94 werden jeweils über den Gatedielektrikumsschichten 92 abgeschieden und sie füllen die verbleibenden Abschnitte der Aussparungen 90. Die Gateelektroden 94 können ein metallhaltiges Material, wie z.B. Titannitrid, Titanoxid, Tantalnitrid, Tantalkarbid, Kobalt, Ruthenium, Aluminium, Wolfram, Kombinationen davon oder Mehrfachschichten davon aufweisen. Obwohl eine Einfachschicht-Gateelektrode 94 in 14B dargestellt ist, kann die Gateelektrode 94 zum Beispiel eine beliebige Anzahl von Liner-Schichten 94A, eine beliebige Anzahl von Austrittsarbeits-Einstellschichten 94B und ein Füllmaterial 94C aufweisen, wie durch 15C dargestellt. Nach dem Füllen der Aussparungen 90 kann ein Planarisierungsprozess, wie z.B. ein CMP, durchgeführt werden, um die überschüssigen Abschnitte der Gatedielektrikumsschichten 92 und das Material der Gateelektroden 94 zu entfernen, wobei sich die überschüssigen Abschnitte über der oberen Fläche der ILD 88 befinden. Die verbleibenden Abschnitte von Material der Gateelektroden 94 und der Gatedielektrikumsschichten 92 bilden daher Ersatzgates der resultierenden FinFETs. Die Gateelektroden 94 und die Gatedielektrikumsschichten 92 können gemeinsam als ein „Gatestapel“ bezeichnet werden. Das Gate und die Gatestapel können sich entlang von Seitenwänden eines Kanalgebiets 58 der Finnen 52 erstrecken.
  • Das Ausbilden der Gatedielektrikumsschichten 92 im Gebiet 50N und dem Gebiet 50P kann gleichzeitig stattfinden, so dass die Gatedielektrikumsschichten 92 in jedem Gebiet aus denselben Materialien ausgebildet werden, und das Ausbilden der Gateelektroden 94 kann gleichzeitig stattfinden, so dass die Gateelektroden 94 in jedem Gebiet aus denselben Materialien ausgebildet werden. In einigen Ausführungsformen können die Gatedielektrikumsschichten 92 in jedem Gebiet mithilfe verschiedener Prozesse ausgebildet werden, so dass die Gatedielektrikumsschichten 92 verschiedene Materialien sein können, und/oder die Gateelektroden 94 in jedem Gebiet können mithilfe unterschiedlicher Prozesse ausgebildet werden, so dass die Gateelektroden 94 verschiedene Materialien sein können. Verschiedene Maskierungsschritte können verwendet werden, um geeignete Gebiete freizulegen, wenn verschiedene Prozesse verwendet werden.
  • In 16A und 16B wird eine zweite ILD 108 über der ersten ILD 88 abgeschieden. In einigen Ausführungsformen ist die zweite ILD 108 ein fließfähiger Film, der mithilfe eines fließfähigen CVD-Verfahrens (FCVD-Verfahrens) ausgebildet wird. In einigen Ausführungsformen wird die zweite ILD 108 aus einem dielektrischen Material, wie z.B. PSG, BSG, BPSG, USG oder dergleichen ausgebildet, und kann mithilfe eines beliebigen geeigneten Verfahrens, wie z.B. einer CVD und einer PECVD, abgeschieden werden. Gemäß einigen Ausführungsformen wird vor dem Ausbilden der zweiten ILD 108 der Gatestapel (der eine Gatedielektrikumsschicht 92 und eine entsprechende darüberliegende Gateelektrode 94 aufweist) ausgespart, so dass eine Aussparung direkt über dem Gatestapel und zwischen gegenüberliegenden Abschnitten der Gatespacer 86 ausgebildet wird, wie in 16A und 16B dargestellt. Eine Gatemaske 96, die eine oder mehrere Schichten eines dielektrischen Materials, wie z.B. Siliziumnitrid, Siliziumoxinitrid oder dergleichen, aufweist, wird in die Aussparung eingefüllt, worauf ein Planarisierungsprozess folgt, um überschüssige Abschnitte des dielektrischen Materials zu entfernen, die sich über die erste ILD 88 erstrecken. Die anschließend ausgebildeten Gatekontakte 110 (17A und 17B) dringen durch die Gatemaske 96, um die obere Fläche der ausgesparten Gateelektrode 94 zu kontaktieren.
  • In 17A und 17B werden gemäß einigen Ausführungsformen Gatekontakte 110 und Source-/Drainkontakte 112 durch die zweite ILD 108 und die erste ILD 88 ausgebildet. Öffnungen für den Gatekontakt 110 werden durch die zweite ILD 108 und die Gatemaske 96 ausgebildet. Öffnungen für die Source-/Drainkontakte 112 werden durch die erste und die zweite ILD 88 und 108 und die CESL 87 ausgebildet. Die Öffnungen für die Source-/Drainkontakte 112 erstrecken sich auch durch die umgewandelte Schicht 100' (in 17A-B nicht dargestellt). Die Öffnungen können unter Verwendung geeigneter fotolithografischer und Ätztechniken ausgebildet werden. Zum Beispiel können die Öffnungen unter Verwendung eines oder mehrerer geeigneter anisotroper Trockenätzprozesse geätzt werden. Ein Liner, wie z.B. eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material werden in den Öffnungen ausgebildet. Der Liner kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen aufweisen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsprozess, wie z.B. ein CMP, kann durchgeführt werden, um ein überschüssiges Material von einer Fläche der ILD 108 zu entfernen. Der verbleibende Liner und das leitfähige Material bilden die Source-/Drainkontakte 112 und die Gatekontakte 110 in den Öffnungen. Ein Temperprozess kann durchgeführt werden, um ein Silizid an der Grenzfläche zwischen den epitaktischen Source-/Draingebieten 82 und den Source-/Drainkontakten 108 auszubilden. Die Source-/Drainkontakte 112 werden mit den epitaktischen Source-/Draingebieten 82 physisch und elektrisch gekoppelt, und die Gatekontakte 110 werden mit den Gateelektroden 106 physisch und elektrisch gekoppelt. Die Source-/Drainkontakte 112 und die Gatekontakte 110 können in verschiedenen Prozessen ausgebildet werden oder sie können im gleichen Prozess ausgebildet werden. Obwohl derart dargestellt, dass sie in denselben Querschnitten ausgebildet werden, versteht es sich, dass jeder der Source-/Drainkontakte 112 und der Gatekontakte 110 in verschiedenen Querschnitten ausgebildet werden kann, was ein Kurzschließen der Kontakte vermeiden kann.
  • Die Ausführungsformen hier können Vorteile erzielen. Das Verwenden einer Schutzschicht über den Source-/Draingebieten, wie hier beschrieben, kann Schäden an den Source-/Draingebieten während anschließender Verarbeitungsschritte reduzieren. Zum Beispiel kann die Schutzschicht Oxidation der Source-/Draingebiete während des Ausbildens einer ILD reduzieren oder verhindern. Das Verwenden einer Schutzschicht kann es außerdem ermöglichen, dass eine dünnere Kontaktätzstoppschicht ohne erhöhtes Risiko von Schäden an den Source-/Draingebieten ausgebildet wird. In manchen Fällen kann eine dünnere Kontaktätzstoppschicht ein leichteres oder reproduzierbareres Ausbilden von Kontakten an den Source-/Draingebieten ermöglichen. Außerdem kann ein Temperprozess durchgeführt werden, um die Schutzschicht in eine umgewandelte Schicht, die weniger Stickstoff aufweist, umzuwandeln. Zum Beispiel kann eine Schutzschicht aus Siliziumoxinitrid in eine Umwandlungsschicht aus Siliziumoxid oder eine Umwandlungsschicht aus Siliziumoxinitrid mit einer niedrigeren Konzentration von Stickstoff umgewandelt werden. Ein derartiges Reduzieren des Stickstoffgehalts der Schutzschicht kann außerdem ein leichteres oder reproduzierbareres Ausbilden von Kontakten an den Source-/Draingebieten ermöglichen.
  • Gemäß einer Ausführungsform umfasst ein Verfahren: Ausbilden einer Finne, die sich von einem Substrat erstreckt; Ausbilden eines ersten Isolationsgebiets entlang gegenüberliegender Seitenwände der Finne; Ausbilden einer Gatestruktur über der Finne; Ausbilden eines epitaktischen Source-/Draingebiets in der Finne benachbart zur Gatestruktur; Ausbilden einer Ätzstoppschicht über dem epitaktischen Source-/Draingebiet und über der Gatestruktur; Ausbilden einer Schutzschicht über der Ätzstoppschicht, wobei die Schutzschicht Siliziumoxinitrid aufweist; und Ausbilden eines zweiten Isolationsmaterials über der Schutzschicht, wobei das Ausbilden des zweiten Isolationsmaterials eine Stickstoffkonzentration der Schutzschicht reduziert. In einer Ausführungsform weist das zweite Isolationsmaterial Siliziumoxid auf. In einer Ausführungsform umfasst das Ausbilden der Schutzschicht ein Verwenden eines Atomlagenabscheidungsprozesses (ALD-Prozesses). In einer Ausführungsform weist nach dem Ausbilden des zweiten Isolationsmaterials die Schutzschicht einen Atomprozentsatz von Stickstoff auf, der kleiner ist als 10 %. In einer Ausführungsform umfasst das Ausbilden des zweiten Isolationsmaterials ein Durchführen eines Temperprozesses, der die Schutzschicht aus Siliziumoxinitrid in Siliziumoxid umwandelt. In einer Ausführungsform weist die Schutzschicht eine Dicke zwischen 1 nm und 3 nm auf. In einer Ausführungsform umfasst das Ausbilden des zweiten Isolationsmaterials einen fließfähigen chemischen Gasphasenabscheidungsprozess (FCVD-Prozess). In einer Ausführungsform umfasst das Verfahren ein Ausbilden einer Öffnung, die sich durch das zweite Isolationsmaterial, die Schutzschicht und die Ätzstoppschicht erstreckt, um das epitaktische Source-/Draingebiet freizulegen, und ein Abscheiden eines leitfähigen Materials innerhalb der Öffnung.
  • Gemäß einer Ausführungsform umfasst ein Verfahren zum Ausbilden einer Halbleitervorrichtung: Ausbilden einer Halbleiterfinne, die von einem Substrat hervorsteht; Ausbilden eines Gatestapels über der Halbleiterfinne; Ausbilden eines Source-/Draingebiets auf der Halbleiterfinne benachbart zum Gatestapel; Ausbilden einer ersten dielektrischen Schicht, die sich über das Source-/Draingebiet und den Gatestapel erstreckt; Ausbilden einer zweiten dielektrischen Schicht auf der ersten dielektrischen Schicht, wobei die zweite dielektrische Schicht ein anderes Material ist als die erste dielektrische Schicht, wobei die zweite dielektrische Schicht derart ausgebildet wird, dass sie einen ersten Atomprozentsatz von Stickstoff aufweist; Ausbilden einer Isolationsschicht auf der zweiten dielektrischen Schicht, wobei die Isolationsschicht ein anderes Material ist als die zweite dielektrische Schicht; Durchführen eines Temperprozesses, wobei die zweite dielektrische Schicht einen zweiten Atomprozentsatz von Stickstoff nach dem Durchführen des Temperprozesses aufweist, der kleiner ist als der erste Atomprozentsatz von Stickstoff; und nach dem Durchführen des Temperprozesses, Ausbilden eines leitfähigen Merkmals, das sich durch die Isolationsschicht, die zweite dielektrische Schicht und die erste dielektrische Schicht erstreckt, um das Source-/Draingebiet zu kontaktieren. In einer Ausführungsform ist die erste dielektrische Schicht Siliziumnitrid. In einer Ausführungsform weist die erste dielektrische Schicht eine Dicke zwischen 2 nm und 100 nm auf. In einer Ausführungsform wird der Temperprozess bei einer Temperatur zwischen 400 °C und 1000 °C durchgeführt. In einer Ausführungsform ist die zweite dielektrische Schicht Siliziumoxinitrid, und der erste Atomprozentsatz von Stickstoff liegt zwischen 1 % und 20 %. In einer Ausführungsform ist der zweite Atomprozentsatz von Stickstoff kleiner als ein Fünftel des ersten Atomprozentsatzes von Stickstoff. In einer Ausführungsform ist die Isolationsschicht Siliziumoxid.
  • Gemäß einer Ausführungsform weist eine Vorrichtung auf: eine Finne, die sich von einem Halbleitersubstrat erstreckt; einen Gatestapel über der Finne und entlang einer Seitenwand davon; einen Gatespacer entlang einer Seitenwand des Gatestapels und einer Seitenwand der Finne; ein epitaktisches Source-/Draingebiet in der Finne und benachbart zum Gatestapel; eine Siliziumnitridschicht, die sich über das epitaktische Source-/Draingebiet und den Gatespacer erstreckt; eine Siliziumoxinitridschicht auf der Siliziumnitridschicht; eine Isolationsschicht auf der Siliziumoxinitridschicht; und einen Kontakt, der sich durch die Isolationsschicht, die Siliziumoxinitridschicht und die Siliziumnitridschicht zum epitaktischen Source-/Draingebiet erstreckt. In einer Ausführungsform weist die Isolationsschicht Siliziumoxid auf. In einer Ausführungsform ist die Siliziumoxinitridschicht dicker als die Siliziumnitridschicht. In einer Ausführungsform weist die Siliziumoxinitridschicht eine Dicke zwischen 1 nm und 3 nm auf. In einer Ausführungsform weist die Siliziumoxinitridschicht eine Atomkonzentration von Stickstoff auf, die zwischen 1 % und 20 % liegt.
  • Das Vorstehende skizziert Merkmale mehrerer Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage zum Entwerfen oder Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erzielen. Ein Fachmann sollte ebenfalls verstehen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifizierungen hier vornehmen kann, ohne vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/968458 [0001]

Claims (20)

  1. Verfahren, umfassend: Ausbilden einer Finne, die sich von einem Substrat erstreckt, Ausbilden eines ersten Isolationsgebiets entlang gegenüberliegender Seitenwände der Finne, Ausbilden einer Gatestruktur über der Finne, Ausbilden eines epitaktischen Source-/Draingebiets in der Finne benachbart zur Gatestruktur, Ausbilden einer Ätzstoppschicht über dem epitaktischen Source-/Draingebiet und über der Gatestruktur, Ausbilden einer Schutzschicht über der Ätzstoppschicht, wobei die Schutzschicht Siliziumoxinitrid aufweist, und Ausbilden eines zweiten Isolationsmaterials über der Schutzschicht, wobei das Ausbilden des zweiten Isolationsmaterials eine Stickstoffkonzentration der Schutzschicht reduziert.
  2. Verfahren nach Anspruch 1, wobei das zweite Isolationsmaterial Siliziumoxid aufweist.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Ausbilden der Schutzschicht ein Verwenden eines Atomlagenabscheidungsprozesses (ALD-Prozesses) umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei nach dem Ausbilden des zweiten Isolationsmaterials die Schutzschicht einen Atomprozentsatz von Stickstoff aufweist, der kleiner ist als 10 %.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ausbilden des zweiten Isolationsmaterials ein Durchführen eines Temperprozesses umfasst, der die Schutzschicht aus Siliziumoxinitrid in Siliziumoxid umwandelt.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Schutzschicht eine Dicke zwischen 1 nm und 3 nm aufweist.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ausbilden des zweiten Isolationsmaterials einen fließfähigen chemischen Gasphasenabscheidungsprozess (FCVD-Prozess) umfasst.
  8. Verfahren nach einem der vorhergehenden Ansprüche, das ferner ein Ausbilden einer Öffnung, die sich durch das zweite Isolationsmaterial, die Schutzschicht und die Ätzstoppschicht erstreckt, um das epitaktische Source-/Draingebiet freizulegen, und ein Abscheiden eines leitfähigen Materials innerhalb der Öffnung umfasst.
  9. Verfahren zum Ausbilden einer Halbleitervorrichtung, wobei das Verfahren umfasst: Ausbilden einer Halbleiterfinne, die von einem Substrat hervorsteht, Ausbilden eines Gatestapels über der Halbleiterfinne, Ausbilden eines Source-/Draingebiets auf der Halbleiterfinne benachbart zum Gatestapel, Ausbilden einer ersten dielektrischen Schicht, die sich über das Source-/Draingebiet und den Gatestapel erstreckt, Ausbilden einer zweiten dielektrischen Schicht auf der ersten dielektrischen Schicht, wobei die zweite dielektrische Schicht ein anderes Material ist als die erste dielektrische Schicht, wobei die zweite dielektrische Schicht derart ausgebildet wird, dass sie einen ersten Atomprozentsatz von Stickstoff aufweist, Ausbilden einer Isolationsschicht auf der zweiten dielektrischen Schicht, wobei die Isolationsschicht ein anderes Material ist als die zweite dielektrische Schicht, Durchführen eines Temperprozesses, wobei die zweite dielektrische Schicht einen zweiten Atomprozentsatz von Stickstoff nach dem Durchführen des Temperprozesses aufweist, der kleiner ist als der erste Atomprozentsatz von Stickstoff, und nach dem Durchführen des Temperprozesses, Ausbilden eines leitfähigen Merkmals, das sich durch die Isolationsschicht, die zweite dielektrische Schicht und die erste dielektrische Schicht erstreckt, um das Source-/Draingebiet zu kontaktieren.
  10. Verfahren nach Anspruch 9, wobei die erste dielektrische Schicht Siliziumnitrid ist.
  11. Verfahren nach Anspruch 9 oder 10, wobei die erste dielektrische Schicht eine Dicke zwischen 2 nm und 100 nm aufweist.
  12. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 11, wobei der Temperprozess bei einer Temperatur zwischen 400 °C und 1000 °C durchgeführt wird.
  13. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 12, wobei die zweite dielektrische Schicht Siliziumoxinitrid ist, und wobei der erste Atomprozentsatz von Stickstoff zwischen 1 % und 20 % liegt.
  14. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 13, wobei der zweite Atomprozentsatz von Stickstoff kleiner ist als ein Fünftel des ersten Atomprozentsatzes von Stickstoff.
  15. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 14, wobei die Isolationsschicht Siliziumoxid ist.
  16. Vorrichtung, aufweisend: eine Finne, die sich von einem Halbleitersubstrat erstreckt, einen Gatestapel über der Finne und entlang einer Seitenwand davon, einen Gatespacer entlang einer Seitenwand des Gatestapels und einer Seitenwand der Finne, ein epitaktisches Source-/Draingebiet in der Finne und benachbart zum Gatestapel, eine Siliziumnitridschicht, die sich über das epitaktische Source-/Draingebiet und den Gatespacer erstreckt, eine Siliziumoxinitridschicht auf der Siliziumnitridschicht, eine Isolationsschicht auf der Siliziumoxinitridschicht, und einen Kontakt, der sich durch die Isolationsschicht, die Siliziumoxinitridschicht und die Siliziumnitridschicht zum epitaktischen Source-/Draingebiet erstreckt.
  17. Vorrichtung nach Anspruch 16, wobei die Isolationsschicht Siliziumoxid aufweist.
  18. Vorrichtung nach Anspruch 16 oder 17, wobei die Siliziumoxinitridschicht dicker ist als die Siliziumnitridschicht.
  19. Vorrichtung nach einem der vorhergehenden Ansprüche 16 bis 18, wobei die Siliziumoxinitridschicht eine Dicke zwischen 1 nm und 3 nm aufweist.
  20. Vorrichtung nach einem der vorhergehenden Ansprüche 16 bis 19, wobei die Siliziumoxinitridschicht eine Atomkonzentration von Stickstoff aufweist, der zwischen 1 % und 20 % liegt.
DE102020120634.8A 2020-01-31 2020-08-05 Halbleitervorrichtung und verfahren Pending DE102020120634A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062968458P 2020-01-31 2020-01-31
US62/968,458 2020-01-31
US16/941,445 US11757020B2 (en) 2020-01-31 2020-07-28 Semiconductor device and method
US16/941,445 2020-07-28

Publications (1)

Publication Number Publication Date
DE102020120634A1 true DE102020120634A1 (de) 2021-08-05

Family

ID=76853900

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020120634.8A Pending DE102020120634A1 (de) 2020-01-31 2020-08-05 Halbleitervorrichtung und verfahren

Country Status (4)

Country Link
US (1) US20220359729A1 (de)
CN (1) CN113206043A (de)
DE (1) DE102020120634A1 (de)
TW (1) TWI782402B (de)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI668866B (zh) * 2015-10-07 2019-08-11 聯華電子股份有限公司 半導體元件及其製作方法
US10304677B2 (en) * 2017-09-29 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k feature formation processes and structures formed thereby
US10269655B1 (en) * 2018-05-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
TWI782402B (zh) 2022-11-01
TW202145300A (zh) 2021-12-01
US20220359729A1 (en) 2022-11-10
CN113206043A (zh) 2021-08-03

Similar Documents

Publication Publication Date Title
DE102020107101B3 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102017112753A1 (de) Halbleitervorrichtung und Verfahren
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102020119320A1 (de) Halbleitervorrichtung und verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102017117797A1 (de) Halbleitervorrichtung und Methoden der Herstellung
DE102020119976A1 (de) Halbleitervorrichtung und verfahren
DE102019113052A1 (de) Halbleiterbauelement und verfahren
DE102021110710A1 (de) Nanoschicht-feldeffekttransistorvorrichtung und herstellungsverfahren
DE102020109491A1 (de) Halbleitervorrichtung und verfahren
DE102020109608A1 (de) Dummy-finnen und verfahren zu deren herstellung
DE102021109107A1 (de) Gatestrukturen und verfahren zu deren ausbildung
DE102019121278B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung
DE102020129544A1 (de) Gatestrukturen in transistoren und verfahren zu deren ausbildung
DE102020115554A1 (de) Doppeldotiermittel-source/drain-regionen und deren herstellungsverfahren
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102020114860A1 (de) Transistor-gates und verfahren zum bilden davon
DE102020115408A1 (de) Halbleitervorrichtung und verfahren
DE102021113003A1 (de) Nano-fet-halbleiterbauelement und verfahren zur bildung
DE102021106455A1 (de) Halbleitervorrichtung und verfahren
DE102020114996A1 (de) Halbleitervorrichtung und verfahren zum ausbilden von dieser
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102020120634A1 (de) Halbleitervorrichtung und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication