DE102021106455A1 - Halbleitervorrichtung und verfahren - Google Patents

Halbleitervorrichtung und verfahren Download PDF

Info

Publication number
DE102021106455A1
DE102021106455A1 DE102021106455.4A DE102021106455A DE102021106455A1 DE 102021106455 A1 DE102021106455 A1 DE 102021106455A1 DE 102021106455 A DE102021106455 A DE 102021106455A DE 102021106455 A1 DE102021106455 A1 DE 102021106455A1
Authority
DE
Germany
Prior art keywords
type
workfunction metal
layer
work function
type workfunction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021106455.4A
Other languages
English (en)
Inventor
Hsin-Yi Lee
Ji-Cheng Chen
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021106455A1 publication Critical patent/DE102021106455A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Es werden ein Verfahren zum Bilden von Halbleitervorrichtungen mit verbesserten Austrittsarbeitsschichten und Halbleitervorrichtungen, die durch diese gebildet werden, offenbart. In einer Ausführungsform umfasst ein Verfahren Abscheiden einer dielektrischen Gate-Schicht auf einem Kanalbereich über einem Halbleitersubstrat; Abscheiden eines ersten p-Typ-Austrittsarbeitsmetalls auf der dielektrischen Gate-Schicht; Durchführen einer Sauerstoffbehandlung an dem ersten p-Typ-Austrittsarbeitsmetall; und, nach Durchführen der Sauerstoffbehandlung, Abscheiden eines zweiten p-Typ-Austrittsarbeitsmetalls auf dem ersten p-Typ-Austrittsarbeitsmetall.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der am 21. Januar 2021 eingereichten vorläufigen US-Anmeldung Nr. 63/139,983 mit dem Titel „Novel Laminate TiN Deposition Method in Nanosheet Device and Structure Formed Thereby“, die hierdurch durch Bezugnahme hierin mit aufgenommen wird.
  • HINTERGRUND
  • Halbleitervorrichtungen werden in einer Vielzahl elektronischer Anwendungen eingesetzt, wie beispielsweise Personal Computern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden typischerweise durch sequenzielles Abscheiden isolierender oder dielektrischer Schichten, leitfähiger Schichten und von Halbleiterschichten von Material über einem Halbleitersubstrat und Strukturieren der verschiedenen Materialschichten unter Verwendung von Lithographie zum Bilden von Schaltkreiskomponenten und Elementen darauf hergestellt.
  • Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z.B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) immer weiter durch kontinuierliche Reduzierung der minimalen Strukturgröße, wodurch mehr Komponenten in einem bestimmten Bereich integriert werden können.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten aus der nachfolgenden ausführlichen Beschreibung verstehen, wenn sie mit den beigefügten Figuren gelesen wird. Es wird darauf hingewiesen, dass, in Übereinstimmung mit den Standardpraktiken in der Branche, diverse Elemente nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 veranschaulicht ein Beispiel eines Nanostruktur-Feldeffekttransistors (Nano-FET) in einer dreidimensionalen Ansicht gemäß manchen Ausführungsformen.
    • 2, 3, 4, 5, 6A, 6B, 6C, 7A, 7B, 7C, 8A, 8B, 8C, 9A, 9B, 9C, 10A, 10B, 11A, 11B, 11C, 12A, 12B, 12C, 12D, 12E, 13A, 13B, 14A, 14B, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 19C, 19D, 20A, 20B, 20C, 20D, 21A, 21B, 22A und 22B sind Querschnittsansichten von Zwischenstufen bei der Fertigung von Nano-FETs gemäß manchen Ausführungsformen.
    • 18C veranschaulicht ein SIMS-Diagramm (Sekundärionen-Massenspektrometrie-Diagramm) dielektrischer Schichten und von Austrittsarbeitsschichten in beispielhaften Gate-Stapeln gemäß manchen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele für die Implementierung unterschiedlicher Elemente der Erfindung bereit. Nachfolgend werden konkrete Beispiele der Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich lediglich Beispiele, und sie sind nicht als einschränkend beabsichtigt. Die Bildung eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung kann zum Beispiel Ausführungsformen beinhalten, in denen das erste und zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen beinhalten, in denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element ausgebildet sind, so dass das erste und das zweite Element möglicherweise nicht in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Konfigurationen.
  • Ferner können hierin räumlich relative Begriffe, wie etwa „darunter“, „unter“, „tieferer“, „über“, „oberer“ und dergleichen, zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Es ist vorgesehen, dass die räumlich relativen Begriffe unterschiedliche Orientierungen der Vorrichtung im Gebrauch oder im Betrieb zusätzlich zu der in den Figuren gezeigten Orientierung miteinschließen. Die Vorrichtung kann auch anderweitig orientiert sein (um 90 Grad gedreht oder andere Orientierungen) und die hierin verwendeten räumlich relativen Deskriptoren können ebenfalls entsprechend interpretiert werden.
  • Verschiedene Ausführungsformen stellen eine Gate-Elektrode mit einer verbesserten Austrittsarbeitsstruktur und Verfahren zu deren Bildung bereit. Die Austrittsarbeitsstruktur kann durch Abscheiden einer Austrittsarbeitsschicht, Aussetzen der Austrittsarbeitsschicht gegenüber einer sauerstoffhaltigen Umgebung und Wiederholen dieses Prozesses, bis eine hinreichende Dicke erreicht wird gebildet werden. Die Austrittsarbeitsstruktur kann über einer dielektrischen Gate-Schicht abgeschieden werden. Aussetzen der Austrittsarbeitsschichten gegenüber der sauerstoffhaltigen Umgebung erlaubt es Sauerstoff in die Austrittsarbeitsschichten zu diffundieren und sich an einer Grenzfläche zwischen der dielektrischen Gate-Schicht und der Austrittsarbeitsstruktur zu sammeln. Die erhöhte Sauerstoffkonzentration in der Austrittsarbeitsstruktur und an der Grenzfläche zwischen der dielektrischen Gate-Schicht und der Austrittsarbeitsstruktur erhöht eine effektive Austrittsarbeit, erhöht eine Flachbandspannung (Vfb) und verringert eine Schwellenspannung (Vt) von Vorrichtungen, einschließlich der Austrittsarbeitsstruktur. Dies verbessert die Vorrichtungsgeschwindigkeit und -leistung.
  • Manche hierin erläuterte Ausführungsformen werden im Zusammenhang mit einem Die beschrieben, der Nano-FETs aufweist. Anstatt der oder in Kombination mit den Nano-FETs können jedoch verschiedene Ausführungsformen auf Dies angewendet werden, darunter andere Arten von Transistoren (z.B. Finnen-Feldeffekttransistoren (FinFETs), planare Transistoren oder dergleichen).
  • 1 veranschaulicht ein Beispiel von Nano-FETs (z.B. Nanodraht-FETs, Nanosheet-FETs oder dergleichen) in einer dreidimensionalen Ansicht gemäß manchen Ausführungsformen. Die Nano-FETs umfassen Nanostrukturen 55 (z.B. Nanosheets, Nanodraht oder dergleichen) über Finnen 66 auf einem Substrat 50 (z.B. einem Halbleitersubstrat), wobei die Nanostrukturen 55 als Kanalbereiche für die Nano-FETs dienen. Die Nanostrukturen 55 können Nanostrukturen vom p-Typ, Nanostrukturen vom n-Typ oder eine Kombination davon enthalten. Flachgrabenisolationsbereiche (STI-Bereiche) 68 sind zwischen benachbarten Finnen 66 angeordnet, die über und von zwischen benachbarten STI-Bereichen 68 hervorstehen können. Obwohl die STI-Bereiche 68 als separat von dem Substrat 50 beschrieben/veranschaulicht sind, kann sich der Begriff „Substrat“, wie hierin verwendet, nur auf das Halbleitersubstrat oder eine Kombination des Halbleitersubstrats und der STI-Bereiche beziehen. Darüber hinaus können, obwohl Bodenabschnitte der Finnen 66 als einzeln veranschaulicht sind, durchgängige Materialien mit dem Substrat 50, den Bodenabschnitten der Finnen 66 und/oder dem Substrat 50 ein einzelnes Material oder eine Vielzahl von Materialien umfassen. In diesem Zusammenhang beziehen sich die Finnen 66 auf den Abschnitt, der sich zwischen den benachbarten STI-Bereichen 68 erstreckt.
  • Gate-Dielektrika 100 erstrecken sich entlang oberen Flächen und Seitenflächen der Finnen 66 und entlang oberen Flächen, Seitenflächen und Bodenflächen der Nanostrukturen 55. Über den Gate-Dielektrika 100 befinden sich Gate-Elektroden 105. Auf den Finnen 66 sind auf gegenüberliegenden Seiten der Gate-Dielektrika 100 und der Gate-Elektroden 105 epitaktische Source-/Drain-Bereiche 92 angeordnet.
  • 1 veranschaulicht ferner Referenzquerschnitte, die in späteren Figuren verwendet werden. Querschnitt A-A' verläuft entlang einer Längsachse einer Gate-Elektrode 105 und beispielsweise in eine Richtung senkrecht zu der Richtung des Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 92 eines Nano-FET. Querschnitt B-B' verläuft parallel zu Querschnitt A-A` und erstreckt sich durch epitaktische Source-/Drain-Bereiche 92 mehrerer Nano-FETs. Querschnitt C-C' verläuft senkrecht zu Querschnitt A-A' und parallel zu einer Längsachse einer Finne 66 des Nano-FET und beispielsweise in eine Richtung eines Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 92 des Nano-FET. Nachfolgende Figuren beziehen sich aus Gründen der Klarheit auf diese Referenzquerschnitte.
  • Manche der hierin diskutierten Ausführungsformen werden im Zusammenhang mit Nano-FETs erläutert, die unter Verwendung eines Gate-Last-Prozesses gebildet werden. In anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Darüber hinaus ziehen manche Ausführungsformen Aspekte in Betracht, die in planaren Vorrichtungen verwendet werden, wie etwa planare FETs, oder in Finnen-Feldeffekttransistoren (FinFETs).
  • 2 bis 22B sind Querschnittsansichten der Zwischenstufen bei der Herstellung von Nano-FETs gemäß manchen Ausführungsformen. 2 bis 5, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A, 16A, 17A, 18A, 19A, 19C, 20A, 20C, 21A und 22A veranschaulichen den in 1 veranschaulichten Referenzquerschnitt A-A`. 6B, 7B, 8B, 9B, 10B, 11B, 11C, 12B, 12E, 13B, 14B, 15B, 16B, 17B, 18B, 19B, 19D, 20B, 20D, 21B und 22B veranschaulichen den in 1 veranschaulichten Referenzquerschnitt B-B'. 6C, 7C, 8C, 9C und 12D veranschaulichen den in 1 veranschaulichten Referenzquerschnitt C-C`.
  • In 2 wird ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat sein, wie etwa ein Massenhalbleiter, ein Halbleiter-auf-Isolator (SOI) Substrat oder dergleichen, welches dotiert (z.B. mit einem Dotierstoff vom p-Typ oder vom n-Typ) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie etwa ein Silizium-Wafer. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolationsschicht gebildet wird. Die Isolationsschicht kann beispielsweise eine begrabene OxidSchicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolationsschicht wird auf einem Substrat bereitgestellt, typischerweise einem Silizium- oder Glassubstrat. Andere Substrate, wie etwa ein Mehrschicht- oder Gradientsubstrat, können ebenfalls verwendet werden.
  • In manchen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium enthalten; Germanium; einen Komposithalbleiter, der Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid enthält; einen Legierungshalbleiter, der Silizium-Germanium, Galliumarsenidphosphid, Aluminium-Indiumarsenid, Aluminium-Galliumarsenid, Gallium-Indiumarsenid, Gallium-Indiumphosphid und/oder Gallium-Indiumarsenidphosphid enthält; oder Kombinationen davon.
  • Das Substrat weist einen n-Typ-Bereich 50N und einen p-Typ-Bereich 50P auf. Der n-Typ-Bereich 50N kann zum Bilden von n-Typ-Vorrichtungen dienen, wie etwa NMOS-Transistoren, z.B. Nano-FETs vom n-Typ, und der p-Typ-Bereich 50P kann zum Bilden von p-Typ-Vorrichtungen dienen, wie etwa PMOS-Transistoren, z.B. Nano-FETs vom p-Typ. Der n-Typ-Bereich 50N kann physisch von dem p-Typ-Bereich 50P getrennt sein (wie durch Teiler 20 veranschaulicht) und jedwede Anzahl von Vorrichtungsmerkmalen (z.B. andere aktive Vorrichtungen, dotierte Bereiche, Isolationsstrukturen usw.) können zwischen dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P angeordnet sein. Obwohl nur ein n-Typ-Bereich 50N und ein p-Typ-Bereich 50P veranschaulicht sind, kann jedwede Anzahl von n-Typ-Bereichen 50N und p-Typ-Bereichen 50P bereitgestellt werden.
  • Ferner wird in 2 ein Mehrschichtstapel 64 über dem Substrat 50 gebildet. Der Mehrschichtstapel 64 enthält alternierende Schichten erster Halbleiterschichten 51A-51C (zusammen als erste Halbleiterschichten 51 bezeichnet) und zweiter Halbleiterschichten 53A-53C (zusammen als zweite Halbleiterschichten 53 bezeichnet). Zu Veranschaulichungszwecken und wie unten ausführlicher erläutert, werden die ersten Halbleiterschichten 51 entfernt und die zweiten Halbleiterschichten 53 werden strukturiert, um Kanalbereiche von Nano-FETs in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P zu bilden. In manchen Ausführungsformen können jedoch die ersten Halbleiterschichten 51 entfernt werden und die zweiten Halbleiterschichten 53 können strukturiert werden, um Kanalbereiche der Nano-FETs in dem n-Typ-Bereich 50N zu bilden, und die zweiten Halbleiterschichten 53 können entfernt und die ersten Halbleiterschichten 51 können strukturiert werden, um Kanalbereiche der Nano-FETs in dem p-Typ-Bereich 50P zu bilden. In manchen Ausführungsformen können die zweiten Halbleiterschichten 53 entfernt werden und die ersten Halbleiterschichten 51 können strukturiert werden, um Kanalbereiche der Nano-FETs in dem n-Typ-Bereich 50N zu bilden, und die ersten Halbleiterschichten 51 können entfernt und die zweiten Halbleiterschichten 53 können strukturiert werden, um Kanalbereiche der Nano-FETs in dem p-Typ-Bereich 50P zu bilden. In manchen Ausführungsformen können die zweiten Halbleiterschichten 53 entfernt werden und die ersten Halbleiterschichten 51 können strukturiert werden, um Kanalbereiche der Nano-FETs sowohl in dem n-Typ-Bereich 50N als auch dem p-Typ-Bereich 50P zu bilden.
  • Der Mehrschichtstapel 64 ist zu veranschaulichenden Zwecken als drei Schichten jeder der ersten Halbleiterschichten 51 und der zweiten Halbleiterschichten 53 enthaltend veranschaulicht. In manchen Ausführungsformen kann der Mehrschichtstapel 64 jedwede Anzahl der ersten Halbleiterschichten 51 und der zweiten Halbleiterschichten 53 enthalten. Jede der Schichten des Mehrschichtstapels 64 kann unter Verwendung eines Prozesses, wie etwa chemische Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD), Dampfphasenepitaxie (VPE), Molekularstrahlepitaxie (MBE) oder dergleichen, epitaktisch gezüchtet werden. In verschiedenen Ausführungsformen können die ersten Halbleiterschichten 51 aus einem ersten Halbleitermaterial gebildet werden, wie etwa Silizium-Germanium oder dergleichen, und die zweiten Halbleiterschichten 53 können aus einem zweiten Halbleitermaterial gebildet werden, wie etwa Silizium, Silizium-Kohlenstoff oder dergleichen. Der Mehrschichtstapel 64 ist als eine unterste Halbleiterschicht aufweisend veranschaulicht, die zu Veranschaulichungszwecken auf den ersten Halbleitermaterialien gebildet ist. In manchen Ausführungsformen kann der Mehrschichtstapel 64 derart gebildet werden, dass die unterste Schicht aus den zweiten Halbleitermaterialien gebildet wird.
  • Die ersten Halbleitermaterialien und die zweiten Halbleitermaterialien können Materialien sein, die zueinander eine hohe Ätzselektivität aufweisen. Somit können die ersten Halbleiterschichten 51 des ersten Halbleitermaterials ohne signifikantes Entfernen der zweiten Halbleiterschichten 53 des zweiten Halbleitermaterials entfernt werden, wodurch ermöglicht wird, dass die zweiten Halbleiterschichten 53 strukturiert werden, um Kanalbereiche der Nano-FETs zu bilden. Auf ähnliche Weise kann in Ausführungsformen, in denen die zweiten Halbleiterschichten 53 entfernt werden und die ersten Halbleiterschichten 51 strukturiert werden, um Kanalbereiche zu bilden, die zweiten Halbleiterschichten 53 des zweiten Halbleitermaterials ohne signifikantes Entfernen der ersten Halbleiterschichten 51 des ersten Halbleitermaterials entfernt werden, wodurch ermöglicht wird, dass die ersten Halbleiterschichten 51 zum Bilden von Kanalbereichen der Nano-FETs strukturiert werden.
  • In 3 sind gemäß manchen Ausführungsformen Finnen 66 in dem Substrat 50 gebildet und Nanostrukturen 55 sind in dem Mehrschichtstapel 64 gebildet. In manchen Ausführungsformen können die Nanostrukturen 55 und die Finnen 66 in dem Mehrschichtstapel 64 bzw. dem Substrat 50 durch Ätzen von Gräben in dem Mehrschichtstapel 64 und dem Substrat 50 gebildet werden. Das Ätzen kann durch einen akzeptablen Ätzprozess durchgeführt werden, wie etwa ein reaktives Ionenätzen (RIE), ein Neutralstrahlätzen (NBE) oder dergleichen oder einer Kombination davon. Das Ätzen kann anisotrop sein. Bilden der Nanostrukturen 55 durch Ätzen des Mehrschichtstapels 64 kann erste Nanostrukturen 52A-52C (zusammen als die ersten Nanostrukturen 52 bezeichnet) aus den ersten Halbleiterschichten 51 definieren und zweite Nanostrukturen 54A-54C (zusammen als die zweiten Nanostrukturen 54 bezeichnet) aus den zweiten Halbleiterschichten 53 definieren. Die ersten Nanostrukturen 52 und die zweiten Nanostrukturen 54 können zusammen als Nanostrukturen 55 bezeichnet werden.
  • Die Finnen 66 und die Nanostrukturen 55 können durch jedwedes geeignete Verfahren strukturiert werden. Die Finnen 66 und die Nanostrukturen 55 können beispielsweise unter Verwendung von einem oder mehreren photolithographischen Prozessen strukturiert sein, einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithographie und selbstausrichtende Prozesse, was es ermöglicht Strukturen zu erzeugen, die beispielsweise kleinere Pitches aufweisen als was sich ansonsten unter Verwendung eines einzelnen, direkten Photolithographieprozesses erreichen lässt. In manchen Ausführungsformen wird eine Opferschicht unter Verwendung eines Photolithographieprozesses über einem Substrat gebildet und strukturiert. Entlang der strukturierten Opferschicht werden unter Verwendung eines selbstausrichtenden Prozesses Abstandshalter gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandshalter können dann zum Strukturieren der Finnen 66 verwendet werden.
  • 3 veranschaulicht die Finnen 66 in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P als zu veranschaulichenden Zwecken im Wesentlichen gleiche Breiten aufweisend. In manchen Ausführungsformen können Breiten der Finnen 66 in dem n-Typ-Bereich 50N größer oder dünner sein als Breiten der Finnen 66 in dem p-Typ-Bereich 50P. Ferner können die Finnen 66 und/oder die Nanostrukturen 55, obwohl jede der Finnen 66 und der Nanostrukturen 55 als durchgehende Breiten aufweisend veranschaulicht ist, in anderen Ausführungsformen konisch zulaufende Seitenwände aufweisen, so dass Breiten der Finnen 66 und/oder der Nanostrukturen 55 in eine Richtung zu dem Substrat 50 hin kontinuierlich zunehmen. In solchen Ausführungsformen kann jede der Nanostrukturen 55 eine andere Breite aufweisen und trapezförmig sein.
  • In 4 sind Flachgrabenisolationsbereiche (STI-Bereiche) 68 neben den Finnen 66 gebildet. Die STI-Bereiche 68 können durch Abscheiden eines Isolationsmaterials über dem Substrat 50, den Finnen 66 und den Nanostrukturen 55 und zwischen benachbarten einen der Finnen 66 gebildet werden. Das Isolationsmaterial kann ein Oxid sein, wie etwa Siliziumoxid, ein Nitrid, dergleichen oder eine Kombination davon, und es kann durch CVD mit hochdichtem Plasma (HDP-CVD), fließfähigem CVD (FCVD), dergleichen oder einer Kombination davon gebildet werden. Es können auch andere Isolationsmaterialien, die durch einen akzeptablen Prozess gebildet werden, verwendet werden. In der veranschaulichten Ausführungsform ist das Isolationsmaterial Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Nachdem das Isolationsmaterial gebildet wurde, kann ein Temperprozess durchgeführt werden. In einer Ausführungsform wird das Isolationsmaterial derart gebildet, dass überschüssiges Isolationsmaterial die Nanostrukturen 55 bedeckt. Obwohl das Isolationsmaterial als eine einzelne Schicht veranschaulicht ist, können manche Ausführungsformen mehrere Schichten nutzen. In manchen Ausführungsformen kann beispielsweise zunächst eine Auskleidung (nicht separat veranschaulicht) entlang Flächen des Substrats 50, der Finnen 66 und der Nanostrukturen 55 gebildet werden. Danach kann ein Füllmaterial, wie etwa die vorstehend diskutierten, über der Auskleidung gebildet werden.
  • Dann wird auf das Isolationsmaterial ein Entfernungsprozess angewendet, um überschüssiges Isolationsmaterial über den Nanostrukturen 55 zu entfernen. In manchen Ausführungsformen kann ein Planarisierungsprozess, wie etwa ein chemisch-mechanisches Polieren (CMP), ein Rückätzverfahren, Kombinationen davon oder dergleichen verwendet werden. Der Planarisierungsprozess legt die Nanostrukturen 55 derart frei, dass obere Flächen der Nanostrukturen 55 und das Isolationsmaterial nach Abschluss des Planarisierungsprozesses bündig sind.
  • Das Isolationsmaterial wird dann ausgespart, um die STI-Bereiche 68 zu bilden. Das Isolationsmaterial wird derart ausgespart, dass die Nanostrukturen 55 und obere Abschnitte der Finnen 66 in dem n-Typ-Bereich 50N und in dem p-Typ-Bereich 50P von zwischen benachbarten STI-Bereichen 68 hervorstehen. Ferner können die oberen Flächen der STI-Bereiche 68 flache Flächen aufweisen, wie veranschaulicht, konvexe Flächen, konkave Flächen (wie etwa gewölbt) oder Kombinationen davon. Die oberen Flächen der STI-Bereiche 68 können durch ein geeignetes Ätzen flach, konvex und/oder konkav gebildet werden. Die STI-Bereiche 68 können unter Verwendung eines akzeptablen Ätzprozesses ausgespart werden, wie etwa einem, der selektiv für das Material des Isolationsmaterials ist (z.B. Ätzen des Materials des Isolationsmaterials mit einer schnelleren Rate als der für das Material der Finnen 66 und der Nanostrukturen 55). Es kann beispielsweise eine Oxidentfernung unter Verwendung von verdünnter Flusssäure (dHF) durchgeführt werden.
  • Der unter Bezugnahme auf 2 bis 4 oben beschriebene Prozess ist nur ein Beispiel dafür, wie die Finnen 66 und die Nanostrukturen 55 gebildet werden können. In manchen Ausführungsformen können die Finnen 66 und/oder die Nanostrukturen 55 unter Verwendung einer Maske und eines epitaktischen Zuchtprozesses gebildet werden. Es kann beispielsweise eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden und es können Gräben durch die dielektrische Schicht geätzt werden, um das darunter liegende Substrat 50 freizulegen. Epitaktische Strukturen können in den Gräben epitaktisch gezüchtet werden und die dielektrische Schicht kann derart ausgespart werden, dass die epitaktischen Strukturen aus der dielektrischen Schicht hervorstehen, um die Finnen 66 und/oder die Nanostrukturen 55 zu bilden. Die epitaktischen Strukturen können die vorstehend diskutierten alternierenden Halbleitermaterialien umfassen, wie etwa die ersten Halbleitermaterialien und die zweiten Halbleitermaterialien. In manchen Ausführungsformen, in denen epitaktische Strukturen epitaktisch gezüchtet werden, können die epitaktisch gezüchteten Materialien in situ während des Züchtens dotiert werden, was vorherigen und/oder nachfolgenden Implantationen zuvorkommen kann, obwohl in situ und Implantationsdotierung auch zusammen verwendet werden können.
  • Darüber hinaus sind die ersten Halbleiterschichten 51 (und die resultierenden ersten Nanostrukturen 52) und die zweiten Halbleiterschichten 53 (und die resultierenden zweiten Nanostrukturen 54) ausschließlich zu Veranschaulichungszwecken hierin als die gleichen Materialien in dem p-Typ-Bereich 50P und dem n-Typ-Bereich 50N umfassend veranschaulicht und diskutiert. In manchen Ausführungsformen können eine oder beide der ersten Halbleiterschichten 51 und der zweiten Halbleiterschichten 53 unterschiedliche Materialien sein oder in einer anderen Reihenfolge in dem p-Typ-Bereich 50P und dem n-Typ-Bereich 50N gebildet sein.
  • Ferner können in 4 entsprechende Wannen (nicht separat veranschaulicht) in den Finnen 66, den Nanostrukturen 55 und/oder den STI-Bereichen 68 gebildet sein. In Ausführungsformen mit unterschiedlichen Wannentypen lassen sich die unterschiedlichen Implantationsschritte für den n-Typ-Bereich 50N und den p-Typ-Bereich 50P unter Verwendung eines Photoresists oder anderer Masken (nicht separat veranschaulicht) erreichen. Es kann beispielsweise ein Photoresist über den Finnen 66 und den STI-Bereichen 68 in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P gebildet werden. Der Photoresist ist strukturiert, um den p-Typ-Bereich 50P freizulegen. Der Photoresist lässt sich unter Verwendung einer Spin-on-Technik bilden und kann unter Verwendung akzeptabler Photolithographietechniken strukturiert werden. Nachdem der Photoresist strukturiert wurde, wird eine n-Typ-Verunreinigungsimplantation in dem p-Typ-Bereich 50P durchgeführt und der Photoresist kann als eine Maske wirken, um zu verhindern, dass n-Typ-Verunreinigungen in den n-Typ-Bereich 50N implantiert werden. Die n-Typ-Verunreinigungen können Phosphor, Arsen, Antimon oder dergleichen sein, die in den Bereich bis zu einer Konzentration in einem Bereich von etwa 1013 Atomen/cm3 bis etwa 1014 Atomen/cm3 implantiert werden. Nach der Implantation wird der Photoresist entfernt, beispielsweise durch einen akzeptablen Veraschungsprozess.
  • Nach oder vor der Implantation des p-Typ-Bereichs 50P werden ein Photoresist oder andere Masken (nicht separat veranschaulicht) über den Finnen 66, den Nanostrukturen 55 und den STI-Bereichen 68 in dem p-Typ-Bereich 50P und dem n-Typ-Bereich 50N gebildet. Der Photoresist wird strukturiert, um den n-Typ-Bereich 50N freizulegen. Der Photoresist lässt sich unter Verwendung einer Spin-on-Technik bilden und kann unter Verwendung akzeptabler Photolithographietechniken strukturiert werden. Nachdem der Photoresist strukturiert wurde, wird eine p-Typ-Verunreinigungsimplantation in dem n-Typ-Bereich 50N durchgeführt und der Photoresist kann als eine Maske wirken, um zu verhindern, dass p-Typ-Verunreinigungen in den p-Typ-Bereich 50P implantiert werden. Die p-Typ-Verunreinigungen können Boron, Boronfluorid, Indium oder dergleichen sein, die in den Bereich bis zu einer Konzentration in einem Bereich von etwa 1013 Atomen/cm3 bis etwa 1014 Atomen/cm3 implantiert werden. Nach der Implantation kann der Photoresist entfernt werden, beispielsweise durch einen akzeptablen Veraschungsprozess.
  • Nach den Implantationen des n-Typ-Bereichs 50N und des p-Typ-Bereichs 50P wird ein Temperprozess durchgeführt, um Implantierungsschäden zu reparieren, und um die implantierten p-Typ- und/oder n-Typ-Unreinheiten zu aktivieren. In manchen Ausführungsformen können die gezüchteten Materialien der epitaktischen Finnen in-situ während des Züchtens dotiert werden, was den Implantationen zuvorkommen kann, obwohl in-situ und Implantationsdotierung zusammen verwendet werden können.
  • In 5 wird auf den Finnen 66 und/oder den Nanostrukturen 55 eine dielektrische Dummy-Schicht 70 gebildet. Die dielektrische Dummy-Schicht 70 kann beispielsweise Siliziumoxid, Siliziumnitrid, eine Kombination davon oder dergleichen sein und sie kann gemäß akzeptablen Techniken abgeschieden oder thermisch gezüchtet werden. Über der dielektrischen Dummy-Gate-Schicht 70 wird eine Dummy-Gate-Schicht 72 gebildet und über der Dummy-Gate-Schicht 72 wird eine Maskenschicht 74 gebildet. Die Dummy-Gate-Schicht 72 kann über der dielektrischen Dummy-Schicht 70 abgeschieden und dann planarisiert werden, wie etwa durch einen CMP-Prozess. Die Maskenschicht 74 kann über der Dummy-Gate-Schicht 72 abgeschieden werden. Die Dummy-Gate-Schicht 72 kann ein leitfähiges oder nicht leitfähiges Material sein und kann aus einer Gruppe ausgewählt werden, die amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Silizium-Germanium (poly-SiGe), Metallnitride, Metallsilizide, Metalloxide und Metalle beinhaltet. Die Dummy-Gate-Schicht 72 kann durch physikalische Dampfabscheidung (Physical Vapor Deposition; PVD), CVD, Sputter-Abscheidung oder andere bekannte Techniken zum Abscheiden des ausgewählten Materials abgeschieden werden. Die Dummy-Gate-Schicht 72 kann aus anderen Materialien, die eine hohe Ätzselektivität aufweisen, aus dem Ätzen der Isolationsbereiche hergestellt werden. Die Maskenschicht 74 kann beispielsweise Siliziumnitrid, Siliziumoxynitrid oder dergleichen enthalten. In diesem Beispiel werden eine einzelne Dummy-Gate-Schicht 72 und eine einzelne Maskenschicht 74 über dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P gebildet. Es ist zu beachten, dass die dielektrische Dummy-Schicht 70 zur Veranschaulichung als nur die Finnen 66 und die Nanostrukturen 55 abdeckend gezeigt wird. In manchen Ausführungsformen kann die dielektrische Dummy-Schicht 70 derart abgeschieden werden, dass die dielektrische Dummy-Schicht 70 die STI-Bereiche 68 derart bedeckt, dass sich die dielektrische Dummy-Schicht 70 zwischen der Dummy-Gate-Schicht 72 und den STI-Bereichen 68 erstreckt.
  • 6A bis 22B veranschaulichen verschiedene zusätzliche Schritte bei der Herstellung von Ausführungsformvorrichtungen. 6A bis 22B veranschaulichen Merkmale entweder in dem n-Typ-Bereich 50N oder dem p-Typ-Bereich 50P. In 6A bis 6C kann die Maskenschicht 74 (siehe 5) unter Verwendung akzeptabler Photolithographie- und Ätztechniken strukturiert werden, um Masken 78 zu bilden. Die Struktur der Masken 78 kann dann auf die Dummy-Gate-Schicht 72 und die dielektrische Dummy-Schicht 70 übertragen werden, um jeweils Dummy-Gates 76 und Dummy-Gate-Dielektrika 71 zu bilden. Die Dummy-Gates 76 decken jeweilige Kanalbereiche der Finnen 66 und Abschnitte der zweiten Nanostrukturen 54, die Kanalbereiche bilden, ab. Die Struktur der Masken 78 kann verwendet werden, um jedes der Dummy-Gates 76 von angrenzenden Dummy-Gates 76 zu trennen. Die Dummy-Gates 76 können Längsrichtungen senkrecht zu Längsrichtungen jeweiliger einer der Finnen 66 aufweisen.
  • In 7A bis 7C werden eine erste Abstandshalterschicht 80 und eine zweite Abstandshalterschicht 82 über den in 6A bis 6C veranschaulichten Strukturen gebildet. Die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 werden anschließend strukturiert, um als Abstandshalter zum Bilden selbstausgerichteter Source-/Drain-Bereiche zu dienen. In 7A bis 7C wird die erste Abstandshalterschicht 80 auf oberen Flächen der STI-Bereiche 68 gebildet; Seitenflächen der Finnen 66, den Dummy-Gate-Dielektrika 71 und den Dummy-Gates 76; und oberen Flächen und Seitenflächen der Nanostrukturen 55 und den Masken 78. Die zweite Abstandshalterschicht 82 wird über der ersten Abstandshalterschicht 80 abgeschieden. Die erste Abstandshalterschicht 80 kann aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen unter Verwendung von Techniken, wie etwa thermischer Oxidation oder durch CVD-, ALD-Abscheidung oder dergleichen, gebildet sein. Die zweite Abstandshalterschicht 82 kann aus einem Material mit einer anderen Ätzrate als das Material der ersten Abstandshalterschicht 80 gebildet sein, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen, und es kann durch CVD, ALD oder dergleichen abgeschieden werden. Die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 können dielektrische Materialien mit niedrigem k-Wert umfassen.
  • Nachdem die erste Abstandshalterschicht 80 gebildet wurde und bevor die zweite Abstandshalterschicht 82 gebildet wird, können Implantationen für leicht dotierte Source-/Drain-Bereiche (LDD-Bereiche) (nicht separat veranschaulicht) gebildet werden. In Ausführungsformen mit unterschiedlichen Vorrichtungstypen kann, ähnlich den vorstehend für 4 diskutierten Implantierungen, eine Maske, wie etwa ein Photoresist, über dem n-Typ-Bereich 50N gebildet werden, während der p-Typ-Bereich 50P freigelegt wird, und angemessene Typunreinheiten (z.B. p-Typ) können in die freiliegenden Finnen 66 und die freiliegenden Nanostrukturen 55 in dem p-Typ-Bereich 50P implantiert werden. Die Maske kann dann entfernt werden. Anschließend kann eine Maske, wie etwa ein Photoresist, über dem p-Typ-Bereich 50P gebildet werden, während der n-Typ-Bereich 50N freigelegt wird, und entsprechende Typunreinheiten (z.B. n-Typ) können in die freigelegten Finnen 66 und die freiliegenden Nanostrukturen 55 in dem n-Typ-Bereich 50N implantiert werden. Die Maske kann dann entfernt werden. Die n-Typ-Unreinheiten können jedwede der n-Typ-Unreinheiten sein, die vorstehend diskutiert wurden, und die p-Typ-Unreinheiten können jedwede der p-Typ-Unreinheiten sein, die vorstehend diskutiert wurden. Die leicht dotierten Source-/Drain-Bereiche können eine Konzentration von Unreinheiten in einem Bereich von etwa 1×1015 Atomen/cm3 bis etwa 1×1019 Atomen/cm3 aufweisen. Es kann ein Temperprozess verwendet werden, um Implantationsschäden zu reparieren und um die implantierten Unreinheiten zu aktivieren.
  • In 8A bis 8C werden die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 geätzt, um jeweils erste Abstandshalter 81 und zweite Abstandshalter 83 zu bilden. Wie nachfolgend noch ausführlicher erläutert wird, wirken die ersten Anstandshalter 81 und die zweiten Abstandshalter 83, um nachfolgend gebildete Source-/ Drain-Bereiche selbstauszurichten sowie um Seitenwände der Finnen 66 und/oder die Nanostrukturen 55 während nachfolgender Verarbeitung zu schützen. Die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 können unter Verwendung geeigneter Ätzprozesse geätzt werden, wie etwa isotropen Ätzprozessen (z.B. Nassätzprozesse), anisotropen Ätzprozessen (z.B. Trockenätzprozesse) oder dergleichen. In manchen Ausführungsformen weist das Material der zweiten Abstandshalterschicht 82 eine andere Ätzrate auf als das Material der ersten Abstandshalterschicht 80, so dass die erste Abstandshalterschicht 80 als eine Ätzstoppschicht beim Strukturieren der zweiten Abstandshalterschicht 82 wirken kann. Die zweite Abstandshalterschicht 82 kann beim Strukturieren der ersten Abstandshalterschicht 80 als eine Maske wirken. Die zweite Abstandshalterschicht 82 kann beispielsweise unter Verwendung eines anisotropen Ätzprozesses geätzt werden, bei dem die erste Abstandshalterschicht 80 als eine Ätzstoppschicht wirkt. Verbleibende Abschnitte der zweiten Abstandshalterschicht 82 bilden die zweiten Abstandshalter 83, wie in 8B und 8C veranschaulicht. Die zweiten Abstandshalter 83 wirken dann als eine Maske, während Ätzen freiliegender Abschnitte der ersten Abstandshalterschicht 80 die ersten Abstandshalter 81 bildet, wie in 8B und 8C veranschaulicht.
  • Wie in 8B veranschaulicht, sind die ersten Abstandshalter 81 und die zweiten Abstandshalter 83 auf Seitenwänden der Masken 78, der Dummy-Gates 76 und der Dummy-Gate-Dielektrika 71 angeordnet. In manchen Ausführungsformen können obere Flächen der ersten Abstandshalter 81 und die zweiten Abstandshalter 83 unter oberen Flächen der Masken 78 angeordnet sein. Die oberen Flächen der ersten Abstandshalter 81 und der zweiten Abstandshalter 83 können auf gleicher Höhe mit oder über den oberen Flächen der Masken 78 angeordnet sein. In manchen Ausführungsformen können die zweiten Abstandshalter 83 von über den ersten Abstandshaltern 81 neben den Masken 78, den Dummy-Gates 76 und den Dummy-Gate-Dielektrika 71 entfernt werden. Wie in 8C veranschaulicht, sind die ersten Abstandshalter 81 und die zweiten Abstandshalter 83 an Seitenwänden der Finnen 66 und/oder Nanostrukturen 55 angeordnet.
  • Es ist zu beachten, dass die vorstehende Offenbarung im Allgemeinen einen Prozess zum Bilden von Abstandshaltern und LDD-Bereichen beschreibt. Es können auch andere Prozesse und Sequenzen verwendet werden. Es können beispielsweise weniger oder zusätzliche Abstandshalter verwendet werden, es kann eine andere Schrittsequenz verwendet werden (z.B. können die ersten Abstandshalter 81 vor Abscheiden der zweiten Abstandshalterschicht 82 strukturiert werden), zusätzliche Abstandshalter können gebildet und entfernt werden und/oder dergleichen. Darüber hinaus können die n-Typ- und p-Typ-Vorrichtungen unter Verwendung anderer Strukturen und Schritte gebildet werden.
  • In 9A bis 9C werden erste Aussparungen 87 in den Finnen 66, den Nanostrukturen 55 und dem Substrat 50 gebildet. Anschließend werden in den ersten Aussparungen 87 epitaktische Source-/Drain-Bereiche gebildet. Die ersten Aussparungen 87 können sich durch die ersten Nanostrukturen 52 und die zweiten Nanostrukturen 54 und in das Substrat 50 erstrecken. In manchen Ausführungsformen können obere Flächen der STI-Bereiche 68 auf gleicher Höhe mit Bodenflächen der ersten Aussparungen 87 liegen. In manchen Ausführungsformen können die oberen Flächen der STI-Bereiche 68 über oder unter den Bodenflächen der ersten Aussparungen 87 liegen. Die ersten Aussparungen 87 können durch Ätzen der Finnen 66, der Nanostrukturen 55 und des Substrats 50 unter Verwendung anisotroper Ätzprozesse, wie etwa RIE, NBE oder dergleichen, gebildet werden. Die ersten Abstandshalter 81, die zweiten Abstandshalter 83 und die Masken 78 maskieren Abschnitte der Finnen 66, der Nanostrukturen 55 und des Substrats 50 während der Ätzprozesse, die zum Bilden der ersten Aussparungen 87 verwendet werden. Es können ein einzelner Ätzprozess oder mehrere Ätzprozesse zum Ätzen jeder Schicht der Nanostrukturen 55 und/oder der Finnen 66 verwendet werden. Es können zeitgetaktete Ätzprozesse verwendet werden, um das Ätzen zu stoppen, nachdem die ersten Aussparungen 87 eine gewünschte Tiefe erreicht haben.
  • In 10A bis 10B werden Abschnitte der Seitenwände der Schichten des Mehrschichtstapels 64, der aus den ersten Halbleitermaterialien gebildet ist (z.B. die ersten Nanostrukturen 52), die durch die ersten Aussparungen 87 freigelegt wurden, geätzt, um Seitenwandaussparungen 88 zu bilden. Obwohl Seitenwände der ersten Nanostrukturen 52 neben den Seitenwandaussparungen 88 in 10B als gerade verlaufend veranschaulicht sind, können die Seitenwände auch konkav oder konvex sein. Die Seitenwände können unter Verwendung isotroper Ätzprozesse geätzt werden, wie etwa Nassätzen oder dergleichen. In einer Ausführungsform, in der die ersten Nanostrukturen 52 beispielsweise SiGe enthalten und die zweiten Nanostrukturen 54 beispielsweise Si oder SiC enthalten, kann ein Trockenätzprozess mit Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen zum Ätzen von Seitenwänden der ersten Nanostrukturen 52 verwendet werden.
  • In 11A bis 11C sind erste innere Abstandshalter 90 in der Seitenwandvertiefung 88 ausgebildet. Die ersten inneren Abstandshalter 90 können durch Abscheiden einer inneren Abstandshalterschicht (nicht separat veranschaulicht) über den in 10A bis 10B veranschaulichten Figuren gebildet werden. Die ersten inneren Abstandshalter 90 dienen als Isolationsmerkmale zwischen später gebildeten Source-/Drain-Bereichen und später gebildeten Gate-Strukturen. Wie unten noch ausführlicher erläutert, werden die Source-/Drain-Bereiche in den ersten Aussparungen 87 gebildet, während die ersten Nanostrukturen 52 durch die Gate-Strukturen ersetzt werden.
  • Die innere Abstandshalterschicht kann durch einen konformen Abscheidungsprozess abgeschieden werden, wie etwa CVD, ALD oder dergleichen. Die innere Abstandshalterschicht kann ein Material, wie etwa Siliziumnitrid oder Siliziumoxynitrid, umfassen, obwohl jedwedes geeignete Material verwendet werden kann, wie etwa Materialien mit einer niedrigen dielektrischen Konstante (niedriger k-Wert) mit einem k-Wert kleiner als etwa 3,5. Die innere Abstandshalterschicht kann dann zum Bilden der ersten inneren Abstandshalter 90 anisotrop geätzt werden. Obwohl äußere Seitenwände der ersten inneren Abstandshalter 90 als mit den Seitenwänden der zweiten Nanostrukturen 54 bündig veranschaulicht sind, können sich die äußeren Seitenwände der ersten inneren Abstandshalter 90 über die Seitenwände der zweiten Nanostrukturen 54 hinaus erstrecken oder von diesen zurückversetzt sein.
  • Obwohl die äußeren Seitenwände der ersten inneren Abstandshalter 90 in 11B gerade veranschaulicht sind, können die äußeren Seitenwände der ersten inneren Abstandshalter 90 darüber hinaus konkav oder konvex sein. 11C veranschaulicht beispielhaft eine Ausführungsform, bei der Seitenwände der ersten Nanostrukturen 52 konkav, äußere Seitenwände der ersten inneren Abstandshalter 90 konkav und die ersten inneren Abstandshalter 90 von Seitenwänden der zweiten Nanostrukturen 54 ausgespart sind. Die innere Abstandshalterschicht kann durch einen anisotropen Ätzprozess geätzt werden, wie etwa RIE, NBE oder dergleichen. Die ersten inneren Abstandshalter 90 können verwendet werden, um Beschädigung später gebildeter Source-/Drain-Bereiche (wie etwa der epitaktischen Source-/Drain-Bereiche 92, die unten unter Bezugnahme auf 12A bis 12E erläutert werden) durch nachfolgende Ätzprozesse, wie etwa Ätzprozesse, die zur Bildung von Gate-Strukturen verwendet werden, zu vermeiden.
  • In 12A bis 12E werden in den ersten Aussparungen 87 epitaktische Source-/Drain-Bereiche 92 gebildet. In manchen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 92 Belastung auf die zweiten Nanostrukturen 54 ausüben, wodurch sich die Leistung verbessert. Wie in 12B veranschaulicht, werden die epitaktischen Source-/Drain-Bereiche 92 in den ersten Aussparungen 87 derart gebildet, dass jedes Dummy-Gate 76 zwischen jeweiligen benachbarten Paaren der epitaktischen Source-/Drain-Bereiche 92 angeordnet ist. In manchen Ausführungsformen werden die ersten Abstandshalter 81 und die zweiten Abstandshalter 83 dafür verwendet, die epitaktischen Source-/Drain-Bereiche 92 von den Dummy-Gates 76 zu trennen und die ersten inneren Abstandshalter 90 werden verwendet, um die epitaktischen Source-/Drain-Bereiche 92 von den ersten Nanostrukturen 52 um angemessene laterale Entfernungen zu trennen, so dass die epitaktischen Source-/Drain-Bereiche 92 nachfolgend gebildete Gates der resultierenden Nano-FETs nicht kurzschließen.
  • Die epitaktischen Source-/Drain-Bereiche 92 in dem n-Typ-Bereich 50N, z.B. der NMOS-Bereich, kann durch Maskieren des p-Typ-Bereichs 50P, z.B. des PMOS-Bereichs, gebildet werden. Dann werden die epitaktischen Source-/Drain-Bereiche 92 in den ersten Aussparungen 87 des n-Typ-Bereichs 50N epitaktisch gezüchtet. Die epitaktischen Source-/Drain-Bereiche 92 können jedes akzeptable Material umfassen, das für Nano-FETs vom n-Typ geeignet ist. Wenn die zweiten Nanostrukturen 54 beispielsweise Silizium sind, können die epitaktischen Source-/Drain-Bereiche 92 Materialien enthalten, die eine Zugbelastung auf die zweiten Nanostrukturen 54 ausüben, wie etwa Silizium, Siliziumkarbid, Phosphor-dotiertes Siliziumkarbid, Siliziumphosphid oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 92 können Flächen aufweisen, die von den jeweiligen oberen Flächen der Nanostrukturen 55 erhaben sind und sie können Facetten aufweisen.
  • Die epitaktischen Source-/Drain-Bereiche 92 in dem p-Typ-Bereich 50P, z.B. der PMOS-Bereich, kann durch Maskieren des n-Typ-Bereichs 50N, z.B. des NMOS-Bereichs, gebildet werden. Dann werden die epitaktischen Source-/Drain-Bereiche 92 in den ersten Aussparungen 87 des p-Typ-Bereichs 50P epitaktisch gezüchtet. Die epitaktischen Source-/Drain-Bereiche 92 können jedes akzeptable Material umfassen, das für Nano-FETs vom p-Typ geeignet ist. Wenn die zweiten Nanostrukturen 54 beispielsweise Silizium sind, können die epitaktischen Source-/Drain-Bereiche 92 Materialien umfassen, die eine Druckbelastung auf die zweiten Nanostrukturen 54 ausüben, wie etwa Silizium-Germanium, Boron-dotiertes Silizium-Germanium, Germanium, Germaniumzinn oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 92 können auch Flächen aufweisen, die von den jeweiligen oberen Flächen der Nanostrukturen 55 erhaben sind und sie können Facetten aufweisen.
  • Die epitaktischen Source-/Drain-Bereiche 92, die ersten Nanostrukturen 52, die zweiten Nanostrukturen 54 und/oder das Substrat 50 können mit Dotierstoffen implantiert werden, um Source-/Drain-Bereiche zu bilden, ähnlich wie in dem vorstehend diskutierten Prozess zum Bilden leicht dotierter Source-/Drain-Bereiche, gefolgt von einem Tempern. Die Source-/Drain-Bereiche können eine Unreinheitskonzentration von zwischen etwa 1×1019 Atomen/cm3 und etwa 1×1021 Atomen/cm3 aufweisen. Die Unreinheiten vom n-Typ und/oder p-Typ für Source-/Drain-Bereiche können jedwede der vorstehend diskutierten Unreinheiten sein.
  • In manchen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 92 in-situ während des Züchtens dotiert werden.
  • Als Resultat des Epitaxieprozesses, der zum Bilden der epitaktischen Source-/Drain-Bereiche 92 in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P verwendet wurden, weisen obere Flächen der epitaktischen Source-/Drain-Bereiche 92 Facetten auf, die sich seitlich nach außen über Seitenwände der Nanostrukturen 55 hinaus erstrecken. In manchen Ausführungsformen verursachen die Facetten, dass benachbarte epitaktische Source-/Drain-Bereiche 92 eines gleichen Nano-FET verschmelzen, wie in 12C veranschaulicht. In manchen Ausführungsformen bleiben benachbarte epitaktische Source-/Drain-Bereiche 92 nachdem der Epitaxieprozess abgeschlossen ist getrennt, wie in 12D veranschaulicht. In den in 12C und 12D veranschaulichten Ausführungsformen können die ersten Abstandshalter 81 über oberen Flächen der STI-Bereiche 68 gebildet werden und sie können das epitaktische Wachstum blockieren. In manchen Ausführungsformen kann der erste Abstandshalter 81 Abschnitte von Seitenwänden der Nanostrukturen 55 abdecken, was das epitaktische Wachstum weiter blockiert. In manchen Ausführungsformen kann das Abstandshalterätzmittel, das zum Bilden der ersten Abstandshalter 81 verwendet wird, angepasst werden, um das Abstandshaltermaterial zu entfernen, um es den epitaktischen Source-/Drain-Bereichen 92 zu ermöglichen, sich zu den oberen Flächen der STI-Bereiche 68 zu erstrecken.
  • Die epitaktischen Source-/Drain-Bereiche 92 können eine oder mehrere Halbleitermaterialschichten umfassen. Die epitaktischen Source-/Drain-Bereiche 92 können beispielsweise eine erste Halbleitermaterialschicht 92A, eine zweite Halbleitermaterialschicht 92B und eine dritte Halbleitermaterialschicht 92C umfassen. Für die epitaktischen Source-/Drain-Bereiche 92 kann eine beliebige Anzahl von Halbleitermaterialschichten verwendet werden. Jede von der ersten Halbleitermaterialschicht 92A, der zweiten Halbleitermaterialschicht 92B und der dritten Halbleitermaterialschicht 92C kann aus unterschiedlichen Halbleitermaterialien gebildet und mit unterschiedlichen Dotierstoffkonzentrationen dotiert sein. In manchen Ausführungsformen kann die erste Halbleitermaterialschicht 92A eine kleinere Dotierstoffkonzentration aufweisen als die zweite Halbleitermaterialschicht 92B und eine größere als die dritte Halbleitermaterialschicht 92C. In Ausführungsformen, in denen die epitaktischen Source-/Drain-Bereiche 92 drei Halbleitermaterialschichten umfassen, kann die erste Halbleitermaterialschicht 92A abgeschieden werden, die zweite Halbleitermaterialschicht 92B kann über der ersten Halbleitermaterialschicht 92A abgeschieden werden und die dritte Halbleitermaterialschicht 92C kann über der zweiten Halbleitermaterialschicht 92B abgeschieden werden.
  • 12E veranschaulicht eine Ausführungsform, bei der Seitenwände der ersten Nanostrukturen 52 konkav sind und äußere Seitenwände der ersten inneren Abstandshalter 90 konkav sind. Die ersten inneren Abstandshalter 90 sind von Seitenwänden der zweiten Nanostrukturen 54 ausgespart. Wie in 12E veranschaulicht, können die epitaktischen Source-/Drain-Bereiche 92 in Kontakt mit den ersten inneren Abstandshaltern 90 gebildet werden. Die epitaktischen Source-/Drain-Bereiche 92 können sich über die Seitenwände der zweiten Nanostrukturen 54 hinaus erstrecken.
  • In 13A und 13B wird eine erste dielektrische Zwischenschicht (ILD-Schicht) 96 über der in 12A und 12B veranschaulichten Struktur abgeschieden. Die erste ILD 96 kann aus einem dielektrischen Material gebildet werden und kann durch jedwedes geeignete Verfahren abgeschieden werden, wie etwa CVD, plasmaunterstütztes CVD (PECVD) oder FCVD. Dielektrische Materialien können Phosphosilikatglas (PSG), Borosilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen enthalten. Es können auch andere Isolationsmaterialien, die durch einen akzeptablen Prozess gebildet werden, verwendet werden. In manchen Ausführungsformen wird eine Kontaktätzstoppschicht (CESL) 94 zwischen der ersten ILD 96 und den epitaktischen Source-/Drain-Bereichen 92, den Masken 78 und den ersten Abstandshaltern 81 angeordnet. Die CESL 94 kann ein dielektrisches Material umfassen, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen, das eine andere Ätzrate aufweist als das Material der darüber liegenden ersten ILD 96.
  • In 14A und 14B kann ein Planarisierungsprozess, wie etwa ein CMP, durchgeführt werden, um die obere Fläche der ersten ILD 96 mit den oberen Flächen der Dummy-Gates 76 oder der Masken 78 zu nivellieren. Der Planarisierungsprozess kann auch die Masken 78 auf den Dummy-Gates 76 und Abschnitte der ersten Abstandshalter 81 entlang Seitenwänden der Masken 78 entfernen. Nach dem Planarisierungsprozess sind obere Flächen der Dummy-Gates 76, der ersten Abstandshalter 81 und der ersten ILD 96 innerhalb von Prozessvariationen bündig. Dementsprechend werden die oberen Flächen der Dummy-Gates 76 durch die erste ILD 96 freigelegt. In manchen Ausführungsformen können die Masken 78 verbleiben. In diesem Fall nivelliert der Planarisierungsprozess die obere Fläche der ersten ILD 96 mit oberen Flächen der Masken 78 und der ersten Abstandshalter 81.
  • In 15A und 15B werden die Dummy-Gates 76 und die Masken 78, sofern vorhanden, in einem oder mehreren Ätzschritten entfernt, so dass zweiten Aussparungen 98 gebildet werden. Abschnitte der Dummy-Gate-Dielektrika 71 in den zweiten Aussparungen 98 werden ebenfalls entfernt. In manchen Ausführungsformen werden die Dummy-Gates 76 und die Dummy-Gate-Dielektrika 71 durch einen anisotropen Trockenätzprozess entfernt. Der Ätzprozess kann beispielsweise einen Trockenätzprozess unter Verwendung von Reaktionsgas(en) umfassen, die die Dummy-Gates 76 selektiv schneller ätzen als die erste ILD 96, die CESL 94, die ersten Abstandshalter 81, die zweiten Abstandshalter 83, die Nanostrukturen 55 oder die STI-Bereiche 68. Jede der zweiten Aussparungen 98 legt Abschnitte von Nanostrukturen 55 frei und/oder überlagert diese, die in später fertiggestellten Nano-FETs als Kanalbereiche dienen. Die Abschnitte der Nanostrukturen 55, die als die Kanalbereiche dienen, sind zwischen benachbarten Paaren der epitaktischen Source-/Drain-Bereiche 92 angeordnet. Während der Entfernung können die Dummy-Gate-Dielektrika 71 als Ätzstoppschichten verwendet werden, wenn die Dummy-Gates 76 geätzt werden. Die Dummy-Gate-Dielektrika 71 können dann nach der Entfernung der Dummy-Gates 76 entfernt werden.
  • In 16A und 16B werden die ersten Nanostrukturen 52 entlang den zweiten Aussparungen 98 entfernt. Die ersten Nanostrukturen 52 können durch Durchführen eines isotropen Ätzprozesses, wie etwa Nassätzen oder dergleichen, unter Einsatz von Ätzmitteln, die selektiv für die Materialien der ersten Nanostrukturen 52 sind, entfernt werden, während die zweiten Nanostrukturen 54, das Substrat 50 und die STI-Bereiche 68 im Vergleich zu den ersten Nanostrukturen 52 relativ ungeätzt bleiben. In Ausführungsformen, in denen die ersten Nanostrukturen 52 beispielsweise SiGe enthalten und die zweiten Nanostrukturen 54A-54C beispielsweise Si oder SiC, kann Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen zum Entfernen der ersten Nanostrukturen 52 verwendet werden.
  • 17A bis 20D veranschaulichen verschiedene Schritte, die zum Bilden von Gate-Dielektrika 100 und Gate-Elektroden 105 (in 19A bis 20D veranschaulicht) für Ersatz-Gates verwendet werden. Die Gate-Elektroden 105 und die Gate-Dielektrika 100 lassen sich kollektiv als „Gate-Stapel“ bezeichnen. 17A, 18A, 19A und 20A veranschaulichen eine Detailansicht des Bereichs 101 in 16A. 17B, 18B, 19B und 20B veranschaulichen eine Detailansicht eines Bereichs 103 in 16B. 17A und 17B veranschaulichen Merkmale entweder in dem p-Typ-Bereich 50P oder dem n-Typ-Bereich 50N. 18A bis 19D veranschaulichen Merkmale in dem p-Typ-Bereich 50P und 20A und 20D veranschaulichen Merkmale in dem n-Typ-Bereich 50N. Die Gate-Dielektrika 100 und die Gate-Elektroden 105 können jeweils eine oder mehrere Subschichten aufweisen, die unten noch ausführlicher beschrieben werden.
  • In 17A und 17B werden Grenzflächenschichten 100A und erste dielektrische Schichten 100B gebildet. Die Grenzflächenschichten 100A und die ersten dielektrischen Schichten 100B lassen sich kollektiv als Gate-Dielektrika 100 bezeichnen. Die Grenzflächenschichten 100A können konform in den zweiten Aussparungen 98 gebildet oder abgeschieden werden, wie etwa obere Flächen und Seitenflächen der Finnen 66, und auf oberen Flächen, Seitenflächen und Bodenflächen der zweiten Nanostrukturen 54. Die Grenzflächenschichten 100A können auch auf oberen Flächen der ersten ILD 96, der CESL 94, den zweiten Abstandshaltern 83 und den STI-Bereichen 68 abgeschieden werden; auf oberen Flächen und Seitenflächen der ersten Abstandshalter 81; und auf Seitenflächen der ersten inneren Abstandshalter 90. Die Grenzflächenschichten 100A können dielektrische Materialien enthalten, wie etwa Siliziumoxid (SiO2), Siliziumoxynitrid (SiON) oder dergleichen. Die Grenzflächenschichten 100A können durch chemische Oxidation, thermische Oxidation, ALD, CVD oder dergleichen gebildet werden. Die Grenzflächenschichten 100A können Dicken von etwa 7Å bis etwa 30Å aufweisen.
  • Die ersten dielektrischen Schichten 100B können über der Grenzflächenschicht 100A unter Verwendung konformer Prozesse abgeschieden werden. Die ersten dielektrischen Schichten 100B können Materialien mit hoher dielektrischer Konstante (hoher k-Wert) (z.B. Materialien mit einem k-Wert größer als etwa 7,0) sein, wie etwa Hafniumoxid (HfO2), Aluminiumoxid (Al2O3), Lanthanidenoxid (LaO2), Titanoxid (TiO2), Hafnium-Zirkoniumoxid (HfZrO2), Tantaloxid (Ta2O3), Hafnium-Siliziumoxid (HfSiO4), Zirkoniumoxid (ZrO2), Zirkonium-Siliziumoxid (ZrSiO2), Kombinationen davon oder mehrere Schichten davon oder dergleichen. Die ersten dielektrischen Schichten 100B können durch ALD, CVD oder dergleichen gebildet werden. In manchen Ausführungsformen können die Grenzflächenschichten 100A weggelassen werden und die ersten dielektrischen Schichten 100B können direkt auf den Finnen 66 und den zweiten Nanostrukturen 54 abgeschieden werden. Die ersten dielektrischen Schichten 100B können Dicken von etwa 1 nm bis etwa 3 nm aufweisen.
  • Die Bildung der Grenzflächenschichten 100A und der ersten dielektrischen Schichten 100B in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P können gleichzeitig derart erfolgen, dass die Gate-Dielektrika 100 in jedem Bereich aus den gleichen Materialien gebildet werden. In manchen Ausführungsformen können die Gate-Dielektrika 100 in jedem Bereich durch unterschiedliche Prozesse derart gebildet werden, dass die Gate-Dielektrika 100 aus unterschiedlichen Materialien bestehen. Es können beim Einsatz unterschiedlicher Prozesse verschiedene Maskierungsschritte zum Maskieren und Freilegen geeigneter Bereiche verwendet werden.
  • In 18A und 18B wird eine erste Austrittsarbeitsstruktur 102 über den Gate-Dielektrika 100 in dem p-Typ-Bereich 50P abgeschieden. Der n-Typ-Bereich 50N kann maskiert sein, während die erste Austrittsarbeitsstruktur 102 in dem p-Typ-Bereich 50P abgeschieden wird. In manchen Ausführungsformen kann die erste Austrittsarbeitsstruktur 102 eine p-Typ-Austrittsarbeitsstruktur umfassen. Wie in 18A und 18B veranschaulicht, kann die erste Austrittsarbeitsstruktur 102 eine erste Austrittsarbeitsschicht 102A, eine zweite Austrittsarbeitsschicht 102B und eine dritte Austrittsarbeitsschicht 102C umfassen. Obwohl die erste Austrittsarbeitsstruktur 102 als drei Austrittsarbeitsschichten 102A-102C aufweisend veranschaulicht ist, können in der ersten Austrittsarbeitsstruktur 102 zusätzliche oder weniger Schichten enthalten sein.
  • Die erste Austrittsarbeitsschicht 102A kann über den ersten dielektrischen Schichten 100B unter Verwendung eines Prozesses, wie etwa ALD, CVD, PVD oder dergleichen abgeschieden werden. In manchen Ausführungsformen kann die erste Austrittsarbeitsschicht 102A ein p-Typ-Austrittsarbeitsmetall oder -material umfassen. Die erste Austrittsarbeitsschicht 102A kann ein Übergangsmetallnitrid enthalten, wie etwa Titannitrid (TiN), Tantalnitrid (TaN), Wolframnitrid (WN), Molybdännitrid (MoN), Vanadiumnitrid (VN) oder dergleichen. In Ausführungsformen, bei denen die erste Austrittsarbeitsschicht 102A Titannitrid enthält, kann die erste Austrittsarbeitsschicht 102A durch einen thermischen ALD-Prozess, der einen Titanchlorid (TiCl4)-Präkursor und einen Ammoniak (NH3)-Präkursor umfasst, durchgeführt bei einer Temperatur im Bereich von etwa 200 °C bis etwa 500 °C und bei einem Druck im Bereich von etwa 0,5 Torr bis etwa 40 Torr abgeschieden werden. Die erste Austrittsarbeitsschicht 102A kann zu einer Dicke im Bereich von etwa 0,5 nm bis etwa 2,5 nm abgeschieden werden.
  • Nachdem die erste Austrittsarbeitsschicht 102A abgeschieden wurde, kann ein Sauerstoffeinwirkungsprozess (auch als eine Sauerstoffbehandlung bezeichnet) an der ersten Austrittsarbeitsschicht 102A durchgeführt werden. Die erste Austrittsarbeitsschicht 102A kann in einer versiegelten Kammer abgeschieden werden und nach Abscheiden der ersten Austrittsarbeitsschicht 102A kann ein Vakuumbruch erfolgen. In manchen Ausführungsformen kann das Substrat 50 aus der Kammer, in der die erste Austrittsarbeitsschicht 102A abgeschieden wurde, entfernt werden, nachdem der Vakuumbruch erfolgt ist. In manchen Ausführungsformen kann der Sauerstoffeinwirkungsprozess durch Aussetzen der ersten Austrittsarbeitsschicht 102A gegenüber einer sauerstoffhaltigen Umgebungsatmosphäre, die die erste Austrittsarbeitsschicht 102A oxidiert, durchgeführt werden. Die sauerstoffhaltige Umgebungsatmosphäre kann Sauerstoff in einer Konzentration im Bereich von etwa 1 Atomprozent (at.%) bis etwa 99 at.% enthalten. Die erste Austrittsarbeitsschicht 102A kann der sauerstoffhaltigen Umgebungsatmosphäre für einen Zeitraum im Bereich von etwa 2 Stunden bis etwa 8 Stunden bei einer Temperatur im Bereich von etwa 25 °C bis etwa 600 °C und bei einem Druck im Bereich von etwa 0,1 Torr bis etwa 500 Torr ausgesetzt werden.
  • In manchen Ausführungsformen kann der Sauerstoffeinwirkungsprozess Aussetzen der ersten Austrittsarbeitsschicht 102A gegenüber einer Ozon- (O3)-haltigen Umgebung umfassen. Die ozonhaltige Umgebung kann Ozon in einer Konzentration im Bereich von etwa 1 at.% bis etwa 99 at.% umfassen. In manchen Ausführungsformen kann die erste Austrittsarbeitsschicht 102A einem Quellgas gegenüber ausgesetzt werden, welches Ozongas enthält, das mit einem Trägergas zugeführt wird. Das Trägergas kann ein Inertgas, wie etwa Argon (Ar), Helium (He), Xenon (Xe), Neon (Ne), Krypton (Kr), Radon (Rn), dergleichen, oder eine Kombination davon enthalten, und das Quellgas kann mit einer Flussrate im Bereich von etwa 500 sccm bis etwa 8.000 sccm zugeführt werden. Die erste Austrittsarbeitsschicht 102A kann der ozonhaltigen Umgebung für einen Zeitraum im Bereich von etwa 10 Sekunden bis etwa 300 Sekunden bei einer Temperatur im Bereich von etwa 25 °C bis etwa 600 °C und einem Druck im Bereich von etwa 0,1 Torr bis etwa 500 Torr ausgesetzt werden.
  • Durchführen des Sauerstoffeinwirkungsprozesses an der ersten Austrittsarbeitsschicht 102A erhöht eine Sauerstoffkonzentration der ersten Austrittsarbeitsschicht 102A. Dem Sauerstoffeinwirkungsprozess folgend kann eine Sauerstoffkonzentration in der ersten Austrittsarbeitsschicht 102A beispielsweise von etwa 15 at. % bis etwa 75 at. % oder von etwa 50 at. % bis etwa 60 at. % betragen. Die erste Austrittsarbeitsschicht 102A kann eine Gradientenkonzentration von Sauerstoff aufweisen, die neben den ersten dielektrischen Schichten 100B am höchsten ist und mit zunehmendem Abstand von den ersten dielektrischen Schichten 100B abnimmt. Weiterhin kann Sauerstoff durch die erste Austrittsarbeitsschicht 102A zu einer Grenzfläche zwischen der ersten Austrittsarbeitsschicht 102A und der ersten dielektrischen Schichten 100B diffundieren. Eine Sauerstoffkonzentration an der Grenzfläche zwischen der ersten Austrittsarbeitsschicht 102A und den ersten dielektrischen Schichten 100B kann in einem Bereich von etwa 50 at.% bis etwa 60 at.% liegen. Erhöhen der Sauerstoffkonzentration in der ersten Austrittsarbeitsschicht 102A und an der Grenzfläche zwischen der ersten Austrittsarbeitsschicht 102A und den ersten dielektrischen Schichten 100B auf die vorgeschriebenen Werte erhöht die effektive Austrittsarbeit in dem p-Typ-Bereich 50P, erhöht die Flachbandspannung (VFB) (z.B. etwa 30mV) und reduziert die Schwellenspannung (Vt) in fertiggestellten Vorrichtungen. Dies erhöht die Vorrichtungsgeschwindigkeit und verbessert Vorrichtungsleistung für die fertiggestellten Vorrichtungen. Durchführung des Sauerstoffeinwirkungsprozesses für eine Dauer in den vorstehend beschriebenen Bereichen stimmt eine Schwellenspannung des resultierenden Transistors um einen gewünschten Betrag ab. Durchführung des Sauerstoffeinwirkungsprozesses für eine Dauer außerhalb dieser Bereiche stimmt die Schwellenspannung des resultierenden Transistors möglicherweise nicht hinreichend ab, kann übermäßig viel Zeit in Anspruch nehmen oder dergleichen.
  • Nachdem die erste Austrittsarbeitsschicht 102A abgeschieden wurde und der Sauerstoffeinwirkungsprozess an der ersten Austrittsarbeitsschicht 102A durchgeführt wurde, kann die zweite Austrittsarbeitsschicht 102B über der ersten Austrittsarbeitsschicht 102A abgeschieden werden. Die zweite Austrittsarbeitsschicht 102B kann durch die gleichen Prozesse abgeschieden werden wie die erste Austrittsarbeitsschicht 102A und der Sauerstoffeinwirkungsprozess kann an der zweiten Austrittsarbeitsschicht 102B durchgeführt werden, nachdem die zweite Austrittsarbeitsschicht 102B abgeschieden wurde. Die zweite Austrittsarbeitsschicht 102B kann zu einer Dicke im Bereich von etwa 0,5 nm bis etwa 2,5 nm abgeschieden werden. Dem Sauerstoffeinwirkungsprozess folgend kann eine Sauerstoffkonzentration in der zweiten Austrittsarbeitsschicht 102B von etwa 15 at. % bis etwa 75 at. % oder von etwa 50 at. % bis etwa 60 at. % betragen. Die zweite Austrittsarbeitsschicht 102B kann eine Gradientenkonzentration von Sauerstoff aufweisen, die neben der ersten Austrittsarbeitsschicht 102A am höchsten ist und mit zunehmender Entfernung von der ersten Austrittsarbeitsschicht 102A abnimmt.
  • Nachdem die zweite Austrittsarbeitsschicht 102B abgeschieden wurde und der Sauerstoffeinwirkungsprozess an der zweiten Austrittsarbeitsschicht 102B durchgeführt wurde, kann die dritte Austrittsarbeitsschicht 102C über der zweiten Austrittsarbeitsschicht 102B abgeschieden werden. Die dritte Austrittsarbeitsschicht 102C kann durch die gleichen Prozesse abgeschieden werden wie die erste Austrittsarbeitsschicht 102A. In manchen Ausführungsformen kann der Sauerstoffeinwirkungsprozess an der dritten Austrittsarbeitsschicht 102C durchgeführt werden, nachdem die dritte Austrittsarbeitsschicht 102C abgeschieden wurde. In manchen Ausführungsformen kann der Sauerstoffeinwirkungsprozesse jedoch für die dritte Austrittsarbeitsschicht 102C weggelassen werden und es kann in der Abscheidungskammer, in der die dritte Austrittsarbeitsschicht 102C abgeschieden wurde, eine Vakuumumgebung aufrechterhalten werden. In Ausführungsformen, in denen der Sauerstoffeinwirkungsprozess an der dritten Austrittsarbeitsschicht 102C nicht durchgeführt wird, kann die dritte Austrittsarbeitsschicht 102C eine niedrigere Sauerstoffkonzentration aufweisen als entweder die erste Austrittsarbeitsschicht 102A oder die zweite Austrittsarbeitsschicht 102B. Die dritte Austrittsarbeitsschicht 102C kann zu einer Dicke im Bereich von etwa 0,5 nm bis etwa 2,5 nm abgeschieden werden. Eine Sauerstoffkonzentration in der dritten Austrittsarbeitsschicht 102C kann von etwa 15 at. % bis etwa 75 at. % oder von etwa 50 at. % bis etwa 60 at. % betragen.
  • Wie in 18A veranschaulicht, können Abschnitte der dritten Austrittsarbeitsschicht 102C, die neben einer der Finnen 66 abgeschieden wurden, und die zweiten Nanostrukturen 54 miteinander verschmelzen. Die dritte Austrittsarbeitsschicht 102C kann Räume füllen, die zwischen Abschnitten der zweiten Austrittsarbeitsschicht 102B, die auf benachbarten einen der Finnen 66 abgeschieden wurden, und den zweiten Nanostrukturen 54 (z.B. in einem inneren Schichtbereich) verblieben sind. Obwohl die erste Austrittsarbeitsstruktur 102 als drei Austrittsarbeitsschichten aufweisend veranschaulicht und beschrieben ist, kann die erste Austrittsarbeitsstruktur 102 eine beliebige Anzahl von Austrittsarbeitsschichten enthalten. Die letzte Schicht der ersten Austrittsarbeitsstruktur 102 kann eine verschmolzene Struktur sein, die die Räume zwischen benachbarten einen der Finnen 66 und den zweiten Nanostrukturen 54 (z.B. in dem inneren Schichtbereich) füllt.
  • 18C veranschaulicht ein SIMS-Diagramm (Sekundärionen-Massenspektrometrie-Diagramm) der Grenzflächenschichten 100A, der ersten dielektrischen Schichten 100B und der ersten Austrittsarbeitsstruktur 102. In einer Ausführungsform 200 wird der Sauerstoffeinwirkungsprozess an der ersten Austrittsarbeitsstruktur 102 durchgeführt, während in einer Ausführungsform 202 die erste Austrittsarbeitsstruktur 102 ohne Durchführung des Sauerstoffeinwirkungsprozesses daran abgeschieden wird. Die y-Achse zeigt die relative Sauerstoffsättigung, die durch die SIMS erkannt wurde, während die x-Achse die relative Position des Sauerstoffs innerhalb der Grenzflächenschichten 100A, der ersten dielektrischen Schichten 100B und der ersten Austrittsarbeitsstruktur 102 belegt. Wie in 18C veranschaulicht, erhöht Durchführung des Sauerstoffeinwirkungsprozesses in der Ausführungsform 200 die Sauerstoffkonzentration in der ersten Austrittsarbeitsstruktur 102 und an einer Grenzfläche zwischen der ersten Austrittsarbeitsstruktur 102 und den ersten dielektrischen Schichten 100B relativ zu der Ausführungsform 202. Die Sauerstoffkonzentration in der ersten Austrittsarbeitsstruktur 102 kann mit zunehmendem Abstand von den ersten dielektrischen Schichten 100B abnehmen. Die Sauerstoffkonzentration in den ersten dielektrischen Schichten 100B kann von der Grenzfläche mit der ersten Austrittsarbeitsstruktur 102 zu einem Maximum etwa auf halbem Weg durch die Dicke der ersten dielektrischen Schichten 100B zunehmen und dann zu der Grenzfläche mit den Grenzflächenschichten 100A abnehmen. Die Sauerstoffkonzentration in den Grenzflächenschichten 100A kann in der Ausführungsform 200 niedriger sein als in der Ausführungsform 202 und kann mit zunehmender Entfernung von den ersten dielektrischen Schichten 100B abnehmen.
  • Durchführen der vorstehend beschriebenen iterativen Abscheidung und des Sauerstoffeinwirkungsprozesses zum Bilden der ersten Austrittsarbeitsstruktur 102 in dem p-Typ-Bereich 50P, der die erste Austrittsarbeitsschicht 102A, die zweite Austrittsarbeitsschicht 102B und die dritte Austrittsarbeitsschicht 102C umfasst, erhöht die Sauerstoffkonzentration in der ersten Austrittsarbeitsstruktur 102 und an der Grenzfläche zwischen der ersten Austrittsarbeitsstruktur 102 und den ersten dielektrischen Schichten 100B. Dies erhöht die effektive Austrittsarbeit in dem p-Typ-Bereich 50P, erhöht die Flachbandspannung (VFB) (z.B. etwa 30mV) und reduziert die Schwellenspannung (Vt) in fertiggestellten Vorrichtungen. Dies erhöht die Vorrichtungsgeschwindigkeit und verbessert Vorrichtungsleistung für die fertiggestellten Vorrichtungen.
  • In 19A bis 19D werden eine Haftschicht 104 und ein Füllmaterial 106 über der ersten Austrittsarbeitsstruktur 102 abgeschieden. Die Kombination der ersten Austrittsarbeitsstruktur 102 (einschließlich der ersten Austrittsarbeitsschicht 102A, der zweiten Austrittsarbeitsschicht 102B und der dritten Austrittsarbeitsschicht 102C), die Haftschicht 104 und das Füllmaterial 106 bildet Gate-Elektroden 105 in dem p-Typ-Bereich 50P.
  • Die Haftschicht 104 kann konform über der ersten Austrittsarbeitsstruktur 102 abgeschieden werden. Die Haftschicht 104 kann aus einem leitfähigem Material gebildet werden, wie etwa Titannitrid, Tantalnitrid oder dergleichen, das durch CVD, ALD, PECVD, PVD oder dergleichen abgeschieden werden kann. Die Haftschicht 104 lässt sich als eine Klebeschicht bezeichnen und kann dazu verwendet werden, die Haftung zwischen dem später abgeschiedenem Füllmaterial 106 und der ersten Austrittsarbeitsstruktur 102 zu verbessern. Die Haftschicht 104 kann optional sein und in manchen Ausführungsformen weggelassen werden. Die Haftschicht 104 kann zu einer Dicke im Bereich von etwa 1 nm bis etwa 15 nm abgeschieden werden. Die Haftschicht 104 kann über der dritten Austrittsarbeitsschicht 102C in der gleichen Abscheidungskammer abgeschieden werden, in der auch die dritte Austrittsarbeitsschicht 102C abgeschieden wird, und ohne Brechen eines Vakuums der Abscheidungskammer.
  • Das Füllmaterial 106 wird über der Haftschicht 104 abgeschieden. In manchen Ausführungsformen kann das Füllmaterial 106 aus einem leitfähigem Material geformt werden, wie etwa Wolfram (W), Aluminium (Al), Kobalt (Co), Ruthenium (Ru), Kombinationen davon oder dergleichen. Das Füllmaterial 106 kann durch CVD, ALD, PECVD, PVD oder dergleichen abgeschieden werden. Das Füllmaterial 106 füllt die verbleibenden Abschnitte der zweiten Aussparungen 98, z.B. Abschnitte der zweiten Aussparungen 98, die nicht durch die Gate-Dielektrika 100 gefüllt werden, die erste Austrittsarbeitsstruktur 102 und die Haftschicht 104.
  • Wie in 19C und 19D veranschaulicht, kann, nachdem das Füllmaterial 106 abgeschieden wurde, ein Planarisierungsprozess an den Gate-Dielektrika 100, der ersten Austrittsarbeitsstruktur 102, der Haftschicht 104 und dem Füllmaterial 106 derart durchgeführt werden, dass obere Flächen der Gate-Elektroden 105 mit oberen Flächen der ersten ILD 96, der CESL 94, der ersten Abstandshalter 81 und der zweiten Abstandshalter 83 bündig sind. Der Planarisierungsprozess kann ein chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, eine Kombination davon oder dergleichen sein.
  • In 20A bis 20D werden eine zweite Austrittsarbeitsstruktur 107, die Haftschicht 104 und das Füllmaterial 106 in dem n-Typ-Bereich 5oN gebildet. Der p-Typ-Bereich 50P kann maskiert sein, während die zweite Austrittsarbeitsstruktur 107 in dem n-Typ-Bereich 50N abgeschieden wird. Die Kombination aus der zweiten Austrittsarbeitsstruktur 107, der Haftschicht 104 und dem Füllmaterial 106 bildet Gate-Elektroden 105 in dem n-Typ-Bereich 50N.
  • Die zweite Austrittsarbeitsstruktur 107 kann konform über den Gate-Dielektrika 100 abgeschieden werden. In manchen Ausführungsformen kann die zweite Austrittsarbeitsstruktur 107 ein n-Typ-Austrittsarbeitsmaterial enthalten. Die zweite Austrittsarbeitsstruktur 107 kann aus einem leitfähigem Material gebildet werden, wie etwa Titanaluminium (TiAl), Titanaluminiumcarbid (TiAlC), Tantalaluminium (TaAl), Tantalcarbid (TaC), Kombinationen davon oder dergleichen, die durch CVD, ALD, PECVD, PVD oder dergleichen abgeschieden werden können. Die zweite Austrittsarbeitsstruktur 107 kann zu einer Dicke im Bereich von etwa 0,5 nm bis etwa 2,5 nm abgeschieden werden.
  • Die Haftschicht 104 in dem n-Typ-Bereich 50N kann Materialien enthalten und durch Prozesses abgeschieden werden, die jenen der Haftschicht 104 in dem p-Typ-Bereich 50P gleich oder ähnlich sind. Das Füllmaterial 106 in dem n-Typ-Bereich 50N kann Materialien enthalten und durch Prozesse abgeschieden werden, die jenen des Füllmaterials 106 in dem p-Typ-Bereich 50P gleich oder ähnlich sind. In manchen Ausführungsformen können die Haftschicht 104 und/oder das Füllmaterial 106 in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P gleichzeitig abgeschieden werden. Die Haftschicht 104 und das Füllmaterial 106 können jedoch separat in den n-Typ-Bereich 50N und den p-Typ-Bereich 50P und sie können in beliebiger Reihenfolge abgeschieden werden. Wie in 20C und 20D veranschaulicht, kann, nachdem das Füllmaterial 106 abgeschieden wurde, ein Planarisierungsprozess an den Gate-Dielektrika 100, der zweiten Austrittsarbeitsstruktur 107, der Haftschicht 104 und dem Füllmaterial 106 derart durchgeführt werden, dass obere Flächen der Gate-Elektroden 105 mit oberen Flächen der ersten ILD 96, der CESL 94, der ersten Abstandshalter 81 und der zweiten Abstandshalter 83 bündig sind. Der Planarisierungsprozess kann ein chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, eine Kombination davon oder dergleichen sein.
  • In 21A und 21B wird eine zweite ILD 110 über der ersten ILD 96 abgeschieden. In manchen Ausführungsformen ist die zweite ILD 110 ein fließfähiger Film, der durch FCVD gebildet wird. In manchen Ausführungsformen wird die zweite ILD 110 aus einem dielektrischen Material gebildet, wie etwa PSG, BSG, BPSG, USG oder dergleichen, und es kann durch jedwedes geeignete Verfahren abgeschieden werden, wie etwa CVD, PECVD oder dergleichen. Gemäß manchen Ausführungsformen wird vor der Bildung der zweiten ILD 110 der Gate-Stapel (einschließlich der Gate-Dielektrika 100 und der entsprechenden darüberliegenden Gate-Elektroden 105) ausgespart, so dass eine Aussparung direkt über dem Gate-Stapel und zwischen gegenüberliegenden Abschnitten der ersten Abstandshalter 81 gebildet wird. Eine Gate-Maske 108, die eine oder mehrere Schichten dielektrischen Materials umfasst, wie etwa Siliziumnitrid, Siliziumoxynitrid oder dergleichen, wird in die Aussparung gefüllt, gefolgt von einem Planarisierungsprozess zum Entfernen überschüssiger Abschnitte des dielektrischen Materials, das sich über die erste ILD 96 erstreckt. Nachfolgend gebildete Gate-Kontakte (wie etwa die Gate-Kontakte 114, die unten unter Bezugnahme auf 22A und 22B erläutert werden) dringen durch die Gate-Maske 108, um in Kontakt mit der oberen Fläche der ausgesparten Gate-Elektroden 105 zu kommen.
  • In 22A und 22B werden Gate-Kontakte 114 und Source-/Drain-Kontakte 112 durch die zweite ILD 110 und die erste ILD 96 gebildet. Es werden Öffnungen für die Source-/Drain-Kontakte 112 durch die erste ILD 96 und die zweite ILD 110 gebildet und Öffnungen für die Gate-Kontakte 114 werden durch die zweite ILD 110 und die Gate-Maske 108 gebildet. Die Öffnungen können unter Verwendung akzeptabler Photolithographie- und Ätztechniken gebildet werden. Eine Auskleidung, wie etwa eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material werden in den Öffnungen gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen enthalten. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen sein. Es kann ein Planarisierungsprozess, wie etwa ein CMP, durchgeführt werden, um überschüssiges Material von einer Fläche der zweiten ILD 110 zu entfernen. Die verbleibende Auskleidung und das leitfähige Material bilden die Source-/Drain-Kontakte 112 und die Gate-Kontakte 114 in den Öffnungen. Es kann ein Glühprozess durchgeführt werden, um ein Silizid an der Grenzfläche zwischen den epitaktischen Source-/Drain-Bereichen 92 und den Source-/Drain-Kontakten 112 zu bilden. Die Source-/Drain-Kontakte 112 sind physisch und elektrisch mit den epitaktischen Source-/Drain-Bereichen 92 gekoppelt und die Gate-Kontakte 114 sind physisch und elektrisch mit den Gate-Elektroden 105 gekoppelt. Die Source-/Drain-Kontakte 112 und die Gate-Kontakte 114 können in unterschiedlichen Prozessen gebildet werden oder sie können in dem gleichen Prozess gebildet werden. Obwohl als in den gleichen Querschnitten gebildet gezeigt, ist zu würdigen, dass jeder der Source-/Drain-Kontakte 112 und die Gate-Kontakte 114 in unterschiedlichen Querschnitten gebildet werden können, was Kurzschließen der Kontakte vermeiden kann.
  • Ausführungsformen können Vorteile erzielen. Bilden der p-Typ-Austrittsarbeitsstruktur durch den iterativen Prozess, der Abscheidungsschritte gefolgt von Sauerstoffeinwirkungsschritten umfasst, erhöht beispielsweise eine Sauerstoffkonzentration in der p-Typ-Austrittsarbeitsstruktur und an einer Grenzfläche zwischen der p-Typ-Austrittsarbeitsstruktur und einer darunterliegenden dielektrischen Gate-Schicht. Dies erhöht die effektive Austrittsarbeit in dem p-Typ-Bereich, erhöht die Flachbandspannung (VFB) und reduziert die Schwellenspannung (Vt) in fertiggestellten Vorrichtungen. Dies erhöht die Vorrichtungsgeschwindigkeit und verbessert Vorrichtungsleistung für die fertiggestellten Vorrichtungen.
  • Gemäß einer Ausführungsform umfasst eine Vorrichtung einen ersten Kanalbereich; einen zweiten Kanalbereich; und eine Gate-Struktur um den ersten Kanalbereich und den zweiten Kanalbereich, wobei die Gate-Struktur ein Gate-Dielektrikum umfasst; ein erstes p-Typ-Austrittsarbeitsmetall auf dem Gate-Dielektrikum, wobei das erste p-Typ-Austrittsarbeitsmetall Sauerstoff umfasst, ein erster Abschnitt des ersten p-Typ-Austrittsarbeitsmetalls den ersten Kanalbereich umgibt und ein zweiter Abschnitt des ersten p-Typ-Austrittsarbeitsmetalls von dem ersten Abschnitt des ersten p-Typ-Austrittsarbeitsmetalls getrennt ist und den zweiten Kanalbereich umgibt; ein zweites p-Typ-Austrittsarbeitsmetall auf dem ersten p-Typ-Austrittsarbeitsmetall, wobei das zweite p-Typ-Austrittsarbeitsmetall eine niedrigere Sauerstoffkonzentration aufweist als das erste p-Typ-Austrittsarbeitsmetall, wobei ein dritter Abschnitt des zweiten p-Typ-Austrittsarbeitsmetalls den ersten Kanalbereich umgibt und ein vierter Abschnitt des zweiten p-Typ-Austrittsarbeitsmetalls fortlaufend mit dem dritten Abschnitt ist und den zweiten Kanalbereich umgibt; und eine Füllschicht auf dem zweiten p-Typ-Austrittsarbeitsmetall. In einer Ausführungsform umfasst das erste p-Typ-Austrittsarbeitsmetall ferner Titannitrid. In einer Ausführungsform liegt eine Sauerstoffkonzentration des ersten p-Typ-Austrittsarbeitsmetalls bei von 50 at. % bis 60 at. %. In einer Ausführungsform umfasst das Gate-Dielektrikum Hafniumoxid, das erste p-Typ-Austrittsarbeitsmetall umfasst ferner Titannitrid und eine Sauerstoffkonzentration an einer Grenzfläche zwischen dem Gate-Dielektrikum und dem ersten p-Typ-Austrittsarbeitsmetall liegt bei von 50 at. % bis 60 at. %. In einer Ausführungsform weist das erste p-Typ-Austrittsarbeitsmetall eine Gradientensauerstoffkonzentration auf, die mit zunehmendem Abstand zu dem Gate-Dielektrikum abnimmt. In einer Ausführungsform umfasst die Vorrichtung ferner ein drittes p-Typ-Austrittsarbeitsmetall zwischen dem ersten p-Typ-Austrittsarbeitsmetall und dem zweiten p-Typ-Austrittsarbeitsmetall, wobei das dritte p-Typ-Austrittsarbeitsmetall eine Gradientensauerstoffkonzentration aufweist, die mit zunehmendem Abstand von dem Gate-Dielektrikum abnimmt, wobei das erste p-Typ-Austrittsarbeitsmetall, das zweite p-Typ-Austrittsarbeitsmetall und das dritte p-Typ-Austrittsarbeitsmetall jeweils ein Übergangsmetallnitrid umfassen.
  • Gemäß einer anderen Ausführungsform umfasst eine Vorrichtung einen Kanalbereich; eine Grenzflächenschicht auf dem Kanalbereich; eine dielektrische Gate-Schicht mit hohem k-Wert auf der Grenzflächenschicht; eine erste Austrittsarbeitsstruktur auf der dielektrischen Gate-Schicht mit hohem k-Wert, wobei die erste Austrittsarbeitsstruktur mehrere erste Austrittsarbeitsschichten umfasst, wobei jede der ersten Austrittsarbeitsschichten ein erstes p-Typ-Austrittsarbeitsmaterial und Sauerstoff umfasst, wobei eine Sauerstoffkonzentration in der ersten Austrittsarbeitsstruktur mit zunehmendem Abstand von der dielektrischen Gate-Schicht mit hohem k-Wert abnimmt; eine Haftschicht auf der ersten Austrittsarbeitsstruktur; und eine Füllschicht auf der Haftschicht. In einer Ausführungsform liegt eine Sauerstoffkonzentration an einer Grenzfläche zwischen der dielektrischen Gate-Schicht mit hohem k-Wert und der ersten Austrittsarbeitsstruktur bei von 50 at. % bis 60 at. %. In einer Ausführungsform umfasst die dielektrische Gate-Schicht mit hohem k-Wert Hafniumoxid. In einer Ausführungsform umfassen das erste p-Typ-Austrittsarbeitsmaterial und die Haftschicht jeweils Titannitrid. In einer Ausführungsform ist die Haftschicht sauerstofffrei.
  • Gemäß noch einer anderen Ausführungsform umfasst ein Verfahren Abscheiden einer dielektrischen Gate-Schicht auf einem Kanalbereich über einem Halbleitersubstrat; Abscheiden eines ersten p-Typ-Austrittsarbeitsmetalls auf der dielektrischen Gate-Schicht; Durchführen einer Sauerstoffbehandlung an dem ersten p-Typ-Austrittsarbeitsmetall; und, nach Durchführen der Sauerstoffbehandlung, Abscheiden eines zweiten p-Typ-Austrittsarbeitsmetalls auf dem ersten p-Typ-Austrittsarbeitsmetall. In einer Ausführungsform umfasst Durchführen der Sauerstoffbehandlung Aussetzen des ersten p-Typ-Austrittsarbeitsmetalls gegenüber einer Umgebungsatmosphäre. In einer Ausführungsform wird das erste p-Typ-Austrittsarbeitsmetall der Umgebungsatmosphäre für eine Dauer von 2 Stunden bis 8 Stunden ausgesetzt. In einer Ausführungsform umfasst Durchführen der Sauerstoffbehandlung Aussetzen des ersten p-Typ-Austrittsarbeitsmetalls gegenüber einer ozonhaltigen Umgebung. In einer Ausführungsform wird das erste p-Typ-Austrittsarbeitsmetall der ozonhaltigen Umgebung für eine Dauer von 10 Sekunden bis 300 Sekunden ausgesetzt. In einer Ausführungsform veranlasst Durchführen der Sauerstoffbehandlung an dem ersten p-Typ-Austrittsarbeitsmetall Sauerstoff durch das erste p-Typ-Austrittsarbeitsmetall zu einer Grenzfläche zwischen dem ersten p-Typ-Austrittsarbeitsmetall und der dielektrischen Gate-Schicht zu diffundieren. In einer Ausführungsform umfasst Durchführen der Sauerstoffbehandlung an dem ersten p-Typ-Austrittsarbeitsmetall Entfernen des Halbleitersubstrats aus einer Abscheidungskammer, die zum Abscheiden des ersten p-Typ-Austrittsarbeitsmetalls verwendet wurde. In einer Ausführungsform wird das erste p-Typ-Austrittsarbeitsmetall bei einer Temperatur von 200 °C bis 500 °C und einem Druck von 0,5 Torr bis 40 Torr abgeschieden. In einer Ausführungsform umfasst das Verfahren ferner Abscheiden einer Haftschicht über dem zweiten p-Typ-Austrittsarbeitsmetall, wobei das zweite p-Typ-Austrittsarbeitsmetall und die Haftschicht in einer Abscheidungskammer abgeschieden werden, wobei ein Vakuum in der Abscheidungskammer zwischen Abscheiden des zweiten p-Typ-Austrittsarbeitsmetalls und Abscheiden der Haftschicht aufrechterhalten wird; und Abscheiden eines leitfähigen Füllmaterials über der Haftschicht.
  • Vorstehend wurde ein Überblick über die Merkmale mehrerer Ausführungsformen gegeben, so dass Fachleute besser die Aspekte der vorliegenden Offenbarung verstehen können. Fachleute werden zu würdigen wissen, dass sich die vorliegende Offenbarung ohne weiteres als Grundlage für den Entwurf oder die Modifikation anderer Prozesse und Strukturen zur Ausführung der gleichen Zwecke und/oder dem Erreichen der gleichen Vorteile der hierin vorgestellten Ausführungsformen verwenden lassen. Fachleute sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sich diverse Veränderungen, Substitutionen und Änderungen daran vornehmen lassen, ohne dass vom Geist und Umfang der vorliegenden Offenbarung abgewichen werden würde.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63139983 [0001]

Claims (20)

  1. Vorrichtung, umfassend: einen ersten Kanalbereich; einen zweiten Kanalbereich; und eine Gate-Struktur um den ersten Kanalbereich und den zweiten Kanalbereich, wobei die Gate-Struktur umfasst: ein Gate-Dielektrikum; ein erstes p-Typ-Austrittsarbeitsmetall auf dem Gate-Dielektrikum, wobei das erste p-Typ-Austrittsarbeitsmetall Sauerstoff umfasst, wobei ein erster Abschnitt des ersten p-Typ-Austrittsarbeitsmetalls den ersten Kanalbereich umgibt und wobei ein zweiter Abschnitt des ersten p-Typ-Austrittsarbeitsmetalls von dem ersten Abschnitt des ersten p-Typ-Austrittsarbeitsmetalls getrennt ist und den zweiten Kanalbereich umgibt; ein zweites p-Typ-Austrittsarbeitsmetall auf dem ersten p-Typ-Austrittsarbeitsmetall, wobei das zweite p-Typ-Austrittsarbeitsmetall eine niedrigere Sauerstoffkonzentration aufweist als das erste p-Typ-Austrittsarbeitsmetall, wobei ein dritter Abschnitt des zweiten p-Typ-Austrittsarbeitsmetalls den ersten Kanalbereich umgibt und wobei ein vierter Abschnitt des zweiten p-Typ-Austrittsarbeitsmetalls fortlaufend mit dem dritten Abschnitt ist und den zweiten Kanalbereich umgibt; und eine Füllschicht auf dem zweiten p-Typ-Austrittsarbeitsmetall.
  2. Vorrichtung nach Anspruch 1, wobei das erste p-Typ-Austrittsarbeitsmetall ferner Titannitrid umfasst.
  3. Vorrichtung nach Anspruch 1 oder 2, wobei eine Sauerstoffkonzentration des ersten p-Typ-Austrittsarbeitsmetalls von 50 at. % bis 60 at. % beträgt.
  4. Vorrichtung nach einem der vorstehenden Ansprüche, wobei das Gate-Dielektrikum Hafniumoxid umfasst, wobei das erste p-Typ-Austrittsarbeitsmetall ferner Titannitrid umfasst und wobei eine Sauerstoffkonzentration an einer Grenzfläche zwischen dem Gate-Dielektrikum und dem ersten p-Typ-Austrittsarbeitsmetall von 50 at. % bis 60 at. % beträgt.
  5. Vorrichtung nach einem der vorstehenden Ansprüche, wobei das erste p-Typ-Austrittsarbeitsmetall eine Gradientensauerstoffkonzentration aufweist, die mit einem zunehmenden Abstand zu dem Gate-Dielektrikum abnimmt.
  6. Vorrichtung nach Anspruch 5, ferner ein drittes p-Typ-Austrittsarbeitsmetall zwischen dem ersten p-Typ-Austrittsarbeitsmetall und dem zweiten p-Typ-Austrittsarbeitsmetall umfassend, wobei das dritte p-Typ-Austrittsarbeitsmetall eine Gradientensauerstoffkonzentration aufweist, die mit zunehmendem Abstand von dem Gate-Dielektrikum abnimmt, wobei das erste p-Typ-Austrittsarbeitsmetall, das zweite p-Typ-Austrittsarbeitsmetall und das dritte p-Typ-Austrittsarbeitsmetall jeweils ein Übergangsmetallnitrid umfassen.
  7. Vorrichtung, umfassend: einen Kanalbereich; eine Grenzflächenschicht auf dem Kanalbereich; eine dielektrische Gate-Schicht mit hohem k-Wert auf der Grenzflächenschicht; eine erste Austrittsarbeitsstruktur auf der dielektrischen Gate-Schicht mit hohem k-Wert, wobei die erste Austrittsarbeitsstruktur mehrere erste Austrittsarbeitsschichten umfasst, wobei jede der ersten Austrittsarbeitsschichten ein erstes p-Typ-Austrittsarbeitsmaterial und Sauerstoff umfasst, wobei eine Sauerstoffkonzentration in der ersten Austrittsarbeitsstruktur mit zunehmendem Abstand von der dielektrischen Gate-Schicht mit hohem k-Wert abnimmt; eine Haftschicht auf der ersten Austrittsarbeitsstruktur; und eine Füllschicht auf der Haftschicht.
  8. Vorrichtung nach Anspruch 7, wobei eine Sauerstoffkonzentration an einer Grenzfläche zwischen der dielektrischen Gate-Schicht mit hohem k-Wert und der ersten Austrittsarbeitsstruktur von 50 at. % bis 60 at. % beträgt.
  9. Vorrichtung nach Anspruch 7 oder 8, wobei die dielektrische Gate-Schicht mit hohem k-Wert Hafniumoxid umfasst.
  10. Vorrichtung nach einem der Ansprüche 7 bis 9, wobei das erste p-Typ-Austrittsarbeitsmaterial und die Haftschicht jeweils Titannitrid umfassen.
  11. Vorrichtung nach einem der Ansprüche 7 bis 10, wobei die Haftschicht sauerstofffrei ist.
  12. Verfahren, umfassend: Abscheiden einer dielektrischen Gate-Schicht auf einem Kanalbereich über einem Halbleitersubstrat; Abscheiden eines ersten p-Typ-Austrittsarbeitsmetalls auf der dielektrischen Gate-Schicht; Durchführen einer Sauerstoffbehandlung an dem ersten p-Typ-Austrittsarbeitsmetall; und nach dem Durchführen der Sauerstoffbehandlung, Abscheiden eines zweiten p-Typ-Austrittsarbeitsmetalls auf dem ersten p-Typ-Austrittsarbeitsmetall.
  13. Verfahren nach Anspruch 12, wobei das Durchführen der Sauerstoffbehandlung ein Aussetzen des ersten p-Typ-Austrittsarbeitsmetalls gegenüber einer Umgebungsatmosphäre umfasst.
  14. Verfahren nach Anspruch 13, wobei das erste p-Typ-Austrittsarbeitsmetall der Umgebungsatmosphäre für eine Dauer von 2 Stunden bis 8 Stunden ausgesetzt wird.
  15. Verfahren nach einem der Ansprüche 12 bis 14, wobei das Durchführen der Sauerstoffbehandlung ein Aussetzen des ersten p-Typ-Austrittsarbeitsmetalls gegenüber einer ozonhaltigen Umgebung umfasst.
  16. Verfahren nach Anspruch 15, wobei das erste p-Typ-Austrittsarbeitsmetall der ozonhaltigen Umgebung für eine Dauer von 10 Sekunden bis 300 Sekunden ausgesetzt wird.
  17. Verfahren nach einem der Ansprüche 12 bis 16, wobei das Durchführen der Sauerstoffbehandlung an dem ersten p-Typ-Austrittsarbeitsmetall Sauerstoff veranlasst, durch das erste p-Typ-Austrittsarbeitsmetall zu einer Grenzfläche zwischen dem ersten p-Typ-Austrittsarbeitsmetall und der dielektrischen Gate-Schicht zu diffundieren.
  18. Verfahren nach einem der Ansprüche 12 bis 17, wobei das Durchführen der Sauerstoffbehandlung an dem ersten p-Typ-Austrittsarbeitsmetall ein Entfernen des Halbleitersubstrats aus einer Abscheidungskammer, die zum Abscheiden des ersten p-Typ-Austrittsarbeitsmetalls verwendet wurde, umfasst.
  19. Verfahren nach einem der Ansprüche 12 bis 18, wobei das erste p-Typ-Austrittsarbeitsmetall bei einer Temperatur von 200 °C bis 500 °C und einem Druck von 0,5 Torr bis 40 Torr abgeschieden wird.
  20. Verfahren nach einem der Ansprüche 12 bis 19, ferner umfassend: Abscheiden einer Haftschicht über dem zweiten p-Typ-Austrittsarbeitsmetall, wobei das zweite p-Typ-Austrittsarbeitsmetall und die Haftschicht in einer Abscheidungskammer abgeschieden werden, wobei ein Vakuum in der Abscheidungskammer zwischen dem Abscheiden des zweiten p-Typ-Austrittsarbeitsmetalls und dem Abscheiden der Haftschicht aufrechterhalten wird; und Abscheiden eines leitfähigen Füllmaterials über der Haftschicht.
DE102021106455.4A 2021-01-21 2021-03-17 Halbleitervorrichtung und verfahren Pending DE102021106455A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163139983P 2021-01-21 2021-01-21
US63/139,983 2021-01-21
US17/198,650 US11411079B1 (en) 2021-01-21 2021-03-11 Semiconductor device and method
US17/198,650 2021-03-11

Publications (1)

Publication Number Publication Date
DE102021106455A1 true DE102021106455A1 (de) 2022-07-21

Family

ID=81668800

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021106455.4A Pending DE102021106455A1 (de) 2021-01-21 2021-03-17 Halbleitervorrichtung und verfahren

Country Status (5)

Country Link
US (3) US11411079B1 (de)
KR (1) KR102516635B1 (de)
CN (1) CN114551446A (de)
DE (1) DE102021106455A1 (de)
TW (1) TWI771022B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11411079B1 (en) * 2021-01-21 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130285158A1 (en) 2012-04-27 2013-10-31 Canon Anelva Corporation Semiconductor device and manufacturing method thereof
US20160126139A1 (en) 2014-11-03 2016-05-05 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20200273710A1 (en) 2019-02-27 2020-08-27 International Business Machines Corporation Confined work function material for gate-all around transistor devices
US20200381311A1 (en) 2019-05-29 2020-12-03 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9425279B1 (en) * 2015-10-21 2016-08-23 International Business Machines Corporation Semiconductor device including high-K metal gate having reduced threshold voltage variation
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10049940B1 (en) 2017-08-25 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for metal gates with roughened barrier layer
KR102379707B1 (ko) * 2017-09-13 2022-03-28 삼성전자주식회사 반도체 소자
US10504789B1 (en) 2018-05-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-deposition treatment for FET technology and devices formed thereby
US10510620B1 (en) * 2018-07-27 2019-12-17 GlobalFoundries, Inc. Work function metal patterning for N-P space between active nanostructures
US10643899B2 (en) * 2018-07-27 2020-05-05 International Business Machines Corporation Gate stack optimization for wide and narrow nanosheet transistor devices
US10872826B2 (en) 2018-10-31 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
KR102571567B1 (ko) 2018-11-02 2023-08-29 삼성전자주식회사 반도체 소자
US11411079B1 (en) * 2021-01-21 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130285158A1 (en) 2012-04-27 2013-10-31 Canon Anelva Corporation Semiconductor device and manufacturing method thereof
US20160126139A1 (en) 2014-11-03 2016-05-05 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20200273710A1 (en) 2019-02-27 2020-08-27 International Business Machines Corporation Confined work function material for gate-all around transistor devices
US20200381311A1 (en) 2019-05-29 2020-12-03 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Also Published As

Publication number Publication date
US11923414B2 (en) 2024-03-05
CN114551446A (zh) 2022-05-27
US20240170536A1 (en) 2024-05-23
US20220320285A1 (en) 2022-10-06
TW202230524A (zh) 2022-08-01
KR102516635B1 (ko) 2023-03-30
US11411079B1 (en) 2022-08-09
KR20220106008A (ko) 2022-07-28
US20220231124A1 (en) 2022-07-21
TWI771022B (zh) 2022-07-11

Similar Documents

Publication Publication Date Title
DE102021110710A1 (de) Nanoschicht-feldeffekttransistorvorrichtung und herstellungsverfahren
DE102020129544A1 (de) Gatestrukturen in transistoren und verfahren zu deren ausbildung
DE102021109107A1 (de) Gatestrukturen und verfahren zu deren ausbildung
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102020101405B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102021106455A1 (de) Halbleitervorrichtung und verfahren
DE102020110678B4 (de) Halbleitervorrichtung und -verfahren
DE102021102596B4 (de) Halbleitervorrichtung und verfahren
DE102020119425B4 (de) Halbleitervorrichtung und Verfahren
DE102021116508A1 (de) Kontakte für halbleitervorrichtungen und verfahren, um diese zu bilden
DE102021109560A1 (de) Transistor-gate-strukturen und verfahren zu deren bildung
DE102021113003A1 (de) Nano-fet-halbleiterbauelement und verfahren zur bildung
DE102021105456A1 (de) Halbleitervorrichtung und verfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102021105877A1 (de) Verfahren zum stromlosen plattieren für metal-gate-füllung
DE102020128875A1 (de) Transistor-gate-struktur und verfahren zu deren herstellung
DE102020133689A1 (de) Ionenimplantation für nano-fet
DE102020116706A1 (de) Gate-struktur eines halbleiterbauelements und verfahren zum bilden desselben
DE102021115793B4 (de) Transistor-source/drain-kontakte und verfahren zu deren bildung
DE102021120222B4 (de) Halbleiter-gates und verfahren zu deren herstellung
DE102021116786B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102021110397B4 (de) Source-/drainregionen und verfahren zu deren bildung
DE102021113549B3 (de) Halbleitervorrichtung und verfahren
DE102021106776A1 (de) Mehrschichtige maskenschicht und verfahren zum bilden derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication