DE102021106776A1 - Mehrschichtige maskenschicht und verfahren zum bilden derselben - Google Patents

Mehrschichtige maskenschicht und verfahren zum bilden derselben Download PDF

Info

Publication number
DE102021106776A1
DE102021106776A1 DE102021106776.6A DE102021106776A DE102021106776A1 DE 102021106776 A1 DE102021106776 A1 DE 102021106776A1 DE 102021106776 A DE102021106776 A DE 102021106776A DE 102021106776 A1 DE102021106776 A1 DE 102021106776A1
Authority
DE
Germany
Prior art keywords
mask layer
layer
forming
over
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021106776.6A
Other languages
English (en)
Inventor
Wen-Ju Chen
Chung-Ting Ko
Ya-Lan CHANG
Ting-Gang CHEN
Tai-Chun Huang
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021106776A1 publication Critical patent/DE102021106776A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

Ein Verfahren umfasst Bilden einer Halbleiterschicht über einem Substrat; Ätzen eines Abschnitts der Halbleiterschicht, um eine erste Vertiefung und eine zweite Vertiefung zu bilden; Bilden einer ersten Maskenschicht über der Halbleiterschicht; Durchführen einer ersten Wärmebehandlung der ersten Maskenschicht, wobei die erste Wärmebehandlung die erste Maskenschicht verdichtet; Ätzen der ersten Maskenschicht, um die erste Vertiefung freizulegen; Bilden eines ersten Halbleitermaterials in der ersten Vertiefung; und Entfernen der ersten Maskenschicht.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 63/052,604 , eingereicht am 16. Juli 2020, die hiermit durch Bezugnahme hierin aufgenommen ist.
  • HINTERGRUND
  • Halbleitervorrichtungen (-bauelemente) werden in einer Vielzahl von elektronischen Anwendungen verwendet, wie zum Beispiel PCs, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleiterbauelemente werden typischerweise durch aufeinanderfolgendes Abscheiden von Schichten aus isolierendem bzw. dielektrischem Material, Schichten aus leitfähigem Material und Halbleiterschichten über einem Halbleitersubstrat und Strukturieren der verschiedenen Schichten unter Verwendung von Lithografie zur Bildung von Schaltungskomponenten und Elementen darauf gefertigt.
  • Die Halbleiterindustrie verbessert kontinuierlich die Integrationsdichte von verschiedenen elektronischen Komponenten (z. B. Transistoren, Dioden, Widerständen, Kondensatoren usw.) durch kontinuierliche Verringerung der minimalen Merkmalgröße, wodurch ermöglicht wird, dass mehr Komponenten auf einer gegebenen Fläche integriert werden können. Wenn die minimalen Merkmalsgrößen jedoch verringert werden, treten zusätzliche Probleme auf, die gelöst werden sollten.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verständlich, wenn diese in Verbindung mit den beigefügten Figuren gelesen wird. Es sei noch angemerkt, dass entsprechend der üblichen Branchenpraxis verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Diskussion beliebig vergrößert oder verkleinert sein.
  • 1 veranschaulicht in einer dreidimensionalen Ansicht ein Beispiel für einen Nanostruktur-Feldeffekttransistor (Nano-FET) gemäß einigen Ausführungsformen.
  • 2, 3, 4, 5, 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 11A, 11B, 12A, 12B, 13A, 13B, 13C, 14A, 14B, 15A, 15B, 15C, 16A, 16B, 16C, 17A, 17B, 17C, 17D, 18A, 18B, 18C, 19A, 19B, 19C, 20A, 20B, 20C, 20D, 21A, 21B, 21C, 22A, 22B, 23A, 23B, 24A, 24B, 25A, 25B, 26A, 26B, 26C, 27A, 27B, 27C, 28A, 28B, 28C, 29A, 29B, 30A, 30B, 31A, 31B, 31C, 31D, 32A, 32B, 33A, 33B, 33C, 34A, 34B, 34C, 35A, 35B, 35C, 35D, 35E, 36A, 36B, 36C, 37A, 37B und 37C sind Querschnittsansichten von Zwischenstufen bei dem Herstellen von Nano-FETs gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen bzw. Ausführungsbeispiele zum Implementieren unterschiedlicher Merkmale der Erfindung bereit. Um die vorliegende Offenbarung zu vereinfachen, werden nachstehend konkrete Beispiele für Komponenten und Anordnungen beschrieben. Diese sind natürlich lediglich Ausführungsbeispiele und sollen nicht einschränkend sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Merkmal in direktem Kontakt gebildet werden, und auch Ausführungsformen umfassen, bei welchen zusätzliche Merkmale derart zwischen dem ersten und dem zweiten Merkmal gebildet werden können, dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt sind. Außerdem kann die vorliegende Offenbarung in den verschiedenen Beispielen Bezugszeichen und/oder Buchstaben wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Ausgestaltungen vor.
  • Ferner können räumlich relative Begriffe wie „unter“, „unterhalb“, „untere/r/s“, „über“, „obere/r/s“ und dergleichen hier zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elementes oder Merkmals zu einem anderen Element (anderen Elementen) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren gezeigten Ausrichtung unterschiedliche Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb umfassen. Der Gegenstand kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die vorliegend verwendeten räumlich relativen Beschreibungen können ebenso entsprechend interpretiert werden.
  • Verschiedene Ausführungsformen stellen Verfahren zum Bilden eines Dies bereit, der Nano-FETs umfasst. Die Verfahren umfassen Bilden von Stapeln von Halbleiterschichten und Ätzen dieser Stapel, um epitaktische Source-/Drain-Bereiche zu bilden. Für p-Transistoren bestimmte Bereiche des Dies können maskiert sein, während Merkmale innerhalb von Bereichen des Dies gebildet oder behandelt werden, die für n-Transistoren bestimmt sind. In ähnlicher Weise können für n-Transistoren bestimmte Bereiche des Dies maskiert sein, während Merkmale innerhalb von Bereichen des Dies gebildet oder behandelt werden, die für p-Transistoren bestimmt sind. Die verschiedenen Maskenschichten können auf eine Weise gebildet und behandelt werden, dass die Effizienz dieser anderen Prozesse erhöht wird und gleichzeitig auch das spätere Entfernen der verschiedenen Maskenschichten erleichtert wird. Dann können Gatestrukturen über den Stapeln von Halbleiterschichten gebildet werden, um Transistorstrukturen zu bilden. Außerdem kann eine vorderseitige Verschaltungsstruktur über einer ersten Seite der Transistorstrukturen gebildet werden, und eine rückseitige Verschaltungsstruktur kann über einer gegenüberliegenden Seite der Transistorstrukturen gebildet werden. Verschiedene Ausführungsformen können jedoch auf Dies angewandt werden, die anstelle der oder in Kombination mit den Nano-FETs andere Typen von Transistoren umfassen (z. B. Fin-Feldeffekttransistoren (FinFETs), planare Transistoren oder dergleichen).
  • 1 veranschaulicht in einer dreidimensionalen Ansicht ein Beispiel für Nano-FETs (z. B. Nanodraht-FETs, Nanofolien-FETs oder dergleichen) gemäß einigen Ausführungsformen. Die Nano-FETs umfassen Nanostrukturen 55 (z. B. Nanofolien, Nanodrähte oder dergleichen) über Finnen 66 auf einem Substrat 50 (z. B. einem Halbleitersubstrat), wobei die Nanostrukturen 55 als Kanalbereiche der Nano-FETs dienen. Die Nanostrukturen 55 können p-Nanostrukturen, n-Nanostrukturen oder eine Kombination davon umfassen. Flache Grabenisolationsbereiche (STI-Bereiche - Shallow Trench Isolation regions) 68 sind zwischen benachbarten Finnen 66 angeordnet, die überstehen und zwischen benachbarten Isolationsbereichen 68 hervorstehen können. Obwohl die STI-Bereiche 68 als von dem Substrat 50 getrennt beschrieben/veranschaulicht sind, kann sich der Begriff „Substrat“, wie er hierin verwendet wird, auf das Halbleitersubstrat alleine oder eine Kombination aus dem Halbleitersubstrat und den Isolationsbereichen beziehen. Zusätzlich können/kann, obwohl der Bodenabschnitt der Finnen 66 als ein einzelnes zusammenhängendes Material mit dem Substrat 50 veranschaulicht ist, der Bodenabschnitt der Finnen 66 und/oder das Substrat 50 ein einzelnes Material oder eine Vielzahl von Materialien umfassen. In diesem Zusammenhang beziehen sich die Finnen 66 auf den Abschnitt, der sich zwischen den benachbarten STI-Bereichen 68 erstreckt.
  • Gate-Dielektrikum-Schichten 100 befinden sich über oberen Flächen der Finnen 66 und entlang von oberen Flächen, Seitenwänden und unteren Flächen der Nanostrukturen 55. Gate-Elektroden 102 befinden sich über den Gate-Dielektrikum-Schichten 100. Epitaktische Source-/Drain-Bereiche 92 sind auf den Finnen 66 auf gegenüberliegenden Seiten der Gate-Dielektrikum-Schichten 100 und der Gate-Elektroden 102 angeordnet.
  • 1 veranschaulicht ferner Referenzquerschnitten, die in nachfolgenden Figuren verwendet werden. Der Querschnitt A-A' verläuft entlang einer Längsachse einer Gate-Elektrode 102 und in einer Richtung, die beispielsweise senkrecht zu der Richtung eines Stromflusses zwischen den epitaxialen Source-/Drain-Bereichen 92 eines Nano-FET ist. Der Querschnitt B-B' verläuft senkrecht zu dem Querschnitt A-A' und parallel zu einer Längsachse einer Finne 66 des Nano-FET und zum Beispiel in einer Richtung eines Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 92 des Nano-FET. Der Querschnitt C-C' verläuft parallel zu dem Querschnitt A-A' und erstreckt sich durch epitaktische Source-/Drain-Bereiche der Nano-FETs. Der Klarheit halber nehmen nachfolgende Figuren auf diese Referenzquerschnitten Bezug.
  • Einige hierin erörterte Ausführungsformen werden im Zusammenhang mit Nano-FETs erörtert, die unter Verwendung eines Gate-Last-Prozesses (Gate-zuletzt-Prozesses) gebildet werden. Bei anderen Ausführungsformen kann ein Gate-First-Prozess (Gate-zuerst-Prozess) verwendet werden. Darüber hinaus berücksichtigen einige Ausführungsformen Aspekte, die in planaren Bauelementen wie planaren FETs verwendet werden, oder Aspekte, die in Fin-Feldeffekttransistoren (FinFETs) verwendet werden.
  • 2 bis 45C sind Querschnittsansichten von Zwischenstufen bei dem Herstellen von Nano-FETs gemäß einigen Ausführungsformen. 2 bis 5, 6A, 21A, 22A, 23A, 24A, 25A, 26A, 27A und 28A veranschaulichen die in 1 veranschaulichte Referenzquerschnitt A-A'. 6B, 7B, 8B, 9B, 10B, 11B, 11C, 12B, 13B, 13C, 14B, 15B, 16B, 17B, 17D, 18B, 19B, 20B, 20D, 21B, 22B, 23B, 24B, 25B, 26B, 27B, 28B, 29B, 30B, 31B, 31C, 31D, 32B, 33B, 34B, 35B, 35D, 35E, 36B und 37B veranschaulichen die in 1 veranschaulichte Referenzquerschnitt B-B'. 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A, 15C, 16A, 16C, 17A, 17C, 18A, 18C, 19A, 19C, 20A, 20C, 21C, 26C, 27C, 28C, 29A, 30A, 31A, 32A, 33A, 33C, 34A, 34C, 35A, 35C, 36A, 36C, 37A und 37C veranschaulichen die in 1 veranschaulichte Referenzquerschnitt C-C'.
  • In 2 wird das Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat sein, zum Beispiel ein Volumenhalbleiter, ein Halbleiter-auf-Isolator- (SOI- bzw. Semiconductor on Insulator-) Substrat oder dergleichen, welches dotiert (z. B. mit einem p- oder einem n-Dotierstoff) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie ein Siliziumwafer. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolationsschicht gebildet ist. Die Isolationsschicht kann zum Beispiel eine vergrabene Oxidschicht (Buried Oxide- bzw. BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolationsschicht ist auf einem Substrat, typischerweise einem Silizium- oder Glassubstrat, bereitgestellt. Andere Substrate, wie ein mehrschichtiges oder ein Gradientensubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbindungshalbleiter, einschließlich Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich Siliziumgermanium, Galliumarsenidphosphid, Aluminiumindiumarsenid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und/oder Galliumindiumarsenidphosphid; oder Kombinationen davon umfassen.
  • Das Substrat 50 weist einen n-Bereich 50N und einen p-Bereich 50P auf. Der n-Bereich 50N kann zum Bilden von n-Bauelementen, wie NMOS-Transistoren, z. B. n-Nano-FETs, dienen, und der p-Bereich 50P kann zum Bilden von p-Bauelementen, wie PMOS-Transistoren, z. B. p-Nano-FETs, dienen. Der n-Bereich 50N kann physisch von dem p-Bereich 50P getrennt sein (wie durch den Teiler 20 veranschaulicht), und eine beliebige Anzahl von Bauelementmerkmalen (z. B. andere aktive Bauelemente, dotierte Bereiche, Isolationsstrukturen usw.) können zwischen dem n-Bereich 50N und dem p-Bereich 50P angeordnet sein. Obwohl ein einziger n-Bereich 50N und ein einziger p-Bereich 50P veranschaulicht sein, können es eine beliebige Anzahl von n-Bereichen 50N und p-Bereichen 50P bereitgestellt werden.
  • In 2 wird ferner ein Mehrschichtstapel 64 über dem Substrat 50 gebildet. Der mehrschichtige Stapel 64 umfasst abwechselnde Schichten von ersten Halbleiterschichten 51A-C (die gemeinsam als erste Halbleiterschichten 51 bezeichnet werden) und zweiten Halbleiterschichten 53A-C (die gemeinsam als zweite Halbleiterschichten 53 bezeichnet werden). Zu Veranschaulichungszwecken und wie nachstehend ausführlicher erörtert, werden die zweiten Halbleiterschichten 53 entfernt und die ersten Halbleiterschichten 51 strukturiert, um Kanalbereiche von Nano-FETs in dem p-Bereich 50P zu bilden. Außerdem werden die ersten Halbleiterschichten 51 entfernt und die zweiten Halbleiterschichten 53 strukturiert, um Kanalbereiche von Nano-FETs in den n-Bereichen 50N zu bilden. Dennoch können in einigen Ausführungsformen die ersten Halbleiterschichten 51 entfernt und die zweiten Halbleiterschichten 53 strukturiert werden, um Kanalbereiche von Nano-FETs in dem n-Bereich 50N zu bilden, außerdem können die zweiten Halbleiterschichten 53 entfernt und die ersten Halbleiterschichten 51 strukturiert werden, um Kanalbereiche von Nano-FETs in den p-Bereichen 50P zu bilden. In noch anderen Ausführungsformen können die ersten Halbleiterschichten 51 entfernt und die zweiten Halbleiterschichten 53 strukturiert werden, um Kanalbereiche von Nano-FETs sowohl in dem n-Bereich 50N als auch dem p-Bereich 50P zu bilden. In anderen Ausführungsformen können die zweiten Halbleiterschichten 53 entfernt und die ersten Halbleiterschichten 51 strukturiert werden, um Kanalbereiche von Nano-FETs sowohl in dem n-Bereich 50N als auch dem p-Bereich 50P zu bilden.
  • Zu Veranschaulichungszwecken ist der mehrschichtige Stapel 64 so veranschaulicht, dass er drei Schichten jeder der ersten Halbleiterschichten 51 und der zweiten Halbleiterschichten 53 umfasst. In einigen Ausführungsformen kann der mehrschichtige Stapel 64 eine beliebige Anzahl der ersten Halbleiterschichten 51 und der zweiten Halbleiterschichten 53 umfassen. Jede der Schichten des mehrschichtigen Stapels 64 kann unter Verwendung eines Prozesses wie chemischer Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD), Gasphasenepitaxie (VPE), Molekularstrahl-Epitaxie (MBE) oder dergleichen epitaktisch aufgewachsen werden. In verschiedenen Ausführungsformen können die ersten Halbleiterschichten 51 aus einem ersten Halbleitermaterial gebildet werden, das für p-Nano-FETs geeignet ist, wie Siliziumgermanium oder dergleichen, und die zweiten Halbleiterschichten 53 können aus einem zweiten Halbleitermaterial gebildet werden, das für n-Nano-FETs geeignet ist, wie Silizium, Siliziumkarbid oder dergleichen. Zu Veranschaulichungszwecken ist der Mehrschichtstapel 64 mit einer untersten Halbleiterschicht veranschaulicht, die für p-Nano-FETs geeignet ist. In einigen Ausführungsformen kann der Mehrschichtstapel 64 so gebildet werden, dass die unterste Schicht eine Halbleiterschicht ist, die für n-Nano-FETs geeignet ist.
  • Die ersten Halbleitermaterialien und die zweiten Halbleitermaterialien können Materialien sein, die eine hohe Ätzselektivität zueinander aufweisen. Daher können die ersten Halbleiterschichten 51 des ersten Halbleitermaterials entfernt werden, ohne dass die zweiten Halbleiterschichten 53 des zweiten Halbleitermaterials in dem n-Bereich 50N signifikant entfernt werden, was es den zweiten Halbleiterschichten 53 ermöglicht, strukturiert zu werden, um Kanalbereiche von n-Nanostruktur-Feldeffekttransistoren (NSFETs) zu bilden. In ähnlicher Weise können die zweiten Halbleiterschichten 53 des zweiten Halbleitermaterials entfernt werden, ohne dass die ersten Halbleiterschichten 51 des ersten Halbleitermaterials in dem p-Bereich 50P signifikant entfernt werden, was es den zweiten Halbleiterschichten 51 ermöglicht, strukturiert zu werden, um Kanalbereiche von p-NSFETs zu bilden.
  • Nun unter Bezugnahme auf 3 werden Finnen 66 in dem Substrat 50 und Nanostrukturen 55 in dem mehrschichtigen Stapel 64 gemäß einigen Ausführungsformen gebildet. In einigen Ausführungsformen können die Nanostrukturen 55 und die Finnen 66 in dem mehrschichtigen Stapel 64 bzw. dem Substrat 50 durch Ätzen von Gräben in dem mehrschichtigen Stapel 64 und dem Substrat 50 gebildet werden. Das Ätzen kann ein beliebiges annehmbares Ätzprozess sein, wie reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE - Neutral Beam Etch) oder dergleichen oder Kombinationen davon. Das Ätzen kann anisotrop sein. Das Bilden der Nanostrukturen 55 durch Ätzen des Mehrschichtstapels 64 kann ferner erste Nanostrukturen 52A-C (gemeinsam als erste Nanostrukturen 52 bezeichnet) aus den ersten Halbleiterschichten 51 definieren und zweite Nanostrukturen 54A-C (gemeinsam als zweite Nanostrukturen 54 bezeichnet) aus den zweiten Halbleiterschichten 53 definieren. Die ersten Nanostrukturen 52 und die zweiten Nanostrukturen 54 können ferner gemeinsam als Nanostrukturen 55 bezeichnet werden.
  • Die Finnen 66 und die Nanostrukturen 55 können durch ein beliebiges geeignetes Verfahren strukturiert werden. Die Finnen 66 und die Nanostrukturen 55 können zum Beispiel unter Verwendung eines oder mehrerer Fotolithografieprozesse strukturiert werden, einschließlich Doppel- oder Mehrfachstrukturierungsprozesse. Im Allgemeinen sind bei Doppel- oder Mehrfachstrukturierungsprozessen Fotolithografie- und selbstausrichtende Prozesse kombiniert, was die Herstellung von Strukturen mit kleineren Abmessungen ermöglicht als beispielsweise unter Verwendung eines einzigen direkten Fotolithografieprozesses erreichbar ist.
  • Zum Beispiel wird bei einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Fotolithografieprozesses strukturiert. Abstandshalter werden unter Verwendung eines selbstausrichtenden Prozesses neben der strukturierten Opferschicht gebildet. Die Opferschicht wird dann entfernt, und die verbleibenden Abstandshalter können dann verwendet werden, um die Finnen 66 zu strukturieren.
  • Zu Veranschaulichungszwecken veranschaulicht 3 die Finnen 66 in dem n-Bereich 50N und dem p-Bereich 50P mit im Wesentlichen gleicher Breite. In einigen Ausführungsformen kann die Breite der Finnen 66 im n-Bereich 50N größer oder kleiner als die Breite der Finnen 66 im p-Bereich 50P sein. Ferner, obwohl jede der Finnen 66 und Nanostrukturen 55 so veranschaulicht ist, dass sie durchweg eine konstante Breite aufweist, können die Finnen 66 und/oder die Nanostrukturen 55 in anderen Ausführungsformen verjüngte Seitenwände aufweisen, sodass die Breite jeder der Finnen 66 und/oder Nanostrukturen 55 in der Richtung zum Substrat 50 hin kontinuierlich zunimmt. In solchen Ausführungsformen kann jede der Nanostrukturen 55 eine unterschiedliche Breite aufweisen und eine trapezförmige Form aufweisen.
  • In 4 werden flache Grabenisolationsbereiche (STI-Bereiche) 68 benachbart zu den Finnen 66 gebildet. Die STI-Bereiche 68 können durch Abscheiden eines Isolationsmaterials über dem Substrat 50, den Finnen 66 und den Nanostrukturen 55 sowie zwischen benachbarten Finnen 66 gebildet werden. Das Isolationsmaterial kann ein Oxid sein, wie Siliziumoxid, ein Nitrid oder dergleichen oder eine Kombination davon, und kann durch CVD mit einem Plasma hoher Dichte (HDP-CVD), fließfähige CVD (FCVD) oder dergleichen oder eine Kombination davon gebildet werden. Andere Isolationsmaterialien, die durch einen beliebigen annehmbaren Prozess gebildet werden, können verwendet werden. In der veranschaulichten Ausführungsform ist das Isolationsmaterial Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Nachdem das Isolationsmaterial gebildet ist, kann ein Temperprozess durchgeführt werden. In einer Ausführungsform wird das Isolationsmaterial derart gebildet, dass überschüssiges Isolationsmaterial die Nanostrukturen 55 bedeckt. Obwohl das Isolationsmaterial als eine einzige Schicht veranschaulicht ist, können in einigen Ausführungsformen mehrere Schichten verwendet werden. Beispielsweise kann in einigen Ausführungsformen zuerst eine Auskleidung (nicht separat veranschaulicht) entlang einer Oberfläche des Substrats 50, der Finnen 66 und der Nanostrukturen 55 gebildet werden. Danach kann ein Füllmaterial, wie die vorstehend erörterten, über der Auskleidung gebildet werden.
  • Dann wird ein Entfernungsprozess auf das Isolationsmaterial angewendet, um überschüssiges Isolationsmaterial über den Nanostrukturen 55 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsprozess wie chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen verwendet werden. Der Planarisierungsprozess legt die Nanostrukturen 55 derart frei, dass obere Flächen der Nanostrukturen 55 und des Isolationsmaterials eben sind, nachdem der Planarisierungsprozess beendet ist.
  • Das Isolationsmaterial wird dann vertieft, um die STI-Bereiche 68 zu bilden. Das Isolationsmaterial wird derart vertieft, dass obere Abschnitte von Finnen 66 in dem Bereich 50N und dem Bereich 50P zwischen benachbarten STI-Bereichen 68 hervorstehen. Ferner können die oberen Flächen der STI-Bereiche 68 eine flache Fläche wie veranschaulicht, eine konvexe Fläche, eine konkave Fläche (wie durch Muldenbildung (Dishing)) oder eine Kombination davon aufweisen. Die oberen Flächen der STI-Bereiche 68 können durch eine angemessene Ätzung flach, konvex und/oder konkav gebildet werden. Die STI-Bereiche 68 können unter Verwendung eines annehmbaren Ätzprozesses vertieft werden, wie eines Ätzprozesses, der gegenüber dem Material des Isolationsmaterials selektiv ist (der z. B. das Material des Isolationsmaterials mit einer schnelleren Rate ätzt als das Material der Finnen 66 und der Nanostrukturen 55). Es kann beispielsweise eine Oxidentfernung verwendet werden, die zum Beispiel verdünnte Fluorwasserstoffsäure (dHF) verwendet.
  • Der vorstehend mit Bezug auf 2 bis 4 beschriebene Prozess stellt lediglich ein Beispiel dafür dar, wie die Finnen 66 und die Nanostrukturen 55 gebildet werden können. In einigen Ausführungsformen können die Finnen 66 und/oder Nanostrukturen 55 unter Verwendung einer Maske und eines epitaktischen Aufwachsprozesses gebildet werden. Zum Beispiel kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden und Gräben können durch die dielektrische Schicht geätzt werden, um das darunterliegende Substrat 50 freizulegen. Epitaktische Strukturen können in den Gräben epitaktisch aufgewachsen werden und die dielektrische Schicht kann derart vertieft werden, dass die epitaktischen Strukturen aus der dielektrischen Schicht hervorstehen, um die Finnen 66 und/oder die Nanostrukturen 55 zu bilden. Die epitaktischen Strukturen können die oben erörterten abwechselnden Halbleitermaterialien, wie die ersten Halbleitermaterialien und die zweiten Halbleitermaterialien, umfassen. In einigen Ausführungsformen, in denen epitaktische Strukturen epitaktisch aufgewachsen werden, können die epitaktisch aufgewachsenen Materialien während dem Aufwachsen in situ dotiert werden, wodurch vorherige und/oder nachfolgende Implantationen vermieden werden können, In-situ- und Implantationsdotierung können jedoch auch zusammen verwendet werden.
  • Zusätzlich sind nur zu Veranschaulichungszwecken die ersten Halbleiterschichten 51 (und die resultierenden ersten Nanostrukturen 52) und die zweiten Halbleiterschichten 53 (und die resultierenden zweiten Nanostrukturen 54) hierin als die gleichen Materialien umfassend in dem p-Bereich 50P und dem n-Bereich 50N, veranschaulicht und erörtert. Auf diese Weise können in einigen Ausführungsformen eine oder beide der ersten Halbleiterschichten 51 und der zweiten Halbleiterschichten 53 aus unterschiedlichen Materialien oder in einer unterschiedlichen Reihenfolge in dem p-Bereich 50P und dem n-Bereich 50N gebildet werden.
  • Ferner können in 4 angemessene Wannen (nicht separat veranschaulicht) in den Finnen 66, den Nanostrukturen 55 (in späteren Figuren nicht separat gekennzeichnet) und/oder den STI-Bereichen 68 gebildet werden. In Ausführungsformen mit unterschiedlichen Wannentypen können unterschiedliche Implantationsschritte für den n-Bereich 50N und den p-Bereich 50P unter Verwendung eines Fotolacks oder anderer Masken (nicht separat veranschaulicht) erzielt werden. Beispielsweise kann ein Fotolack über den Finnen 66 und den STI-Bereichen 68 in dem n-Bereich 50N und dem p-Bereich 50P gebildet werden. Der Fotolack wird strukturiert, um den p-Bereich 50P freizulegen. Der Fotolack kann unter Verwendung einer oder mehrerer Aufschleuder- oder Abscheidungstechniken gebildet und unter Verwendung von annehmbaren Fotolithografietechniken strukturiert werden. Sobald der Fotolack strukturiert ist, wird eine n-Fremdstoffimplantation in dem p-Bereich 50P durchgeführt und der Fotolack kann als Maske dienen, die im Wesentlichen verhindert, dass n-Fremdstoffe in den n-Bereich 50N implantiert werden. Die n-Fremdstoffe können Phosphor, Arsen, Antimon oder dergleichen sein, die in dem Bereich mit einer Konzentration implantiert sind, die von etwa 1013 Atome/cm3 bis etwa 1014 Atome/cm3 reicht. Nach der Implantation wird der Fotolack entfernt, beispielsweise durch einen annehmbaren Veraschungsprozess.
  • Nach oder vor dem Implantieren des p-Bereichs 50P wird ein Fotolack oder andere Masken (nicht separat veranschaulicht) über den Finnen 66, den Nanostrukturen 55 und den STI-Bereichen 68 in dem p-Bereich 50P und dem n-Bereich 50N gebildet. Der Fotolack wird strukturiert, um den n-Bereich 50N freizulegen. Der Fotolack kann unter Verwendung einer oder mehrerer Aufschleuder- oder Abscheidungstechniken gebildet und unter Verwendung von annehmbaren Fotolithografietechniken strukturiert werden. Sobald das Fotolack strukturiert ist, kann eine p-Fremdstoffimplantation in dem n-Bereich 50N durchgeführt werden und der Fotolack kann als Maske dienen, die im Wesentlichen verhindert, dass p-Fremdstoffe in den p-Bereich 50P implantiert werden. Die p-Fremdstoffe können Bor, Borfluorid, Indium oder dergleichen sein, die in dem Bereich mit einer Konzentration implantiert sind, die von etwa 1013 Atomen/cm3 bis etwa 1014 Atomen/cm3 reicht. Nach der Implantation kann der Fotolack entfernt werden, beispielsweise durch einen annehmbaren Veraschungsprozess.
  • Nach den Implantationen des n-Bereichs 50N und des p-Bereichs 50P kann ein Temperschritt durchgeführt werden, um Implantationsschäden zu reparieren und die implantierten p- und/oder n-Fremdstoffe zu aktivieren. In einigen Ausführungsformen können die aufgewachsenen Materialien epitaktischer Finnen während des Aufwachsens in situ dotiert werden, wodurch die Implantationen vermieden werden können, In-situ- und Implantationsdotierung können jedoch auch zusammen verwendet werden.
  • In 5 wird eine dielektrische Dummy-Schicht 70 auf den Finnen 66 und/oder den Nanostrukturen 55 gebildet. Die dielektrische Dummy-Schicht 70 kann zum Beispiel aus Siliziumoxid, Siliziumnitrid, eine Kombination davon oder dergleichen sein und kann gemäß einer annehmbaren Technik abgeschieden oder thermisch aufgewachsen werden. Eine Dummy-Gate-Schicht 72 wird über der dielektrischen Dummy-Schicht 70 gebildet, und eine Maskenschicht 74 wird über der Dummy-Gate-Schicht 72 gebildet. Die Dummy-Gate-Schicht 72 kann über der dielektrischen Dummy-Schicht 70 abgeschieden und dann planarisiert werden, beispielsweise durch eine CMP. Die Maskenschicht 74 kann über der Dummy-Gate-Schicht 72 abgeschieden werden. Die Dummy-Gate-Schicht 72 kann aus einem leitfähigen oder einem nicht leitfähigen Material sein und kann aus einer Gruppe ausgewählt sein, die amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Siliziumgermanium (Poly-SiGe), Metallnitride, Metallsilizide, Metalloxide und Metalle umfasst. Die Dummy-Gate-Schicht 72 kann durch physische Gasphasenabscheidung (PVD), CVD, Abscheidung durch Kathodenzerstäubung oder andere Techniken zum Abscheiden des ausgewählten Materials abgeschieden werden. Die Dummy-Gate-Schicht 72 kann aus anderen Materialien mit einer hohen Ätzselektivität gegenüber dem Ätzen der Isolierbereiche hergestellt werden. Die Maskenschicht 74 kann beispielsweise Siliziumnitrid, Siliziumoxynitrid oder dergleichen umfassen. In diesem Beispiel werden eine einzige Dummy-Gate-Schicht 72 und eine einzige Maskenschicht 74 über den n-Bereich 50N und den p-Bereich 50P hinweg gebildet. Es sei angemerkt, dass die dielektrische Dummy-Schicht 70 lediglich zu Veranschaulichungszwecken so gezeigt ist, dass sie nur die Finnen 66 und die Nanostrukturen 55 bedeckt. In einigen Ausführungsformen kann die dielektrische Dummy-Schicht 70 derart abgeschieden werden, dass die dielektrische Dummy-Schicht 70 die STI-Bereiche 68 so bedeckt, dass sich die dielektrische Dummy-Schicht 70 zwischen der Dummy-Gate-Schicht 72 und den STI-Bereichen 68 erstreckt.
  • 6A bis 20D veranschaulichen verschiedene zusätzliche Schritte beim Herstellen von Bauelementen gemäß Ausführungsformen. 6A, 7A, 8A, 9A, 10A, 11 A, 12A, 13A, 13C, 14A, 15A, 15C, 16A, 16C, 17A, 17C, 17D, 18A, 18C, 19A, 19C, 20A und 20C veranschaulichen Merkmale in den Bereichen 50N oder den Bereichen 50P. In 6A und 6B kann die Maskenschicht 74 (siehe 5) unter Verwendung annehmbarer Fotolithografie- und Ätztechniken strukturiert werden, um Masken 78 zu bilden. Dann kann die Struktur der Masken 78 auf die Dummy-Gate-Schicht 72 und die dielektrische Dummy-Schicht 70 übertragen werden, um Dummy-Gates 76 bzw. Dummy-Gate-Dielektrika 71 zu bilden. Die Dummy-Gates 76 bedecken jeweilige Kanalbereiche der Finnen 66. Die Struktur der Masken 78 kann verwendet werden, um jedes der Dummy-Gates 76 physisch von benachbarten Dummy-Gates 76 zu trennen. Die Dummy-Gates 76 können auch eine Längsrichtung aufweisen, die im Wesentlichen senkrecht zur Längsrichtung der jeweiligen Finnen 66 verläuft.
  • In 7A und 7B werden eine erste Abstandshalterschicht 80 und eine zweite Abstandshalterschicht 82 über den in 6A bzw. 6B veranschaulichten Strukturen gebildet. Die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 werden anschließend strukturiert, um als Abstandshalter zum Bilden selbstausrichtender Source-/Drain-Bereiche zu dienen. In 7A und 7B wird die erste Abstandshalterschicht 80 auf oberen Flächen der STI-Bereiche 68; oberen Flächen und Seitenwänden der Finnen 66, der Nanostrukturen 55 und der Masken 78; und Seitenwänden der Dummy-Gates 76 und des Dummy-Gate-Dielektrikums 71 gebildet. Die zweite Abstandshalterschicht 82 wird über der ersten Abstandshalterschicht 80 abgeschieden. Die erste Abstandshalterschicht 80 kann aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen unter Verwendung von Techniken wie thermische Oxidation oder Abscheidung durch CVD, ALD oder dergleichen gebildet werden. Die zweite Abstandshalterschicht 82 kann aus einem Material gebildet werden, das eine andere Ätzrate aufweist als das Material der ersten Abstandshalterschicht 80, wie Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder dergleichen, und kann durch CVD, ALD oder dergleichen abgeschieden werden.
  • Nachdem die erste Abstandshalterschicht 80 gebildet ist und vor dem Bilden der zweiten Abstandshalterschicht 82 können Implantationen für schwach dotierte Source-/Drain-Bereiche (LDD-Bereiche - lightly doped source/drain regions) (nicht explizit veranschaulicht) durchgeführt werden. In Ausführungsformen mit unterschiedlichen Bauelementtypen kann, ähnlich wie bei den vorstehend in 4 erörterten Implantationen, eine Maske, wie ein Fotolack, über dem n-Bereich 50N gebildet werden, wobei der p-Bereich 50P freiliegt, und Fremdstoffe angemessenen Typs (z. B. p-Fremdstoffe) können in die freiliegenden Finnen 66 und Nanostrukturen 55 in dem p-Bereich 50P implantiert werden. Die Maske kann dann entfernt werden. Anschließend kann eine Maske, wie ein Fotolack, über dem p-Bereich 50P gebildet werden, wobei die n-Bereiche 50N freiliegen, und Fremdstoffe angemessenen Typs (z. B. n-Fremdstoffe) können in die freiliegenden Finnen 66 und Nanostrukturen 55 in dem n-Bereich 50N implantiert werden. Die Maske kann dann entfernt werden. Die n-Fremdstoffe können beliebige der zuvor erörterten n-Fremdstoffe sein, und die p-Fremdstoffe können beliebige der zuvor erörterten p-Fremdstoffe sein. Die schwach dotierten Source-/Drain-Bereiche können eine Konzentration von Fremdstoffen aufweisen, die von etwa 1 × 1015 Atome/cm3 bis etwa 1 × 1019 Atome/cm3 reicht. Ein Temperschritt kann verwendet werden, um Implantationsschäden zu reparieren und die implantierten Fremdstoffe zu aktivieren.
  • In 8A und 8B werden die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 geätzt, um erste Abstandshalter 81 und zweite Abstandshalter 83 zu bilden. Wie es nachstehend ausführlicher erörtert wird, dienen die ersten Abstandshalter 81 und die zweiten Abstandshalter 83 dazu, die anschließend gebildeten Source-/Drain-Bereiche selbstausrichtend sein zu lassen und die Seitenwände der Finnen 66 und/oder Nanostruktur 55 während der nachfolgenden Verarbeitung zu schützen. Die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 können unter Verwendung eines geeigneten Ätzprozesses geätzt werden, wie eines isotropen Ätzprozesses (z. B. eines Nassätzprozesses), eines anisotropen Ätzprozesses (z. B. eines Trockenätzprozesses) oder dergleichen. In einigen Ausführungsformen weist das Material der zweiten Abstandshalterschicht 82 eine andere Ätzrate als das Material der ersten Abstandshalterschicht 80 auf, so dass die erste Abstandshalterschicht 80 beim Strukturieren der zweiten Abstandshalterschicht 82 als Ätzstoppschicht dienen kann und die zweite Abstandshalterschicht 82 beim Strukturieren der ersten Abstandshalterschicht 80 als Maske dienen kann. Beispielsweise kann die zweite Abstandshalterschicht 82 unter Verwendung eines anisotropen Ätzprozesses, bei dem die erste Abstandshalterschicht 80 als Ätzstoppschicht dient, geätzt werden, wobei verbleibende Abschnitte der zweiten Abstandshalterschicht 82, wie in 8A veranschaulicht, zweite Abstandshalter 83 bilden. Danach dienen die zweiten Abstandshalter 83 beim Ätzen von freigelegten Abschnitten der ersten Abstandshalterschicht 80 als Maske, wodurch, wie in 8A veranschaulicht, erste Abstandshalter 81 gebildet werden. Obwohl dies in 8B nicht speziell veranschaulicht ist, kann gemäß einigen Ausführungsformen der Ätzprozess (z. B. der anisotrope Ätzprozess) oder sogar die Verwendung weiterer Maskierungs- und Ätzprozesse außerdem die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 oberhalb der Masken 78 sowie die zweite Abstandshalterschicht 82 auf den Seiten der Dummy-Gates 76 und der Masken 78 entfernen.
  • Wie in 8A veranschaulicht, sind die ersten Abstandshalter 81 und die zweiten Abstandshalter 83 auf Seitenwänden der Finnen 66 und/oder Nanostrukturen 55 angeordnet. Wie in 8B veranschaulicht, verbleiben Abschnitte des ersten Abstandshalters 81 und des zweiten Abstandshalters 83 angrenzend an die Masken 78, die Dummy-Gates 76 und die Dummy-Gate-Dielektrika 71 sowie darüber. In anderen, nicht speziell veranschaulichten Ausführungsformen kann die zweite Abstandshalterschicht 82 über der ersten Abstandshalterschicht 80 angrenzend an die Masken 78, die Dummy-Gates 76 und die Dummy-Gate-Dielektrika 71 sowie über deren Oberseite entfernt werden, und es kann die erste Abstandshalterschicht 80 über der Oberseite der Masken 78 entfernt werden.
  • Es sei angemerkt, dass die vorstehende Offenbarung einen Prozess zum Bilden von Abstandshaltern und LDD-Bereichen allgemein beschreibt. Andere Prozesse und Abfolgen können verwendet werden. Es können zum Beispiel weniger oder zusätzliche Abstandshalter verwendet werden, es kann eine andere Schrittabfolge verwendet werden (z. B. können die ersten Abstandshalter 81 vor dem Abscheiden der zweiten Abstandshalterschicht 82 strukturiert werden), es können zusätzliche Abstandshalter gebildet und entfernt werden und/oder dergleichen. Außerdem können die Bauelemente vom n-Typ und p-Typ unter Verwendung unterschiedlicher Strukturen und Schritte gebildet werden.
  • In 9A und 9B werden gemäß einigen Ausführungsformen erste Vertiefungen 86 in den Finnen 66, den Nanostrukturen 55 und dem Substrat 50 gebildet. Anschließend werden epitaktische Source-/Drain-Bereiche in den ersten Vertiefungen 86 gebildet. Die ersten Vertiefungen 86 können sich durch die ersten Nanostrukturen 52 und die zweiten Nanostrukturen 54 hindurch und in das Substrat 50 hinein erstrecken. Wie in 9A veranschaulicht, können obere Flächen der STI-Bereiche 68 mit unteren Flächen der ersten Vertiefungen 86 bündig sein. In verschiedenen Ausführungsformen können die Finnen 66 derart geätzt werden, dass untere Flächen der ersten Vertiefungen 86 unterhalb der oberen Flächen der STI-Bereiche 68 angeordnet sind; oder dergleichen. Die ersten Vertiefungen 86 können durch Ätzen der Finnen 66, der Nanostrukturen 55 und des Substrats 50 unter Verwendung anisotroper Ätzprozesse wie RIE, NBE oder dergleichen gebildet werden. Die ersten Abstandshalter 81, die zweiten Abstandshalter 83 und die Masken 78 maskieren Abschnitte der Finnen 66, der Nanostrukturen 55 und des Substrats 50 während der Ätzprozesse, die zum Bilden der ersten Vertiefungen 86 verwendet werden. Ein einziger Ätzprozess oder mehrere Ätzprozesse können verwendet werden, um jede Schicht der Nanostrukturen 55 und/oder der Finnen 66 zu ätzen. Zeitgesteuerte Ätzprozesse können verwendet werden, um das Ätzen der ersten Vertiefungen 86 zu stoppen, nachdem die ersten Vertiefungen 86 eine gewünschte Tiefe erreichen.
  • In 10A und 10B werden Abschnitte von Seitenwänden der Schichten des Mehrschichtstapels 64, die aus den ersten Halbleitermaterialien (z. B. den ersten Nanostrukturen 52) gebildet werden, die durch die ersten Vertiefungen 86 freigelegt werden, geätzt, um Seitenwandvertiefungen 88 in dem n-Bereich 50N zu bilden, und Abschnitte von Seitenwänden der Schichten des Mehrschichtstapels 64, die aus den zweiten Halbleitermaterialien (z. B. den zweiten Nanostrukturen 54) gebildet werden, die durch die ersten Vertiefungen 86 freigelegt werden, werden geätzt, um Seitenwandvertiefungen 88 in dem p-Bereich 50P zu bilden. Obwohl Seitenwände der ersten Nanostrukturen 52 und der zweiten Nanostrukturen 54 in den Seitenwandvertiefungen 88 in 10B als gerade veranschaulicht sind, können die Seitenwände konkav oder konvex sein. Die Seitenwände können unter Verwendung isotroper Ätzprozesse geätzt werden, wie Nassätzen oder dergleichen. Der p-Bereich 50P kann unter Verwendung einer Maske (nicht gezeigt) geschützt werden, während Ätzmittel, die selektiv gegenüber den ersten Halbleitermaterialien sind, verwendet werden, um die ersten Nanostrukturen 52 so zu ätzen, dass die zweiten Nanostrukturen 54 und das Substrat 50 im Vergleich zu den ersten Nanostrukturen 52 in dem n-Bereich 50N relativ ungeätzt bleiben. In ähnlicher Weise kann der n-Bereich 50N unter Verwendung einer Maske (nicht gezeigt) geschützt werden, während Ätzmittel, die selektiv gegenüber den zweiten Halbleitermaterialien sind, verwendet werden, um die zweiten Nanostrukturen 54 so zu ätzen, dass die ersten Nanostrukturen 52 und das Substrat 50 im Vergleich zu den zweiten Nanostrukturen 54 in dem p-Bereich 50P relativ ungeätzt bleiben. In einer Ausführungsform, in der die ersten Nanostrukturen 52 beispielsweise SiGe umfassen und die zweiten Nanostrukturen 54 beispielsweise Si oder SiC umfassen, kann ein Trockenätzprozess mit Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen verwendet werden, um Seitenwände der ersten Nanostrukturen 52 in dem n-Bereich 50N zu ätzen, und ein Trockenätzprozess mit Fluorwasserstoff, einem anderen Ätzmittel auf Fluorbasis oder dergleichen kann verwendet werden, um Seitenwände der zweiten Nanostrukturen 54 in dem p-Bereich 50P zu ätzen.
  • In 11A-11B werden erste innere Abstandshalter 90 in den Seitenwandvertiefungen 88 gebildet. Die ersten inneren Abstandshalter 90 können durch Abscheiden einer inneren Abstandshalterschicht (nicht separat veranschaulicht) über den in 10A und 10B veranschaulichten Strukturen gebildet werden. Die ersten inneren Abstandshalter 90 dienen als Isolationsmerkmale zwischen anschließend gebildeten Source-/Drain-Bereichen und einer Gatestruktur. Wie es nachstehend ausführlicher erörtert wird, werden Source-/Drain-Bereiche in den ersten Vertiefungen 86 gebildet, während die ersten Nanostrukturen 52 in dem n-Bereich 50N und die zweiten Nanostrukturen 54 in dem p-Bereich 50P durch entsprechende Gatestrukturen ersetzt werden.
  • Die innere Abstandshalterschicht kann durch einen konformen Abscheidungsprozess, wie CVD, ALD oder dergleichen, abgeschieden werden. Die innere Abstandshalterschicht kann ein Material wie Siliziumnitrid oder Siliziumoxynitrid umfassen, dennoch ein beliebiges geeignetes Material verwendet werden kann, wie Materialien mit niedriger Dielektrizitätskonstante (niedrigem k-Wert), das einen k-Wert von weniger als etwa 3,5 aufweist. Die innere Abstandshalterschicht kann dann anisotrop geätzt werden, um die ersten inneren Abstandshalter 90 zu bilden. Die ersten inneren Abstandshalter 90 können verwendet werden, um eine Beschädigung von anschließend gebildeten Source-/Drain-Bereichen (wie der nachstehend erörterten epitaktischen Source-/Drain-Bereiche 92) durch nachfolgende Ätzprozesse, wie Ätzprozesse, die verwendet werden, um Gatestrukturen zu bilden, zu verhindern. Obwohl äußere Seitenwände der ersten inneren Abstandshalter 90 so veranschaulicht sind, dass sie mit Seitenwänden der zweiten Nanostrukturen 54 in dem n-Bereich 50N bündig sind und mit den Seitenwänden der ersten Nanostrukturen 52 in dem p-Bereich 50P bündig sind, können sich die äußeren Seitenwände der ersten inneren Abstandshalter 90 über Seitenwände der zweiten Nanostrukturen 54 bzw. der ersten Nanostrukturen 52 hinaus erstrecken oder von diesen vertieft sein.
  • In 12A-19C werden epitaktische Source-/Drain-Bereiche 92 in den ersten Vertiefungen 86 gebildet. Insbesondere veranschaulichen die 12A-15D das Bilden von epitaktischen Source-/Drain-Bereichen 92 in dem n-Bereich 50N, und die 16A-19C veranschaulichen das Bilden von epitaktischen Source-/Drain-Bereichen 92 in dem p-Bereich 50P. In einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 92 eine mechanische Spannung auf die zweiten Nanostrukturen 54 in dem n-Bereich 50N und auf die ersten Nanostrukturen 52 in dem p-Bereich 50P ausüben, wodurch die Leistungsfähigkeit verbessert wird. Wie veranschaulicht, werden die epitaktischen Source-/Drain-Bereiche 92 derart in den ersten Vertiefungen 86 gebildet, dass jedes Dummy-Gate 76 zwischen jeweiligen benachbarten Paaren der epitaktischen Source-/Drain-Bereichen 92 angeordnet ist. In einigen Ausführungsformen werden die ersten Abstandshalter 81 verwendet, um die epitaktischen Source-/Drain-Bereiche 92 von den Dummy-Gates 76 zu trennen, und die ersten inneren Abstandshalter 90 werden verwendet, um die epitaktischen Source-/Drain-Bereiche 92 um einen angemessenen seitlichen Abstand von den Nanostrukturen 55 zu trennen, sodass die epitaktischen Source-/Drain-Bereiche 92 keine Kurzschlüsse mit anschließend gebildeten Gates der resultierenden Nano-FETs bilden.
  • Wie nachstehend ausführlicher erörtert, wird in 12A-14B eine mehrschichtige p-Maskenschicht 200 über der Struktur gebildet und so strukturiert, dass sie über dem p-Bereich 50P verbleibt. In 15A-15C werden die epitaktischen Source-/Drain-Bereiche 92 hauptsächlich in dem n-Bereich 50N aufgewachsen. In 16A-18C wird die mehrschichtige p-Maskenschicht 200 entfernt und eine mehrschichtige n-Maskenschicht 300 über der Struktur gebildet und so strukturiert, dass sie über dem n-Bereich 50N verbleibt. In 19A-19C werden die epitaktischen Source-/Drain-Bereiche 92 hauptsächlich in dem p-Bereich 50P aufgewachsen.
  • Unter Bezugnahme auf 12A-12B wird eine erste p-Maskenschicht 204 über der Struktur (z. B. dem n-Bereich 50N und dem p-Bereich 50P) gebildet. Während der Bildung der n-leitenden epitaktischen Source-/Drain-Bereiche 92 in den ersten Vertiefungen 86 des n-Bereichs 50N (z. B. des NMOS-Bereichs) schützt die erste p-Maskenschicht 204 den p-Bereich 50P. Die erste p-Maskenschicht 204 kann durch einen konformen Abscheidungsprozess abgeschieden werden, wie ALD, CVD, epitaktisches Aufwachsen, Laminierung oder dergleichen. Die erste p-Maskenschicht 204 kann ein Material wie ein Metalloxid umfassen, einschließlich Aluminiumoxid (Al2O3), Hafniumoxid (HfOx), Zirkoniumoxid (ZrOx), Titanoxid (TiOx), Zinkoxid, Siliziumoxid, Siliziumoxinitrid, Siliziumnitrid, Siliziumkarbid oder dergleichen. Die Verwendung eines Metalloxids als erste p-Maskenschicht 204 ermöglicht eine dünne erste p-Maskenschicht 204 (und eine entsprechende dünne mehrschichtige p-Maskenschicht 200, wie in nachfolgenden Figuren gezeigt), was Substrate durch Bereitstellen einer vollständigen Abdeckung vorteilhafterweise schützt, selbst wenn Merkmale wie die ersten Vertiefungen 86 sehr kleine kritische Abmessungen aufweisen. Nach der Abscheidung kann die erste p-Maskenschicht 204 eine im Wesentlichen glatte freiliegende Oberfläche aufweisen und im Wesentlichen oder vollständig amorph sein. Zum Beispiel kann in einigen Ausführungsformen die erste p-Maskenschicht 204 mit einer Dicke T1 (siehe 13C) zwischen etwa 0,1 nm und etwa 10 nm, mit einer Dichte zwischen etwa 1,2 g/cm3 und etwa 4 g/cm3 und einer Oberflächenrauheit zwischen etwa 0,05 nm und etwa 5 nm abgeschieden werden.
  • Unter Bezugnahme auf 13A-13C wird eine zweite p-Maskenschicht 208 über der ersten p-Maskenschicht 204 gebildet, um gemeinsam die mehrschichtige p-Maskenschicht 200 als Laminatstruktur zu bilden. Während der Bildung der n-leitenden epitaktischen Source-/Drain-Bereiche 92 in den ersten Vertiefungen 86 des n-Bereichs 50N schützt die zweite p-Maskenschicht 208 weiterhin den p-Bereich 50P. Die zweite p-Maskenschicht 208 stellt außerdem eine freiliegende Oberfläche bereit, welche die Selektivität des epitaktischen Aufwachsens in nachfolgenden Schritten (siehe 15A-15C) verbessert, da epitaktisches Material weniger leicht und mit kleineren Knollen auf der freiliegenden Oberfläche der zweiten p-Maskenschicht 208 aufwächst. Weniger epitaktisches Aufwachsen über der zweiten p-Maskenschicht 208 ermöglicht außerdem ein effizienteres Entfernen der ersten p-Maskenschicht 204 und der zweiten p-Maskenschicht 208 (siehe 16A-16C) im Anschluss in die Bildung der epitaktischen Source-/Drain-Bereiche 92 in dem n-Bereich 50N.
  • Die zweite p-Maskenschicht 208 kann unter Verwendung von CVD, ALD, Laminierung, epitaktischem Aufwachsen oder einer beliebigen geeigneten Technik zum Abscheiden des ausgewählten Materials über der ersten p-Maskenschicht 204 gebildet werden. Die zweite p-Maskenschicht 208 kann Siliziumnitrid, Siliziumoxid, Siliziumoxinitrid oder dergleichen umfassen. Die zweite p-Maskenschicht 208 kann mit einer Dicke T2 zwischen etwa 0,5 nm und etwa 10 nm gebildet werden.
  • Gemäß einer bestimmten Ausführungsform kann die zweite p-Maskenschicht 208 so abgeschieden werden, dass sich Siliziumnitrid über der ersten p-Maskenschicht 204 bildet. Siliziumnitrid (SiNx) kann derart gebildet werden, dass x zwischen etwa 0,8 und etwa 1,6 liegt. Die Vorläufergase können einen Siliziumvorläufer wie Silan (SiH4), Dichlorsilan (SiH2Cl2), SiH2I2, SiCl4 oder dergleichen und einen Stickstoffvorläufer wie Stickstoff (N2), Ammoniak (NH3), beliebige Kombinationen und Plasmen davon oder dergleichen umfassen. Der Siliziumvorläufer kann zum Beispiel mit einer Flussrate zwischen etwa 20 sccm (Standardkubikzentimeter pro Minute) und etwa 1000 sccm strömen, und der Stickstoffvorläufer kann mit einer Flussrate zwischen etwa 20 sccm und etwa 2 SLM (Standardliter pro Minute) strömen. Die Abscheidung kann bei einer Temperatur zwischen etwa 50 °C und etwa 650 °C und einem Druck zwischen etwa 0,5 Torr und etwa 10 Torr durchgeführt werden.
  • In anderen Ausführungsformen kann die zweite p-Maskenschicht 208 so abgeschieden werden, dass sich Siliziumoxinitrid über der ersten p-Maskenschicht 204 bildet. Siliziumoxinitrid (SiOxNy) kann derart gebildet werden, dass x zwischen etwa 0,8 und etwa 2 liegt und y zwischen etwa 0,8 und etwa 1,6 liegt. Die Vorläufergase können einen Siliziumvorläufer wie Silan (SiH4), Dichlorsilan (SiH2Cl2), SiH2I2, SiCl4 oder dergleichen; einen Sauerstoffvorläufer wie Sauerstoff (O2), Ozon (O3), Wasserstoffperoxid (H2O2), beliebige Kombinationen und Plasmen davon oder dergleichen; und einen Stickstoffvorläufer wie Stickstoff (N2), Ammoniak (NH3), beliebige Kombinationen und Plasmen davon oder dergleichen umfassen. Der Siliziumvorläufer kann zum Beispiel mit einer Flussrate zwischen etwa 20 sccm und etwa 1000 sccm strömen, der Sauerstoffvorläufer kann mit einer Flussrate zwischen etwa 20 sccm und etwa 2 SLM strömen und der Stickstoffvorläufer kann mit einer Flussrate zwischen etwa 20 sccm und etwa 2 SLM strömen. Die Abscheidung kann bei einer Temperatur zwischen etwa 50 °C und etwa 650 °C und einem Druck zwischen etwa 0,5 Torr und etwa 10 Torr durchgeführt werden.
  • Alternativ dazu kann die zweite p-Maskenschicht 208 so abgeschieden werden, dass sich Siliziumoxid über der ersten p-Maskenschicht 204 bildet. Siliziumoxid (SiOx) kann derart gebildet werden, dass x zwischen etwa 0,8 und etwa 2 liegt. Die Vorläufergase können einen Siliziumvorläufer wie Silan (SiH4), Dichlorsilan (SiH2Cl2), SiH2I2, SiCl4 oder dergleichen und einen Sauerstoffvorläufer wie Sauerstoff (O2), Ozon (O3), Wasserstoffperoxid (H2O2), beliebige Kombinationen und Plasmen davon oder dergleichen umfassen. Der Siliziumvorläufer kann zum Beispiel mit einer Flussrate zwischen etwa 20 sccm und etwa 1000 sccm strömen, und der Sauerstoffvorläufer kann mit einer Flussrate zwischen etwa 20 sccm und etwa 2 SLM strömen. Die Abscheidung kann bei einer Temperatur zwischen etwa 50 °C und etwa 650 °C und einem Druck zwischen etwa 0,5 Torr und etwa 10 Torr durchgeführt werden.
  • Es wird angemerkt, dass die Abscheidung der zweiten p-Maskenschicht 208 kalibriert werden kann, um eine sehr dünne Siliziumnitridschicht zu bilden, wie eine Monolage. Bei Verwendung eines CVD-Prozesses kann der Prozess zum Beispiel eine kurze Dauer aufweisen und/oder geringe Vorläuferflussraten umfassen. Der CVD-Prozess kann zum Beispiel eine Dauer von zwischen etwa 1 Sekunde und etwa 120 Sekunden aufweisen. Außerdem kann der Siliziumvorläufer mit einer Flussrate zwischen etwa 20 sccm und etwa 1000 sccm strömen, und der Stickstoffvorläufer kann mit einer Flussrate zwischen etwa 20 sccm und etwa 2 SLM strömen. Bei der alternativen Verwendung eines ALD-Prozesses kann der Prozess lediglich einen einzigen Puls pro Vorläufer umfassen. In beiden Fällen kann die Bildung der zweiten p-Maskenschicht 208 als Oberflächenbehandlung, wie als Siliziumnitrid-Oberflächenbehandlung, der ersten p-Maskenschicht beschrieben werden.
  • Unter Bezugnahme auf 14A-14B wird nach Bildung der zweiten p-Maskenschicht 208 die mehrschichtige p-Maskenschicht 200 aus dem n-Bereich 50N entfernt. Ein Fotolack (nicht speziell veranschaulicht), wie eine Hartmaske, kann über der mehrschichtigen p-Maskenschicht 200 gebildet und so strukturiert werden, dass die mehrschichtige p-Maskenschicht 200 in dem n-Bereich 50N freigelegt wird. Die mehrschichtige p-Maskenschicht 200 kann dann unter Verwendung eines geeigneten Ätzprozesses geätzt werden, wie eines isotropen Ätzprozesses (z. B. eines Nassätzprozesses), eines anisotropen Ätzprozesses (z. B. eines Trockenätzprozesses) oder dergleichen. Der Fotolack kann dann durch einen geeigneten Prozess entfernt werden, wie einen isotropen Ätzprozess oder einen anisotropen Ätzprozess. In anderen Ausführungsformen wird die mehrschichtige p-Maskenschicht 200 in dem n-Bereich 50N durch einen der vorstehenden Prozesse entfernt, ohne dass zuvor der Fotolack über der mehrschichtigen p-Maskenschicht 200 gebildet wird.
  • Unter Bezugnahme auf 15A-15C werden die epitaktischen Source-/Drain-Bereiche 92 in den ersten Vertiefungen 86 in dem n-Bereich 50N epitaktisch aufgewachsen, und Knollen 92N aus epitaktischem Material können sich über der mehrschichtigen p-Maskenschicht 200 (z. B. über der zweiten p-Maskenschicht 208) bilden. Die epitaktischen Source-/Drain-Bereiche 92 können ein beliebiges annehmbares Material umfassen, das für n-Nano-FETs angemessen ist. Wenn beispielsweise die zweiten Nanostrukturen 54 Silizium sind, können die epitaktischen Source-/Drain-Bereiche 92 Materialien umfassen, die eine Zugbeanspruchung auf die zweiten Nanostrukturen 54 ausüben, wie Silizium, Siliziumcarbid, phosphordotiertes Siliziumcarbid, Siliziumphosphid oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 92 können Oberflächen aufweisen, die von jeweiligen oberen Flächen der Nanostrukturen 55 erhaben sind, und Facetten aufweisen.
  • Wie vorstehend erläutert, können Knollen 92N (z. B. kleine Mengen epitaktischen Materials) über der zweiten p-Maskenschicht 208 aufwachsen. Die chemische Zusammensetzung und/oder Rauheit der freiliegenden Oberfläche der zweiten p-Maskenschicht 208 verringert jedoch die Anzahl und Größe der Knollen 92N, die andernfalls, beispielsweise direkt über der ersten p-Maskenschicht 204, gebildet würden. Die Verringerung der Anzahl und Größe der Knollen 92N ermöglicht eine bessere Steuerung der Bildung der epitaktischen Source-/Drain-Bereiche 92 und verbessert das Entfernen der mehrschichtigen p-Maskenschicht 200 (und der Knollen 92N) in nachfolgenden Schritten, die nachstehend ausführlicher erörtert werden. Wie veranschaulicht, bildet sich jeder epitaktische Source-/Drain-Bereich 92 als zusammenhängendes Material, während die Knollen 92N sich als nicht zusammenhängende Cluster bzw. Knollen bilden.
  • Die epitaktischen Source-/Drain-Bereiche 92, die ersten Nanostrukturen 52, die zweiten Nanostrukturen 54 und/oder das Substrat 50 können, ähnlich wie bei den zuvor erörterten Prozessen zum Bilden schwach dotierter Source-/Drain-Bereiche, mit Dotierstoffen implantiert werden, um Source-/Drain-Bereiche zu bilden, gefolgt von einem Temperschritt. Die Source-/Drain-Bereiche können eine Fremdstoffskonzentration zwischen etwa 1 × 1019 Atome/cm3 und etwa 1 × 1021 Atomen/cm3 aufweisen. Die n-Fremdstoffe für Source-/Drain-Bereiche können beliebige der zuvor erörterten Fremdstoffe sein. Die n-Fremdstoffe können implantiert werden, während die mehrschichtige p-Maskenschicht 200 weiterhin den p-Bereich 50P schützt. In einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 92 während des Aufwachsens in situ dotiert werden.
  • Als Ergebnis der Epitaxieprozesse, die zur Bildung der epitaktischen Source-/Drain-Bereiche 92 verwendet werden, weisen obere Flächen der epitaktischen Source-/Drain-Bereiche 92 Facetten auf, die sich über Seitenwände der Nanostrukturen 55 hinaus seitlich nach außen erstrecken. In einigen Ausführungsformen führen diese Facetten dazu, dass benachbarte epitaktische Source-/Drain-Bereiche 92 desselben NSFET zusammenwachsen, wie durch 15A veranschaulicht. In anderen Ausführungsformen bleiben benachbarte epitaktische Source-/Drain-Bereiche 92 getrennt, nachdem der Epitaxieprozess beendet ist, wie durch 15C veranschaulicht. In den in 15A und 15C veranschaulichten Ausführungsformen können die ersten Abstandshalter 81 bis zu einer oberen Fläche der STI-Bereiche 68 gebildet werden, wodurch es das epitaktische Aufwachsen blockiert. In einigen anderen Ausführungsformen können die ersten Abstandshalter 81 Abschnitte der Seitenwände der Nanostrukturen 55 bedecken, was das epitaktische Aufwachsen noch weiter blockiert. In einigen anderen Ausführungsformen kann die Abstandshalterätzung, die zur Bildung der ersten Abstandshalter 81 verwendet wird, angepasst werden, um das Abstandshaltermaterial zu entfernen, um zu ermöglichen, dass sich der epitaktisch aufgewachsene Bereich bis zur Fläche des STI-Bereichs 68 erstreckt.
  • Die epitaktischen Source-/Drain-Bereiche 92 können eine oder mehrere Halbleitermaterialschichten umfassen. Beispielsweise können die epitaktischen Source-/Drain-Bereiche 92 eine erste Halbleitermaterialschicht 92A, eine zweite Halbleitermaterialschicht 92B und eine dritte Halbleitermaterialschicht 92C umfassen. Eine beliebige Anzahl von Halbleitermaterialschichten kann für die epitaktischen Source-/Drain-Bereiche 92 verwendet werden. Jede der ersten, zweiten und dritten Halbleitermaterialschichten 92A, 92B und 92C kann aus einem anderen Halbleitermaterial gebildet und mit einer anderen Dotierstoffkonzentration dotiert werden. In einigen Ausführungsformen kann die erste Halbleitermaterialschicht 92A eine geringere Dotierstoffkonzentration als die zweite Halbleitermaterialschicht 92B und eine höhere Dotierstoffkonzentration als die dritte Halbleitermaterialschicht 92C aufweisen. In Ausführungsformen, in denen die epitaktischen Source-/Drain-Bereiche 92 drei Halbleitermaterialschichten umfassen, kann die erste Halbleitermaterialschicht 92A abgeschieden werden, kann die zweite Halbleitermaterialschicht 92B über der ersten Halbleitermaterialschicht 92A abgeschieden werden und kann die dritte Halbleitermaterialschicht 92C über der zweiten Halbleitermaterialschicht 92B abgeschieden werden.
  • In 16A-19C können die mehrschichtige p-Maskenschicht 200 und die Knollen 92N aus dem p-Bereich 50P entfernt werden, und die epitaktischen Source-/Drain-Bereiche 92 in dem p-Bereich 50P (z. B. dem PMOS-Bereich) können auf ähnliche Weise gebildet werden wie vorstehend in Verbindung mit den epitaktischen Source-/Drain-Bereichen 92 in dem n-Bereich 50N beschrieben ist.
  • Unter Bezugnahme auf 16A-16C können die mehrschichtige p-Maskenschicht 200 und die Knollen 92N aus dem p-Bereich 50P entfernt werden. Beispielsweise können die mehrschichtige p-Maskenschicht 200 und die Knollen 92N unter Verwendung einer Nass- oder einer Trockenätzung mit Ätzmitteln wie Schwefelsäure (H2SO4), Fluorwasserstoff (HF), Chlorwasserstoff (HCl), Ammoniak (NH3+H2O) oder dergleichen, beliebigen Kombinationen davon oder beliebigen geeigneten Ätzmitteln entfernt werden. Die Verringerung der Größe und Anzahl der Knollen 92N (aufgrund der freiliegenden Oberfläche der zweiten p-Maskenschicht 208) erhöht die Effizienz des Entfernens der mehrschichtigen p-Maskenschicht 200 und der Knollen 92N durch den vorstehend beschriebenen Prozess.
  • Dann kann eine mehrschichtige n-Maskenschicht 300 über der Struktur (z. B. dem n-Bereich 50N und dem p-Bereich 50P) gebildet werden. Während der Bildung der p-leitenden epitaktischen Source-/Drain-Bereiche 92 in den ersten Vertiefungen 86 des p-Bereichs 50P (z. B. des PMOS-Bereichs) schützt die mehrschichtige n-Maskenschicht 300 den n-Bereich 50N. Die mehrschichtige n-Maskenschicht 300 kann unter Verwendung eines beliebigen derselben Verfahren und Materialien und mit denselben Spezifikationen gebildet werden, die vorstehend für die mehrschichtige p-Maskenschicht 200 erörtert wurden.
  • Weiterhin unter Bezugnahme auf 16A-16C kann eine erste n-Maskenschicht 304 der mehrschichtigen n-Maskenschicht 300 unter Verwendung eines beliebigen derselben Verfahren und Materialien und gemäß einer beliebigen der Spezifikationen gebildet werden, die vorstehend in Verbindung mit 12A-12B für die erste p-Maskenschicht 204 erörtert wurden, um den gleichen oder einen ähnlichen Vorteil zu erzielen. Unter Bezugnahme auf 17A-17D kann unter Verwendung eines beliebigen der Verfahren und Materialien und gemäß einer beliebigen der Spezifikationen, die vorstehend in Verbindung mit 13A-13C für die zweite p-Maskenschicht 208 erörtert wurden, über der ersten n-Maskenschicht 304 eine zweite n-Maskenschicht 308 gebildet werden, um den gleichen oder einen ähnlichen Vorteil zu erzielen. Unter Bezugnahme auf 18A-18C kann die mehrschichtige n-Maskenschicht 300 nach der Bildung der zweiten n-Maskenschicht 308 unter Verwendung eines beliebigen derselben Verfahren und Materialien, die vorstehend in Verbindung mit 14A-14B für das Entfernen der mehrschichtigen p-Maskenschicht 200 erörtert wurden, aus dem p-Bereich 50P entfernt werden.
  • Unter Bezugnahme auf 19A-19C kann das epitaktische Aufwachsen der epitaktischen Source-/Drain-Bereiche 92 in den ersten Vertiefungen 86 in dem p-Bereich 50P und das Bilden der Knollen 92N aus epitaktischem Material über der mehrschichtigen n-Maskenschicht 300 unter Verwendung eines beliebigen derselben Verfahren und Materialien und gemäß einer beliebigen derselben Spezifikationen durchgeführt werden, die vorstehend in Verbindung mit 15A-15C für die epitaktischen Source-/Drain-Bereiche 92 in den ersten Vertiefungen 86 in dem n-Bereich 50N und die Knollen 92N aus epitaktischem Material über der mehrschichtigen p-Maskenschicht 200 erörtert wurden. Die epitaktischen Source-/Drain-Bereiche 92 können ein beliebiges annehmbares Material umfassen, das für p-Nano-FETs angemessen ist. Wenn beispielsweise die ersten Nanostrukturen 52 aus Siliziumgermanium sein, können die epitaktischen Source-/Drain-Bereiche 92 Materialien umfassen, die eine Druckbeanspruchung auf die ersten Nanostrukturen 52 ausüben, wie Siliziumgermanium, Germanium, Germaniumzinn oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 92 können außerdem Oberflächen aufweisen, die von jeweiligen Oberflächen des Mehrschichtstapels 64 erhaben sind, und Facetten aufweisen.
  • Unter Bezugnahme auf 20A-20D können die mehrschichtige n-Maskenschicht 300 und die Knollen 92N aus dem n-Bereich 50N entfernt werden. Beispielsweise können die mehrschichtige n-Maskenschicht 300 und die Knollen 92N unter Verwendung einer Nass- oder einer Trockenätzung mit Ätzmitteln wie Schwefelsäure (H2SO4), Fluorwasserstoff (HF), Chlorwasserstoff (HCl), Ammoniak (NH3+H2O) oder dergleichen, beliebigen Kombinationen davon oder beliebigen geeigneten Ätzmitteln entfernt werden. Die Verringerung der Größe und Anzahl der Knollen 92N (aufgrund der freiliegenden Oberfläche der zweiten n-Maskenschicht 308) erhöht die Effizienz des Entfernens der mehrschichtigen n-Maskenschicht 300 und der Knollen 92N durch den vorstehend beschriebenen Prozess. 20C veranschaulicht eine Ausführungsform, in der die epitaktischen Source-/Drain-Bereiche 92 getrennt bleiben, nachdem der Epitaxieprozess beendet ist, beispielsweise in dem n-Bereich 50N in Verbindung mit 15C.
  • Es wird nun auf 20D Bezug genommen. Obwohl die äußeren Seitenwände der ersten inneren Abstandshalter 90 in 10B bis 20B als gerade veranschaulicht sind, können die äußeren Seitenwände der ersten inneren Abstandshalter 90 konkav oder konvex sein. Als Beispiel veranschaulicht 20D eine Ausführungsform, in der Seitenwände der ersten Nanostrukturen 52 konkav sind, äußere Seitenwände der ersten inneren Abstandshalter 90 konkav sind und die ersten inneren Abstandshalter von Seitenwänden der zweiten Nanostrukturen 54 in dem n-Bereich 50N vertieft sind. Wie in Verbindung mit dem n-Bereich 50N veranschaulicht, können die epitaktischen Source-/Drain-Bereiche 92 in Kontakt mit den ersten inneren Abstandshaltern 90 gebildet werden und sich über Seitenwände der zweiten Nanostrukturen 54 hinweg erstrecken. Es sind auch Ausführungsformen veranschaulicht, in denen Seitenwände der zweiten Nanostrukturen 54 konkav sind, äußere Seitenwände der ersten inneren Abstandshalter 90 konkav sind und die ersten inneren Abstandshalter von Seitenwänden der ersten Nanostrukturen 52 in dem p-Bereich 50P vertieft sind. Wie in Verbindung mit dem p-Bereich 50P veranschaulicht, können die epitaktischen Source-/Drain-Bereiche 92 in Kontakt mit den ersten inneren Abstandshaltern 90 gebildet werden und sich über Seitenwände der zweiten Nanostrukturen 54 hinweg erstrecken.
  • In 21A-21C wird ein erstes Zwischenschichtdielektrikum (ILD - interlayer dielectric) 96 über der in 6A und 20A-20D veranschaulichten Struktur abgeschieden (die Prozesse aus 7A-20D ändern den in 6A veranschaulichten Querschnitt nicht). Das erste ILD 96 kann aus einem dielektrischen Material gebildet werden und kann durch ein beliebiges geeignetes Verfahren abgeschieden werden, wie durch CVD, plasmaunterstützte CVD (PECVD) oder FCVD. Dielektrische Materialien können Phosphorsilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphorsilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen umfassen. Andere Isolationsmaterialien, die durch einen beliebigen annehmbaren Prozess gebildet werden, können verwendet werden. In einigen Ausführungsformen ist eine Kontaktätzstoppschicht (CESL - Contact Etch Stop Layer) 95 zwischen dem ersten ILD 96 und den epitaktischen Source-/Drain-Bereichen 92, den Masken 78 und den ersten Abstandshaltern 81 angeordnet. Die CESL 95 kann ein dielektrisches Material wie Siliziumnitrid, Siliziumoxid, Siliziumoxinitrid oder dergleichen umfassen, das eine andere Ätzrate aufweist als das Material des darüberliegenden ersten ILD 96.
  • In 22A-22B kann ein Planarisierungsprozess, wie ein CMP, durchgeführt werden, um zu bewirken, dass die obere Fläche des ersten ILD 96 bündig mit den oberen Flächen der Dummy-Gates 76 oder der Masken 78 ist. Der Planarisierungsprozess kann auch die Masken 78 auf den Dummy-Gates 76 und Abschnitte der ersten Abstandshalter 81 entlang von Seitenwänden der Masken 78 entfernen. Nach dem Planarisierungsprozess sind obere Flächen der Dummy-Gates 76, der ersten Abstandshalter 81 und des ersten ILD 96 innerhalb von Prozessschwankungen eben. Dementsprechend werden die oberen Flächen der Dummy-Gates 76 durch das erste ILD 96 freigelegt. In einigen Ausführungsformen können die Masken 78 verbleiben, wobei in diesem Fall der Planarisierungsprozess bewirkt, dass die obere Fläche des ersten ILD 96 bündig mit der oberen Fläche der Masken 78 und der ersten Abstandshalter 81 ist.
  • In 23A und 23B werden die Dummy-Gates 76 und, sofern vorhanden, die Masken 78 in einem oder mehreren Ätzschritten derart entfernt, dass zweite Vertiefungen 98 gebildet werden. Abschnitte der dielektrischen Dummy-Schichten 60 in den zweiten Vertiefungen 98 werden ebenfalls entfernt. In einigen Ausführungsformen werden die Dummy-Gates 76 und die dielektrische Dummy-Schichten 60 durch einen anisotropen Trockenätzprozess entfernt. Der Ätzprozess kann beispielsweise einen Trockenätzprozess umfassen, der ein (oder mehrere) reaktive Gase verwendet, die die Dummy-Gates 76 mit einer schnelleren Rate selektiv ätzen als das erste ILD 96 oder die ersten Abstandshalter 81. Jede zweite Vertiefung 98 legt Abschnitte von Nanostrukturen 55, die in anschließend fertiggestellten Nano-FETs als Kanalbereiche dienen, frei und/oder bedeckt diese. Abschnitte der Nanostrukturen 55, die als die Kanalbereiche dienen, sind zwischen benachbarten Paaren der epitaktischen Source-/Drain-Bereiche 92 angeordnet. Während der Entfernung können die dielektrischen Dummy-Schichten 60 als Ätzstoppschichten beim Ätzen der Dummy-Gates 76 verwendet werden. Nach der Entfernung der Dummy-Gates 76 können dann die dielektrischen Dummy-Schichten 60 entfernt werden.
  • In 24A und 24B werden die ersten Nanostrukturen 52 in dem n-Bereich 50N und die zweiten Nanostrukturen 54 in dem p-Bereich 50P entfernt, wodurch die zweiten Vertiefungen 98 erweitert werden. Die ersten Nanostrukturen 52 können entfernt werden, indem eine Maske (nicht gezeigt) über dem p-Bereich 50P gebildet wird und ein isotroper Ätzprozess wie Nassätzen oder dergleichen unter Verwendung von Ätzmitteln durchgeführt wird, die selektiv bezüglich der Materialien der ersten Nanostrukturen 52 sind, während die zweiten Nanostrukturen 54, das Substrat 50, die STI-Bereiche 68 im Vergleich zu den ersten Nanostrukturen 52 relativ ungeätzt bleiben. In Ausführungsformen, in denen die ersten Nanostrukturen 52 z. B. SiGe umfassen und die zweiten Nanostrukturen 54A-54C z. B. Si oder SiC umfassen, kann Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen verwendet werden, um die ersten Nanostrukturen 52 in dem n-Bereich 50N zu entfernen.
  • Die zweiten Nanostrukturen 54 in dem p-Bereich 50P können entfernt werden, indem eine Maske (nicht gezeigt) über dem n-Bereich 50N gebildet wird und ein isotroper Ätzprozess wie Nassätzen oder dergleichen unter Verwendung von Ätzmitteln durchgeführt wird, die selektiv bezüglich der Materialien der zweiten Nanostrukturen 54 sind, während die ersten Nanostrukturen 52, das Substrat 50, die STI-Bereiche 68 im Vergleich zu den zweiten Nanostrukturen 54 relativ ungeätzt bleiben. In Ausführungsformen, in denen die zweiten Nanostrukturen 54 z. B. SiGe umfassen und die ersten Nanostrukturen 52 z. B. Si oder SiC umfassen, kann Fluorwasserstoff, ein anderes Ätzmittel auf Fluorbasis oder dergleichen verwendet werden, um die zweiten Nanostrukturen 54 in dem p-Bereich 50P zu entfernen.
  • In 25A und 25B werden Gate-Dielektrikum-Schichten 100 und Gate-Elektroden 102 für Ersatz-Gates gebildet. Die Gate-Dielektrikum-Schichten 100 werden konform in den zweiten Vertiefungen 98 abgeschieden. In dem n-Bereich 50N können die Gate-Dielektrikum-Schichten 100 auf oberen Flächen und Seitenwänden des Substrats 50 und auf oberen Flächen, Seitenwänden und unteren Flächen der zweiten Nanostrukturen 54 gebildet werden, und in dem p-Bereich 50P können die Gate-Dielektrikum-Schichten 100 auf oberen Flächen und Seitenwänden des Substrats 50 und auf oberen Flächen, Seitenwänden und unteren Flächen der ersten Nanostrukturen 52 gebildet werden. Die Gate-Dielektrikum-Schichten 100 können auch auf oberen Flächen des ersten ILD 96, der CESL 95, der ersten Abstandshalter 81 und der STI-Bereiche 68 abgeschieden werden.
  • Gemäß einigen Ausführungsformen umfassen die Gate-Dielektrikum-Schichten 100 eine oder mehrere dielektrische Schichten, wie ein Oxid, ein Metalloxid oder dergleichen oder Kombinationen davon. Beispielsweise können die Gate-Dielektrika in einigen Ausführungsformen eine Siliziumoxidschicht und eine Metalloxidschicht über der Siliziumoxidschicht umfassen. In einigen Ausführungsformen umfassen die Gate-Dielektrikum-Schichten 100 ein dielektrisches Material mit hohem k-Wert, und in diesen Ausführungsformen können die Gate-Dielektrikum-Schichten 100 einen k-Wert größer als etwa 7,0 aufweisen und ein Metalloxid oder ein Silikat von Hafnium, Aluminium, Zirkonium, Lanthan, Mangan, Barium, Titan, Blei oder Kombinationen davon umfassen. Die Struktur der Gate-Dielektrikum-Schichten 100 kann in dem n-Bereich 50N und dem p-Bereich 50P gleich oder verschieden sein. Die Bildungsverfahren der Gate-Dielektrikum-Schichten 100 können Molekularstrahlabscheidung (MBD - Molecular-Beam Deposition), ALD, PECVD und dergleichen umfassen.
  • Die Gate-Elektroden 102 werden über den jeweiligen Gate-Dielektrikum-Schichten 100 abgeschieden und füllen die verbleibenden Abschnitte der zweiten Vertiefungen 98. Die Gate-Elektroden 102 können ein metallhaltiges Material wie Titannitrid, Titanoxid, Tantalnitrid, Tantalkarbid, Kobalt, Ruthenium, Aluminium, Wolfram oder Kombinationen davon oder Mehrfachschichten daraus umfassen. Obwohl zum Beispiel in 25A und 25B Gate-Elektroden 102 mit einer einzigen Schicht veranschaulicht sind, können die Gate-Elektroden 102 eine beliebige Anzahl von Auskleidungsschichten, eine beliebige Anzahl von die Austrittsarbeit einstellenden Schichten und ein Füllmaterial umfassen. Jede Kombination der Schichten, die die Gate-Elektroden 102 bilden, kann in dem n-Bereich 50N zwischen benachbarten Nanostrukturen der zweiten Nanostrukturen 54 und zwischen der zweiten Nanostruktur 54A und dem Substrat 50 abgeschieden werden und kann in dem p-Bereich 50P zwischen benachbarten Nanostrukturen der ersten Nanostrukturen 52 abgeschieden werden.
  • Die Bildung der Gate-Dielektrikum-Schichten 100 in dem n-Bereich 50N und dem p-Bereich 50P kann gleichzeitig erfolgen, sodass die Gate-Dielektrikum-Schichten 100 in jedem Bereich aus denselben Materialien gebildet werden, und die Bildung der Gate-Elektroden 102 kann gleichzeitig erfolgen, sodass die Gate-Elektroden 102 in jedem Bereich aus denselben Materialien gebildet werden. In einigen Ausführungsformen können die Gate-Dielektrikum-Schichten 100 in jedem Bereich durch verschiedenartige Prozesse gebildet werden, sodass die Gate-Dielektrikum-Schichten 100 aus unterschiedlichen Materialien sein können und/oder eine unterschiedliche Anzahl von Schichten aufweisen können, und/oder die Gate-Elektroden 102 in jedem Bereich können durch verschiedenartige Prozesse gebildet werden, sodass die Gate-Elektroden 102 aus unterschiedlichen Materialien sein können und/oder eine unterschiedliche Anzahl von Schichten aufweisen können. Wenn unterschiedliche Prozesse verwendet werden, können verschiedene Maskierungsschritte verwendet werden, um angemessene Bereiche zu maskieren bzw. freizulegen.
  • Nach dem Füllen der zweiten Vertiefungen 98 kann ein Planarisierungsprozess, wie ein CMP, durchgeführt werden, um überschüssige Abschnitte der Gate-Dielektrikum-Schichten 100 und des Materials der Gate-Elektroden 102 zu entfernen, deren überschüssige Abschnitte über der oberen Fläche des ersten ILD 96 liegen. Die verbleibenden Abschnitte des Materials der Gate-Elektroden 102 und der Gate-Dielektrikum-Schichten 100 bilden somit Ersatz-GateStruktur der resultierenden Nano-FETs. Die Gate-Elektroden 102 und die Gate-Dielektrikum-Schichten 100 können gemeinsam als „Gatestrukturen“ bezeichnet werden.
  • In 26A-26C wird die Gatestruktur (welche die Gate-Dielektrikum-Schichten 100 und die entsprechenden darüberliegenden Gate-Elektroden 102 umfasst) vertieft, sodass eine Vertiefung direkt über der Gatestruktur und zwischen gegenüberliegen Abschnitten der ersten Abstandshalter 81 gebildet wird. In die Vertiefung wird eine Gate-Maske 104 gefüllt, die eine oder mehrere Schichten aus dielektrischem Material wie Siliziumnitrid, Siliziumoxinitrid oder dergleichen umfasst, gefolgt von einem Planarisierungsprozess, um überschüssige Abschnitte des dielektrischen Materials zu entfernen, die sich über das erste ILD 96 erstrecken. Anschließend gebildete Gate-Kontakte (wie die Gate-Kontakte 114, die nachstehend mit Bezug auf 27A-28C erörtert werden) durchdringen die Gate-Maske 104, um die obere Fläche der vertieften Gate-Elektroden 102 zu kontaktieren.
  • Wie ferner durch 26A-26C veranschaulicht, wird ein zweites ILD 106 über dem ersten ILD 96 und über der Gate-Maske 104 abgeschieden. In einigen Ausführungsformen ist das zweite ILD 106 ein fließfähiger Film, der durch FCVD gebildet wird. In einigen Ausführungsformen wird das zweite ILD 106 aus einem dielektrischen Material gebildet, wie PSG, BSG, BPSG, USG oder dergleichen, und kann durch ein beliebiges geeignetes Verfahren abgeschieden werden, wie CVD, PECVD oder dergleichen.
  • In 27A-27C werden das zweite ILD 106, das erste ILD 96, die CESL 95 und die Gate-Masken 104 geätzt, um dritte Vertiefungen 108 zu bilden, welche Oberflächen der epitaktischen Source-/Drain-Bereiche 92 und/oder der Gatestruktur freilegen. Die dritten Vertiefungen 108 können durch Ätzen unter Verwendung eines anisotropen Ätzprozesses wie RIE, NBE oder dergleichen gebildet werden. In einigen Ausführungsformen können die dritten Vertiefungen 108 unter Verwendung eines ersten Ätzprozesses durch das zweite ILD 106 und das erste ILD 96 geätzt werden; unter Verwendung eines zweiten Ätzprozesses durch die Gate-Masken 104 geätzt werden; und dann unter Verwendung eines dritten Ätzprozesses durch die CESL 95 geätzt werden. Eine Maske, wie ein Fotolack, kann über dem zweiten ILD 106 gebildet und strukturiert werden, um Abschnitte des zweiten ILD 106 bei dem ersten Ätzprozess und dem zweiten Ätzprozess zu maskieren. In einigen Ausführungsformen kann der Ätzprozess überätzen, und daher können sich die dritten Vertiefungen 108 in die epitaktischen Source-/Drain-Bereiche 92 und/oder die Gatestruktur hinein erstrecken, und ein Boden der dritten Vertiefungen 108 kann mit den epitaktischen Source-/Drain-Bereichen 92 und/oder der Gatestruktur bündig sein (z. B. auf der gleichen Ebene liegen oder den gleichen Abstand zum Substrat aufweisen) oder tiefer (z. B. näher an dem Substrat) liegen. Obwohl 27B die dritten Vertiefungen 108 derart veranschaulicht, dass sie die epitaktischen Source-/Drain-Bereiche 92 und die Gatestruktur im selben Querschnitt freilegen, können in verschiedenen Ausführungsformen die epitaktischen Source-/Drain-Bereiche 92 und die Gatestruktur in verschiedenen Querschnitten freigelegt werden, wodurch das Risiko des Kurzschließens anschließend gebildeter Kontakte verringert wird. Nachdem die dritten Vertiefungen 108 gebildet sind, werden Silizidbereiche 110 über den epitaktischen Source-/Drain-Bereichen 92 gebildet. In einigen Ausführungsformen werden die Silizidbereiche 110 gebildet, indem zuerst ein Metall (nicht gezeigt), das mit den Halbleitermaterialien der darunterliegenden epitaktischen Source-/Drain-Bereiche 92 (z. B. Silizium, Siliziumgermanium, Germanium) reagieren kann, um Silizid- oder Germanidbereiche zu bilden, beispielsweise Nickel, Kobalt, Titan, Tantal, Platin, Wolfram, andere Edelmetalle, andere hochschmelzende Metalle, Seltenerdmetalle oder Legierungen davon, über den freiliegenden Abschnitten der epitaktischen Source-/Drain-Bereiche 92 abgeschieden wird und dann ein thermischer Temperprozess durchgeführt wird, um die Silizidbereiche 110 zu bilden. Danach werden die nicht reagierten Abschnitte des abgeschiedenen Metalls entfernt, z. B. durch einen Ätzprozess. Obwohl die Silizidbereiche 110 als Silizidbereiche bezeichnet werden, können die Silizidbereiche 110 Germanidbereiche oder Siliziumgermanidbereiche (z.B. Bereiche, die Silizid sowie Germanid umfassen) sein. In einer Ausführungsform umfasst der Silizidbereich 110 TiSi und weist eine Dicke in einem Bereich zwischen etwa 2 nm und etwa 10 nm auf.
  • Als Nächstes werden in 28A-28C Kontakte 112 und 114 (die auch als Kontaktstecker bezeichnet werden können) in den dritten Vertiefungen 108 gebildet. Die Kontakte 112 und 114 können jeweils eine oder mehrere Schichten umfassen, wie Sperrschichten, Diffusionsschichten und Füllmaterialien. Die Kontakte 112 und 114 umfassen zum Beispiel in einigen Ausführungsformen jeweils eine Sperrschicht und ein leitfähiges Material (nicht separat veranschaulicht) und sind elektrisch mit dem darunterliegenden leitfähigen Merkmal (in der veranschaulichten Ausführungsform z.B. der Gate-Elektrode 102 und/oder dem Silizidbereich 110) gekoppelt. Die Kontakte 114 sind elektrisch mit der Gatestruktur (z. B. den Gate-Elektroden 102) gekoppelt und können als Gate-Kontakte bezeichnet werden, und die Kontakte 112 sind elektrisch mit den Silizidbereichen 110 gekoppelt und können als Source-/Drain-Kontakte bezeichnet werden. Die Sperrschicht kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Das leitfähige Material 118 kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsprozess, wie ein CMP, kann durchgeführt werden, um überschüssiges Material von einer Oberfläche des zweiten ILD 106 zu entfernen.
  • Obwohl 28A-28C Kontakte 112 veranschaulichen, die sich zu allen epitaktischen Source-/Drain-Bereichen 92 erstrecken, können ein bestimmtes der epitaktischen Source-/Drain-Bereiche 92 keinen Kontakt 112 aufweisen. Obwohl dies nicht speziell veranschaulicht ist, können zum Beispiel leitfähige Merkmale (z. B. Stromschienen) anschließend durch eine Rückseite eines oder mehrerer der epitaktischen Source-/Drain-Bereiche 92 hindurch angebracht werden. Für diese bestimmten epitaktischen Source-/Drain-Bereiche 92 können die Source-/Drain-Kontakte 112 weggelassen werden oder Dummy-Kontakte sein, die mit keiner der darüberliegenden leitfähigen Leitungen (ebenfalls nicht speziell veranschaulicht) elektrisch verbunden sind.
  • In 29A-37C kann die mehrschichtige p-Maskenschicht 200 gemäß einigen Ausführungsformen unter Verwendung alternativer Verfahren gebildet werden, die mit 29A-29B beginnen, welche die in 11A-11B gebildeten Strukturen veranschaulichen.
  • Die mehrschichtige p-Maskenschicht 200 kann beispielsweise durch Bilden der ersten p-Maskenschicht 204 und Modifizieren eines oberen Abschnitts, um die zweite p-Maskenschicht 208 zu bilden, gebildet werden. Außerdem kann die mehrschichtige n-Maskenschicht 300 auf ähnliche Weise gebildet werden. In beiden Fällen erzielen die nachstehend ausführlicher erörterten Verfahren die gleichen oder ähnlichen Vorteile wie vorstehend in Verbindung mit der mehrschichtigen p-Maskenschicht 200 und der mehrschichtigen n-Maskenschicht 300 erörtert.
  • In 30A-30B kann die erste p-Maskenschicht 204 über den Strukturen in dem n-Bereich 50N und dem p-Bereich 50P gebildet werden. Die erste p-Maskenschicht 204 (z. B. Aluminiumoxid) kann unter Verwendung eines beliebigen der vorstehend in Verbindung mit 12A-12B beschriebenen Verfahren gebildet werden.
  • Wie vorstehend erörtert, kann nach der Bildung der ersten p-Maskenschicht 204 die erste p-Maskenschicht 204 eine im Wesentlichen glatte freiliegende Oberfläche aufweisen und im Wesentlichen oder vollständig amorph sein. Außerdem kann die erste p-Maskenschicht 204 mit einer Dicke T1 (siehe 31C-31D) zwischen etwa 0,1 nm und etwa 1000 nm, mit einer Dichte zwischen etwa 1,2g/cm3 und etwa 4 g/cm3 und einer Oberflächenrauheit zwischen etwa 0,05 nm und etwa 5 nm abgeschieden werden.
  • In 31A-31D kann die zweite p-Maskenschicht 208 nicht durch Abscheiden einer weiteren Schicht über der ersten p-Maskenschicht 204, sondern durch Modifizieren eines oberen Abschnitts der ersten p-Maskenschicht 204 gebildet werden. In einigen unten ausführlicher erörterten Ausführungsformen (siehe 31A-31C) kann die Modifikation eine Behandlung 210 der ersten p-Maskenschicht 204 umfassen. In anderen weiter unten ausführlicher erörterten Ausführungsformen (siehe 31A-31B und 31D) kann die Modifikation eine Dotierstoffimplantation 220 der ersten p-Maskenschicht 204 umfassen.
  • Insbesondere unter Bezugnahme auf 31A-31C kann die Behandlung 210 zum Bilden der zweiten p-Maskenschicht 208 eine Ionenbehandlung (z. B. eine Plasmabehandlung) oder eine Einwirkbehandlung sein. Im Falle beispielsweise einer Plasmabehandlung wird ein Plasmavorläufer in Plasmaionen umgewandelt und strömt über die erste p-Maskenschicht 204. Im Falle einer Einwirkbehandlung strömt ein Vorläufergas über die erste p-Maskenschicht 204. In beiden Fällen können die Plasmaionen bzw. das Vorläufergas mit einer freiliegenden Oberfläche der ersten p-Maskenschicht 204 reagieren. In einigen Ausführungsformen können die Plasmaionen bzw. das Vorläufergas außerdem in die erste p-Maskenschicht 204 diffundieren und/oder mit dieser reagieren, was zu einem Konzentrationsgradienten (z. B. einem linearen Gradienten) der Fremdstoffe 212 in der gesamten zweiten p-Maskenschicht 208 führt, wie in 31C veranschaulicht. Die Behandlung 210 kann ferner zu Veränderungen der ersten p-Maskenschicht 204 führen. Beispielsweise kann die erste p-Maskenschicht 204 nach der Behandlung 210 eine erhöhte oder eine verringerte Dichte und/oder eine erhöhte oder eine verringerte Dicke T2 aufweisen. Die resultierende zweite p-Maskenschicht 208 kann sich über einem Teil oder der Gesamtheit der ersten p-Maskenschicht 204 bilden und derart diffundieren, dass sich ein oberer Abschnitt der ersten p-Maskenschicht 204 in einen Teil der zweiten p-Maskenschicht 208 umwandelt.
  • 31C veranschaulicht eine vergrößert dargestellte Ansicht des Bereichs 202 aus 31B. Es wird angemerkt, dass der veranschaulichte Bereich 202 einen Abschnitt der mehrschichtigen p-Maskenschicht 200 veranschaulicht, der repräsentativ für einen beliebigen bzw. alle anderen Abschnitte der über den Strukturen angeordneten mehrschichtigen p-Maskenschicht 200 ist. Wie vorstehend erläutert, kann die Behandlung 210 derart durchgeführt werden, dass die Fremdstoffe 212 einen Konzentrationsgradienten (z.B. einen linearen Gradienten) in der zweiten p-Maskenschicht 208 aufweisen (z. B. kann ein Teil der zweiten p-Maskenschicht zuvor der obere Abschnitt der ersten p-Maskenschicht 204 gewesen sein). Beispielsweise kann ein oberster Bereich der zweiten p-Maskenschicht 208 eine größere Gesamtkonzentration der Fremdstoffe 212 aufweisen, während ein unterster Bereich oder ein Volumenbereich der zweiten p-Maskenschicht 208 (z. B. angrenzend an die erste p-Maskenschicht 204 oder näher daran als der oberste Bereich) eine geringere Gesamtkonzentration der Fremdstoffe 212 aufweisen kann. In einigen Fällen kann die Grenze zwischen der ersten p-Maskenschicht 204 und der zweiten p-Maskenschicht 208 schlecht erkennbar sein. Zum Beispiel kann nach der Behandlung 210 die erste p-Maskenschicht 204 eine Dicke T2 zwischen etwa 0,5 nm und etwa 8 nm aufweisen und die zweite p-Maskenschicht 208 eine Dicke T3 zwischen etwa 0,5 nm und etwa 8 nm aufweisen. In verschiedenen Ausführungsformen kann die Summe aus der Dicke T2 und der Dicke T3 etwa gleich der Dicke T1, kleiner als die Dicke T1 oder größer als die Dicke T1 sein. Außerdem kann die zweite p-Maskenschicht 208 eine Dichte zwischen etwa 1,2 g/cm3 und etwa 4 g/cm3 und eine Oberflächenrauheit zwischen etwa 0,05 nm und etwa 5 nm aufweisen.
  • Gemäß einigen Ausführungsformen wird die zweite p-Maskenschicht 208 gebildet, indem die Behandlung 210 Stickstoff verwendet, wobei aus Stickstoffgas gebildete Ionen über die erste p-Maskenschicht 204 strömen. Zum Beispiel können die Stickstoffionen mit einer Flussrate zwischen etwa 20 sccm und etwa 2 SLM strömen. Die Behandlung 210 kann bei einer Temperatur zwischen etwa 50 °C und etwa 1200 °C und einem Druck zwischen etwa 0,5 Torr und etwa 100 Torr durchgeführt werden. Die zweite p-Maskenschicht 208 kann daher in einem oberen Abschnitt eine Stickstoffkonzentration zwischen etwa 1 × 1015 Atome/cm3 und etwa 1 × 1022 Atome/cm3 aufweisen und in einem Volumenabschnitt eine Stickstoffkonzentration zwischen etwa 1 × 1010 Atome/cm3 und etwa 1 × 1017 Atome/cm3 aufweisen.
  • Alternativ dazu wird die zweite p-Maskenschicht 208 gebildet, indem die Behandlung 210 Ammoniak verwendet, wobei aus Ammoniak gebildete Ionen über die erste p-Maskenschicht 204 strömen. In einigen Ausführungsformen diffundieren die Ammoniakionen nicht wesentlich in die erste p-Maskenschicht 204. Als Ergebnis kann die zweite p-Maskenschicht 208 eine Monolage aus funktionellen -NH2-Gruppen umfassen, die an die freiliegende Oberfläche der ersten p-Maskenschicht 204 gebondet sind. In vielen Fällen beeinflusst diese Änderung des Bondens an der Oberfläche der ersten p-Maskenschicht 204 andere Bonden in der Nähe der funktionellen -NH2-Gruppen innerhalb der ersten p-Maskenschicht 204, was dazu führen kann, dass sich die Dicke T2 von der Dicke T1 unterscheidet. Die Ammoniakionen können zum Beispiel mit einer Flussrate zwischen etwa 20 sccm und etwa 2 SLM strömen. Die Behandlung 210 kann bei einer Temperatur zwischen etwa 50 °C und etwa 650 °C und einem Druck zwischen etwa 0,5 Torr und etwa 100 Torr durchgeführt werden. Daher kann die zweite p-Maskenschicht 208 in einem oberen Abschnitt eine (aus den Reaktionen und dem Bonden des Ammoniaks herrührende) Stickstoffkonzentration zwischen etwa 1 × 1015 Atome/cm3 und etwa 1 × 1022 Atome/cm3 aufweisen und in einem Volumenabschnitt eine Stickstoffkonzentration zwischen etwa 1 × 1010 Atome/cm3 und etwa 1 × 1017 Atome/cm3 aufweisen.
  • In verschiedenen Ausführungsformen, in denen die Behandlung 210 mit Ammoniak verwendet wird, diffundieren die Ammoniakionen tatsächlich in die erste p-Maskenschicht 204. Die Ammoniakionen können zum Beispiel mit einer Flussrate zwischen etwa 20 sccm und etwa 2 SLM strömen. Die Behandlung 210 kann bei einer Temperatur zwischen etwa 50 °C und etwa 1200 °C und einem Druck zwischen etwa 0,5 Torr und etwa 100 Torr durchgeführt werden. Daher kann die zweite p-Maskenschicht 208 in einem oberen Abschnitt eine (aus den Reaktionen und dem Bonden des Ammoniaks herrührende) Stickstoffkonzentration zwischen etwa 1 × 1015 Atome/cm3 und etwa 1 × 1022 Atome/cm3 aufweisen und in einem Volumenabschnitt eine Stickstoffkonzentration zwischen etwa 1 × 1010 Atome/cm3 und etwa 1 × 1017 Atome/cm3 aufweisen.
  • In noch anderen Ausführungsformen wird die zweite p-Maskenschicht 208 unter Verwendung der Behandlung 210 mit Silizium gebildet, bei der ein Siliziumvorläufer über die erste p-Maskenschicht 204 strömt (z. B. eine Einwirkbehandlung). Der Siliziumvorläufer kann Silan (S1H4), Dichlorsilan (SiH2Cl2), Diiodsilan (S1H2I2), SiH2I2, SiCl4 oder dergleichen oder beliebige Kombinationen davon umfassen. Der Siliziumvorläufer kann zum Beispiel mit einer Flussrate zwischen etwa 20 sccm und etwa 1000 sccm strömen. Die Behandlung 210 kann bei einer Temperatur zwischen etwa 50 °C und etwa 650 °C und einem Druck zwischen etwa 0,5 Torr und etwa 10 Torr durchgeführt werden. Die zweite p-Maskenschicht 208 kann daher in einem oberen Abschnitt eine Siliziumkonzentration zwischen etwa 1 × 1015 Atome/cm3 und etwa 1 × 1022 Atome/cm3 aufweisen und in einem Volumenabschnitt eine Siliziumkonzentration zwischen etwa 1 × 1010 Atome/cm3 und etwa 1 × 1017 Atome/cm3 aufweisen.
  • Als Alternative zum Verwenden der Behandlung 210, um die zweite p-Maskenschicht 208 zu bilden, veranschaulichen 31A-31B und 31D die Verwendung der Dotierstoffimplantation 220, um die zweite p-Maskenschicht 208 zu bilden, mit externem Dotieren der ersten p-Maskenschicht 204 mit Dotierstoffen 222. Die Dotierstoffe 222 können derart implantiert werden, dass sie auf die freiliegende Oberfläche (z. B. eine obere Fläche) der ersten p-Maskenschicht 204 auftreffen und eine spezifizierte Tiefe unter der Oberfläche erreichen, was zu einer im Wesentlichen gleichmäßigen Konzentration der Dotierstoffe 222 in der gesamten zweiten p-Maskenschicht 208 führt, wie in 31D veranschaulicht. Die Dotierstoffimplantation 220 kann ferner zu Veränderungen der ersten p-Maskenschicht 204 führen. Beispielsweise kann die erste p-Maskenschicht 204 nach der Dotierstoffimplantation 220 eine erhöhte oder eine verringerte Dichte und/oder eine erhöhte oder eine verringerte Dicke T2 aufweisen. Die resultierende zweite p-Maskenschicht 208 bildet sich über einem Teil oder der Gesamtheit der ersten p-Maskenschicht 204, um einen oberen Abschnitt der ersten p-Maskenschicht 204 in einen Teil der zweiten p-Maskenschicht 208 umzuwandeln.
  • In dieser Ausführungsform kann die Dotierstoffimplantation 220 derart durchgeführt werden, dass die Dotierstoffe 222 in der zweiten p-Maskenschicht 208 eine im Wesentlichen einheitliche Konzentration aufweisen (z. B. kann ein Teil der zweiten p-Maskenschicht zuvor der obere Abschnitt der ersten p-Maskenschicht 204 gewesen sein). Das heißt, eine gesamte Dicke T2 der zweiten p-Maskenschicht 208 kann eine gleiche Konzentration des Dotierstoffs 222 (z. B. eines Elements des Dotierstoffs 222) aufweisen. Zum Beispiel kann nach der Behandlung 210 die Dicke T2 der ersten p-Maskenschicht 204 zwischen etwa 0,5 nm und etwa 8 nm liegen, und die zweite p-Maskenschicht 208 kann eine Dicke T3 zwischen etwa 0,5 nm und etwa 8 nm aufweisen. In verschiedenen Ausführungsformen kann die Summe aus der Dicke T2 und der Dicke T3 etwa gleich der Dicke T1, kleiner als die Dicke T1 oder größer als die Dicke T1 sein. Außerdem kann die zweite p-Maskenschicht 208 eine Dichte zwischen etwa 1,2 g/cm3 und etwa 4 g/cm3 und eine Oberflächenrauheit zwischen etwa 0,5 nm und etwa 5 nm aufweisen.
  • Gemäß einigen Ausführungsformen wird die zweite p-Maskenschicht 208 unter Verwendung einer Dotierstoffimplantation 220 von Siliziumnitrid-Dotierstoffen gebildet, wobei Siliziumionen und Stickstoffionen mit hoher Energie in die obere Fläche der ersten p-Maskenschicht 204 gelenkt werden. Beispielsweise können die Siliziumionen mit einer Energie zwischen etwa 1 eV und etwa 10 eV eingebracht werden, und die Stickstoffionen können mit einer Energie zwischen etwa 1 eV und etwa 10 eV eingebracht werden. Die Siliziumionen- und Stickstoffionenimplantationen der Implantation 220 können in beliebiger Reihenfolge oder gleichzeitig durchgeführt werden. Die Dotierstoffimplantation 220 kann bei einer Temperatur zwischen etwa 50 °C und etwa 500 °C und einem Druck zwischen etwa 1 × 10-9 Torr und etwa 1 × 10-5 Torr durchgeführt werden. Die zweite p-Maskenschicht 208 kann eine Siliziumkonzentration zwischen etwa 1 × 1015 Atome/cm3 und etwa 1 × 1022 Atome/cm3 und eine Stickstoffkonzentration zwischen etwa 1 × 1015 Atome/cm3 und etwa 1 × 1022 Atome/cm3 aufweisen.
  • Alternativ dazu wird die zweite p-Maskenschicht 208 unter Verwendung einer Dotierstoffimplantation 220 von Siliziumgermanium gebildet, wobei Siliziumionen und Germaniumionen mit hoher Energie in die obere Fläche der ersten p-Maskenschicht 204 gelenkt werden. Beispielsweise können die Siliziumionen mit einer Energie zwischen etwa 1 eV und etwa 10 eV eingebracht werden, und die Germaniumionen können mit einer Energie zwischen etwa 1 eV und etwa 10 eV eingebracht werden. Die Siliziumionen- und Germaniumionenimplantationen können in beliebiger Reihenfolge oder gleichzeitig durchgeführt werden. Die Dotierstoffimplantation 220 kann bei einer Temperatur zwischen etwa 50 °C und etwa 500 °C und einem Druck zwischen etwa 1 x 10-9 Torr und etwa 1 × 10-5 Torr durchgeführt werden. Die zweite p-Maskenschicht 208 kann eine Siliziumkonzentration zwischen etwa 1 × 1017 Atome/cm3 und etwa 1 × 1022 Atome/cm3 aufweisen und eine Germaniumkonzentration zwischen etwa 1 × 1017 Atome/cm3 und etwa 1 × 1022 Atome/cm3 aufweisen.
  • In anderen Ausführungsformen wird die zweite p-Maskenschicht 208 unter Verwendung einer Germanium-Dotierstoffimplantation 220 gebildet, wobei nur Germaniumionen mit hoher Energie in die obere Fläche der ersten p-Maskenschicht 204 gelenkt werden. Beispielsweise können die Germaniumionen mit einer Energie zwischen etwa 1 eV und etwa 10 eV eingebracht werden. Die Dotierstoffimplantation 220 kann bei einer Temperatur zwischen etwa 50 °C und etwa 500 °C und einem Druck zwischen etwa 1 × 10-9 Torr und etwa 1 × 10-6 Torr durchgeführt werden. Die zweite p-Maskenschicht 208 kann eine Germaniumkonzentration zwischen etwa 1 × 1017 Atome/cm3 und etwa 1 × 1022 Atome/cm3 aufweisen.
  • In 32A-32B wird die mehrschichtige p-Maskenschicht 200 aus dem n-Bereich 50N entfernt, wie vorstehend in Verbindung mit 14A-14B beschrieben. In 33A-33C werden Source-/Drain-Epitaxiebereiche 92 über dem n-Bereich 50N gebildet, und die Knollen 92N werden über der mehrschichtigen p-Maskenschicht 200 in dem p-Bereich 50P gebildet, wie vorstehend in Verbindung mit 15A-15C beschrieben. In 34A-34C sind die mehrschichtige p-Maskenschicht 200 und die Knollen 92N aus dem p-Bereich 50P entfernt, und die erste n-Maskenschicht 304 (z. B. Aluminiumoxid) wird über den Strukturen in dem n-Bereich 50N und dem p-Bereich 50P gebildet, wie vorstehend in Verbindung mit 16A-16C beschrieben.
  • Nach der Bildung der ersten n-Maskenschicht 304 kann die erste n-Maskenschicht 304 eine im Wesentlichen glatte freiliegende Oberfläche aufweisen und im Wesentlichen oder vollständig amorph sein. Zum Beispiel kann in einigen Ausführungsformen die erste n-Maskenschicht 304 mit einer Dicke T4 (siehe 35D-35E) zwischen etwa 0,5 nm und etwa 10 nm, einer Dichte zwischen etwa 1,2 g/cm3 und etwa 4 g/cm3 und einer Oberflächenrauheit zwischen etwa 0,5 nm und etwa 5 nm abgeschieden werden.
  • In 35A-35E kann die zweite n-Maskenschicht 308 durch Modifizieren der ersten n-Maskenschicht 304 unter Verwendung eines beliebigen derselben Verfahren und Materialien und gemäß derselben Spezifikationen gebildet werden, die vorstehend für die Bildung der zweiten p-Maskenschicht 208 (z. B. die Behandlung 210 oder die Dotierstoffimplantation 220) in Verbindung mit 31A-D erörtert wurden.
  • Unter besonderer Bezugnahme auf 35A-35D kann beispielsweise gemäß einigen Ausführungsformen die zweite n-Maskenschicht 308 unter Verwendung der Behandlung 310 der ersten n-Maskenschicht 304 gebildet werden, beispielsweise einer Ionenbehandlung (z. B. Plasmabehandlung) oder einer Einwirkbehandlung, wie vorstehend erörtert. Wie ferner vorstehend erörtert, können Plasmaionen oder ein Vorläufergas in die erste n-Maskenschicht 304 diffundieren und mit dieser reagieren, was zu einem Konzentrationsgradienten der Fremdstoffe 312 führt, wie in 35D veranschaulicht.
  • Alternativ kann, wie in 35A-35C und 35E veranschaulicht, die zweite n-Maskenschicht 308 unter Verwendung einer Dotierstoffimplantation 320 in die erste n-Maskenschicht 304 gebildet werden. Wie ferner vorstehend erörtert, wird der Dotierstoff 322 mit hoher Energie in die erste n-Maskenschicht 304 gelenkt, was zu einer im Wesentlichen gleichmäßigen Konzentration des Dotierstoffs 322 führt, wie in 35E veranschaulicht.
  • In 36A-36C wird die mehrschichtige n-Maskenschicht 300 aus dem p-Bereich 50P entfernt, wie vorstehend in Verbindung mit 18A-18C beschrieben. In 37A-37C werden Source-/Drain-Epitaxiebereiche 92 über dem p-Bereich 50P gebildet, und die Knollen 92N werden über der mehrschichtigen n-Maskenschicht 300 in dem n-Bereich 50N gebildet, wie vorstehend in Verbindung mit 19A-19C beschrieben. Wie vorstehend beschrieben und in Verbindung mit 20A-20D veranschaulicht, werden außerdem die mehrschichtige n-Maskenschicht 300 und die Knollen 92N aus dem n-Bereich 50N entfernt. Die weitere Fertigung des Halbleiterbauelements kann wie vorstehend beschrieben und in Verbindung mit 21A-28C veranschaulicht fortgesetzt werden.
  • Es wird angemerkt, dass ein beliebiger der vorstehend beschriebenen Prozesse zum Bilden der mehrschichtigen p-Maskenschicht 200 verwendet werden kann, um die mehrschichtige n-Maskenschicht 300 zu bilden. Der Prozess zum Bilden der mehrschichtigen n-Maskenschicht 300 kann der gleiche Prozess oder ein ähnlicher Prozess wie der zum Bilden der mehrschichtigen p-Maskenschicht 200 verwendete sein, oder diese Prozesse können unterschiedlich sein. Außerdem ist es dem Fachmann ersichtlich, dass die mehrschichtige n-Maskenschicht 300 (und die epitaktischen Source-/Drain-Bereiche 92 in dem p-Bereich 50P) vor der Bildung der mehrschichtigen p-Maskenschicht 200 (und der epitaktischen Source-/Drain-Bereiche 92 in dem n-Bereich 50N) gebildet werden kann.
  • Wie vorstehend beschrieben ist, kann die mehrschichtige p-Maskenschicht 200 mit verschiedenartigen Abscheidungsschritten für die erste p-Maskenschicht 204 (z. B. ein ALD- oder CVD-Prozess) und die zweite p-Maskenschicht 208 (z. B. ein CVD- oder Laminierprozess) gebildet werden. Alternativ dazu kann die mehrschichtige p-Maskenschicht 200 gebildet werden, indem zuerst die erste p-Maskenschicht 204 gebildet wird und dann ein oberer Abschnitt der ersten p-Maskenschicht 204 unter Verwendung beispielsweise einer Behandlung (z. B. einer Plasmabehandlung oder einer Einwirkbehandlung) oder einer Dotierstoffimplantation modifiziert wird. Ferner kann die mehrschichtige n-Maskenschicht 300 durch eine ähnliche Version eines dieser Verfahren gebildet werden, unabhängig davon, ob das gleiche Verfahren wie das zum Bilden der mehrschichtigen p-Maskenschicht 200 verwendete oder ein anderes Verfahren verwendet wird.
  • Zum Beispiel kann gemäß einigen Ausführungsformen die mehrschichtige p-Maskenschicht 200 mit verschiedenartigen Abscheidungsschritten für die erste p-Maskenschicht 204 und die zweite p-Maskenschicht 208 gebildet werden, während die mehrschichtige n-Maskenschicht 300 durch externes Dotieren der ersten n-Maskenschicht 304 gebildet werden kann. In anderen Ausführungsformen kann die mehrschichtige p-Maskenschicht 200 durch externes Dotieren der ersten p-Maskenschicht 204 gebildet werden, während die mehrschichtige n-Maskenschicht 300 durch verschiedenartige Abscheidungsschritte für die erste n-Maskenschicht 304 und die zweite n-Maskenschicht 308 gebildet wird.
  • Ausführungsformen können Vorteile erzielen. Zum Beispiel verbessern die vorliegend offenbarten Ausführungsformen die Ausbeute und die Effizienz der Bildung der epitaktischen Source-/Drain-Bereiche 92. Insbesondere ermöglicht das Bilden einer ersten Maskenschicht (z. B. der ersten p-Maskenschicht 204 und der ersten n-Maskenschicht 304), die ein Metalloxid umfasst, eine dünnere Maskenschicht, die eine vollständige Schutzschicht auf Strukturen mit kritischen Abmessungen bildet, die mit dem technischen Fortschritt immer kleiner werden. Außerdem erzielt das Bilden einer zweiten Maskenschicht (z. B. der zweiten p-Maskenschicht 208 und der zweiten n-Maskenschicht 308) über der ersten Maskenschicht zusätzliche Vorteile, wobei die zweite Maskenschicht als Abscheidung oder Behandlung mit Dielektrika und/oder bestimmten Halbleitermaterialien, wie vorstehend beschrieben, gebildet wird. Erstens wird durch Bilden der zweiten Maskenschicht eine glatte freiliegende Oberfläche der ersten Maskenschicht in eine raue freiliegende Oberfläche mit einer bestimmten chemischen Zusammensetzung umgewandelt, um die genannten Vorteile zu erzielen. Die resultierenden freiliegenden Oberflächen der mehrschichtigen p-Maskenschicht 200 und der mehrschichtigen n-Maskenschicht 300 weisen bei der Bildung der epitaktischen Source-/Drain-Bereiche 92 jeweils eine geringere Selektivität für epitaktisches Aufwachsen auf als die Oberflächen bloß der ersten p-Maskenschicht 204 und der ersten n-Maskenschicht 304. Zweitens verbessert die geringere Selektivität für epitaktisches Aufwachsen die Steuerung kritischer Abmessungen durch Minimierung der Anzahl und/oder Dauer von epitaktischen Ätzschritten, die in Zusammenhang mit dem epitaktischen Aufwachsen durchgeführt werden können. Drittens führt das reduzierte epitaktische Aufwachsen über den mehrschichtigen Maskenschichten dazu, dass die mehrschichtigen Maskenschichten leichter entfernt werden können (z. B. durch isotropes Nassätzen), ohne von großen Knollen 92N aus epitaktischem Material oder einer großen Anzahl von Knollen 92N aus epitaktischem Material behindert zu werden, das über der Maskenschicht angeordnet ist.
  • In einer Ausführungsform umfasst ein Verfahren Bilden einer Halbleiterschicht über einem Substrat; Ätzen eines Abschnitts der Halbleiterschicht, um eine erste Vertiefung und eine zweite Vertiefung zu bilden; Bilden einer Maskenschicht eines ersten Typs über der Halbleiterschicht, wobei das Bilden der Maskenschicht des ersten Typs Bilden einer ersten Maskenschicht über der Halbleiterschicht; und Bilden einer zweiten Maskenschicht umfasst, wobei die zweite Maskenschicht nach dem Bilden der zweiten Maskenschicht über einem Abschnitt der ersten Maskenschicht angeordnet ist; Ätzen der Maskenschicht des ersten Typs, um die Halbleiterschicht freizulegen; Bilden eines ersten Halbleitermaterials in der ersten Vertiefung; und Entfernen der Maskenschicht des ersten Typs. In einer weiteren Ausführungsform umfasst die erste Maskenschicht Aluminiumoxid. In einer weiteren Ausführungsform umfasst das Bilden der zweiten Maskenschicht Abscheiden einer neuen Materialschicht über der ersten Maskenschicht. In einer weiteren Ausführungsform umfasst das Bilden der zweiten Maskenschicht Modifizieren der ersten Maskenschicht mit einer ersten chemischen Substanz, um einen oberen Abschnitt der ersten Maskenschicht in die zweite Maskenschicht umzuwandeln. In einer weiteren Ausführungsform umfasst die zweite Maskenschicht nach dem Modifizieren der ersten Maskenschicht einen Konzentrationsgradienten eines Elements der ersten chemischen Substanz. In einer weiteren Ausführungsform umfasst eine gesamte Dicke der zweiten Maskenschicht nach dem Modifizieren der ersten Maskenschicht die gleiche Konzentration eines Elements der ersten chemischen Substanz. In einer weiteren Ausführungsform umfasst das Verfahren ferner Bilden einer Maskenschicht eines zweiten Typs über der Halbleiterschicht; Ätzen der Maskenschicht des zweiten Typs, um die Halbleiterschicht freizulegen; und Bilden eines zweiten Halbleitermaterials in der zweiten Vertiefung. In einer weiteren Ausführungsform umfasst das Bilden der ersten Maskenschicht Abscheiden der ersten Maskenschicht durch Atomlagenabscheidung. In einer weiteren Ausführungsform umfasst das Bilden der zweiten Maskenschicht Durchführen einer Dotierstoffimplantation in die erste Maskenschicht.
  • In einer Ausführungsform umfasst ein Verfahren Bilden einer Halbleiterschicht über einem ersten Substrat; Ätzen der Halbleiterschicht, um eine erste Vertiefung in einem ersten Bereich und eine zweite Vertiefung in einem zweiten Bereich zu bilden; Abscheiden eines ersten Abschnitts einer ersten Maskenschicht über dem ersten Bereich und dem zweiten Bereich; Bilden einer zweiten Maskenschicht über dem ersten Abschnitt der ersten Maskenschicht; Entfernen der ersten Maskenschicht und der zweiten Maskenschicht aus dem zweiten Bereich; Bilden eines ersten epitaktischen Source-/Drain-Bereichs in der zweiten Vertiefung; Entfernen eines Rests der ersten Maskenschicht und eines Rests der zweiten Maskenschicht; Abscheiden eines ersten Abschnitts einer dritten Maskenschicht über dem ersten Bereich und dem zweiten Bereich; Bilden einer vierten Maskenschicht über dem ersten Abschnitt der dritten Maskenschicht; Entfernen der dritten Maskenschicht und der vierten Maskenschicht aus dem ersten Bereich; Bilden eines zweiten epitaktischen Source-/Drain-Bereichs in der ersten Vertiefung; Entfernen eines Rests der dritten Maskenschicht und eines Rests der vierten Maskenschicht; und Bilden eine Gatestruktur über der Halbleiterschicht. In einer weiteren Ausführungsform umfasst das Verfahren ferner Bilden eines zweiten Abschnitts der ersten Maskenschicht über dem ersten Abschnitt der ersten Maskenschicht, wobei das Bilden der zweiten Maskenschicht Umwandeln des zweiten Abschnitts der ersten Maskenschicht in die zweite Maskenschicht umfasst. In einer weiteren Ausführungsform umfasst die zweite Maskenschicht nach dem Umwandeln des zweiten Abschnitts der ersten Maskenschicht in die zweite Maskenschicht einen linearen Konzentrationsgradienten eines Fremdstoffs. In einer weiteren Ausführungsform umfasst die gesamte zweite Maskenschicht nach dem Umwandeln des zweiten Abschnitts der ersten Maskenschicht in die zweite Maskenschicht eine gleiche Konzentration. In einer weiteren Ausführungsform umfasst die erste Maskenschicht ein Metalloxid und die zweite Maskenschicht umfasst Silizium, Germanium und/oder Stickstoff.
  • In einer Ausführungsform umfasst ein Verfahren Abscheiden einer ersten Maskenschicht über einem Substrat, wobei die erste Maskenschicht eine erste Dicke aufweist; Bilden einer zweiten Maskenschicht über der ersten Maskenschicht, wobei die erste Maskenschicht nach dem Bilden der zweiten Maskenschicht eine zweite Dicke aufweist, die von der ersten Dicke verschieden ist, und wobei die zweite Maskenschicht eine dritte Dicke aufweist; anisotropes Ätzen der ersten Maskenschicht und der zweiten Maskenschicht, um einen Abschnitt des Substrats freizulegen; Aufwachsen eines ersten Halbleitermaterials über der zweiten Maskenschicht und eines zweiten Halbleitermaterials über dem Abschnitt des Substrats; und isotropes Ätzen, um die erste Maskenschicht und die zweite Maskenschicht zu entfernen. In einer weiteren Ausführungsform umfasst das erste Halbleitermaterial nicht zusammenhängende Knollen und das zweite Halbleitermaterial ist zusammenhängend. In einer weiteren Ausführungsform ist eine Summe der zweiten Dicke und der dritten Dicke größer als die erste Dicke. In einer weiteren Ausführungsform ist eine Summe der zweiten Dicke und der dritten Dicke etwa gleich der ersten Dicke. In einer weiteren Ausführungsform umfasst das Bilden der zweiten Maskenschicht Behandeln eines oberen Abschnitts der ersten Maskenschicht. In einer weiteren Ausführungsform umfasst das Bilden der zweiten Maskenschicht Anbringen von funktionellen Gruppen an eine freiliegende obere Fläche der ersten Maskenschicht.
  • Das Vorstehende umreißt Merkmale mehrerer Ausführungsformen, sodass die Fachperson die Aspekte der vorliegenden Offenbarung besser verstehen kann. Die Fachperson sollte sich darüber im Klaren sein, dass sie die vorliegende Offenbarung ohne Weiteres als Grundlage für das Entwerfen oder Abwandeln anderer Prozesse und Strukturen verwenden kann, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der vorliegend vorgestellten Ausführungsformen zu erzielen. Die Fachperson sollte auch erkennen, dass derartige äquivalente Konstruktionen nicht von dem Geist und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Modifikationen hieran vornehmen kann, ohne von dem Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/052604 [0001]

Claims (20)

  1. Verfahren, umfassend: Bilden einer Halbleiterschicht über einem Substrat; Ätzen eines Abschnitts der Halbleiterschicht, um eine erste Vertiefung und eine zweite Vertiefung zu bilden; Bilden einer Maskenschicht eines ersten Typs über der Halbleiterschicht, wobei das Bilden der Maskenschicht des ersten Typs umfasst: Bilden einer ersten Maskenschicht über der Halbleiterschicht; und Bilden einer zweiten Maskenschicht, wobei die zweite Maskenschicht nach dem Bilden der zweiten Maskenschicht über einem Abschnitt der ersten Maskenschicht angeordnet ist, Ätzen der Maskenschicht des ersten Typs, um die Halbleiterschicht freizulegen; Bilden eines ersten Halbleitermaterials in der ersten Vertiefung; und Entfernen der Maskenschicht des ersten Typs.
  2. Verfahren nach Anspruch 1, wobei die erste Maskenschicht Aluminiumoxid umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Bilden der zweiten Maskenschicht Abscheiden einer neuen Materialschicht über der ersten Maskenschicht umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden der zweiten Maskenschicht Modifizieren der ersten Maskenschicht mit einer ersten chemischen Substanz umfasst, um einen oberen Abschnitt der ersten Maskenschicht in die zweite Maskenschicht umzuwandeln.
  5. Verfahren nach Anspruch 4, wobei die zweite Maskenschicht nach dem Modifizieren der ersten Maskenschicht einen Konzentrationsgradienten eines Elements der ersten chemischen Substanz umfasst.
  6. Verfahren nach Anspruch 4 oder 5, wobei eine gesamte Dicke der zweiten Maskenschicht nach dem Modifizieren der ersten Maskenschicht die gleiche Konzentration eines Elements der ersten chemischen Substanz umfasst.
  7. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Bilden einer Maskenschicht eines zweiten Typs über der Halbleiterschicht; Ätzen der Maskenschicht des zweiten Typs, um die Halbleiterschicht freizulegen; und Bilden eines zweiten Halbleitermaterials in der zweiten Vertiefung.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden der ersten Maskenschicht Abscheiden der ersten Maskenschicht durch Atomlagenabscheidung umfasst.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden der zweiten Maskenschicht Durchführen einer Dotierstoffimplantation in die erste Maskenschicht umfasst.
  10. Verfahren, umfassend: Bilden einer Halbleiterschicht über einem ersten Substrat; Ätzen der Halbleiterschicht, um eine erste Vertiefung in einem ersten Bereich und eine zweite Vertiefung in einem zweiten Bereich zu bilden; Abscheiden eines ersten Abschnitts einer ersten Maskenschicht über dem ersten Bereich und dem zweiten Bereich; Bilden einer zweiten Maskenschicht über dem ersten Abschnitt der ersten Maskenschicht; Entfernen der ersten Maskenschicht und der zweiten Maskenschicht aus dem zweiten Bereich; Bilden eines ersten epitaktischen Source-/Drain-Bereichs in der zweiten Vertiefung; Entfernen eines Rests der ersten Maskenschicht und eines Rests der zweiten Maskenschicht; Abscheiden eines ersten Abschnitts einer dritten Maskenschicht über dem ersten Bereich und dem zweiten Bereich; Bilden einer vierten Maskenschicht über dem ersten Abschnitt der dritten Maskenschicht; Entfernen der dritten Maskenschicht und der vierten Maskenschicht aus dem ersten Bereich; Bilden eines zweiten epitaktischen Source-/Drain-Bereichs in der ersten Vertiefung; Entfernen eines Rests der dritten Maskenschicht und eines Rests der vierten Maskenschicht; und Bilden eine Gatestruktur über der Halbleiterschicht.
  11. Verfahren nach Anspruch 10, ferner umfassend Bilden eines zweiten Abschnitts der ersten Maskenschicht über dem ersten Abschnitt der ersten Maskenschicht, wobei das Bilden der zweiten Maskenschicht Umwandeln des zweiten Abschnitts der ersten Maskenschicht in die zweite Maskenschicht umfasst.
  12. Verfahren nach Anspruch 11, wobei die zweite Maskenschicht nach dem Umwandeln des zweiten Abschnitts der ersten Maskenschicht in die zweite Maskenschicht einen linearen Konzentrationsgradienten eines Fremdstoffs umfasst.
  13. Verfahren nach Anspruch 11, wobei die gesamte zweite Maskenschicht nach dem Umwandeln des zweiten Abschnitts der ersten Maskenschicht in die zweite Maskenschicht eine gleiche Konzentration umfasst.
  14. Verfahren nach einem der vorhergehenden Ansprüche 10 bis 13, wobei die erste Maskenschicht ein Metalloxid umfasst und wobei die zweite Maskenschicht Silizium, Germanium und/oder Stickstoff umfasst.
  15. Verfahren, umfassend: Abscheiden einer ersten Maskenschicht über einem Substrat, wobei die erste Maskenschicht eine erste Dicke aufweist; Bilden einer zweiten Maskenschicht über der ersten Maskenschicht, wobei die erste Maskenschicht nach dem Bilden der zweiten Maskenschicht eine zweite Dicke aufweist, die von der ersten Dicke verschieden ist, und wobei die zweite Maskenschicht eine dritte Dicke aufweist; Ätzen der ersten Maskenschicht und der zweiten Maskenschicht, um einen Abschnitt des Substrats freizulegen; Aufwachsen eines ersten Halbleitermaterials über der zweiten Maskenschicht und eines zweiten Halbleitermaterials über dem Abschnitt des Substrats; und Ätzen, um die erste Maskenschicht und die zweite Maskenschicht zu entfernen.
  16. Verfahren nach Anspruch 15, wobei das erste Halbleitermaterial nicht zusammenhängende Knollen umfasst und wobei das zweite Halbleitermaterial zusammenhängend ist.
  17. Verfahren nach Anspruch 15 oder 16, wobei eine Summe der zweiten Dicke und der dritten Dicke größer als die erste Dicke ist.
  18. Verfahren nach Anspruch 15 oder 16, wobei eine Summe der zweiten Dicke und der dritten Dicke etwa gleich der ersten Dicke ist.
  19. Verfahren nach einem der vorhergehenden Ansprüche 15 bis 18, wobei das Bilden der zweiten Maskenschicht Behandeln eines oberen Abschnittes der ersten Maskenschicht umfasst.
  20. Verfahren nach einem der vorhergehenden Ansprüche 15 bis 19, wobei das Bilden der zweiten Maskenschicht Anbringen von funktionellen Gruppen an eine freiliegende obere Fläche der ersten Maskenschicht umfasst.
DE102021106776.6A 2020-07-16 2021-03-19 Mehrschichtige maskenschicht und verfahren zum bilden derselben Pending DE102021106776A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063052604P 2020-07-16 2020-07-16
US63/052,604 2020-07-16
US17/198,133 2021-03-10
US17/198,133 US11855185B2 (en) 2020-07-16 2021-03-10 Multilayer masking layer and method of forming same

Publications (1)

Publication Number Publication Date
DE102021106776A1 true DE102021106776A1 (de) 2022-01-20

Family

ID=76942860

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021106776.6A Pending DE102021106776A1 (de) 2020-07-16 2021-03-19 Mehrschichtige maskenschicht und verfahren zum bilden derselben

Country Status (7)

Country Link
US (2) US11855185B2 (de)
EP (1) EP3940762A1 (de)
JP (1) JP2022019659A (de)
KR (1) KR20220009858A (de)
CN (1) CN113488387A (de)
DE (1) DE102021106776A1 (de)
TW (1) TWI793622B (de)

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0154670B1 (de) * 1978-06-14 1991-05-08 Fujitsu Limited Verfahren zur Herstellung einer Halbleiteranordnung mit einer Isolierschicht
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9093555B2 (en) * 2013-07-25 2015-07-28 Texas Instruments Incorporated Method of CMOS manufacturing utilizing multi-layer epitaxial hardmask films for improved EPI profile
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
EP3127162B1 (de) * 2014-03-27 2021-01-20 Intel Corporation Germanium-zinn-kanaltransistoren
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
CN107924944B (zh) * 2015-09-11 2021-03-30 英特尔公司 磷化铝铟子鳍状物锗沟道晶体管
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10468412B2 (en) * 2016-06-28 2019-11-05 International Business Machines Corporation Formation of a semiconductor device with selective nitride grown on conductor
US10050107B1 (en) * 2017-02-13 2018-08-14 International Business Machines Corporation Nanosheet transistors on bulk material
US10008583B1 (en) 2017-05-08 2018-06-26 Samsung Electronics Co., Ltd. Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same
WO2019055271A1 (en) * 2017-09-15 2019-03-21 Glo Ab OPTICAL EXTENSION IMPROVEMENT OF LIGHT-EMITTING DIODE SUB-PIXELS
US11398476B2 (en) * 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US11342411B2 (en) 2018-06-29 2022-05-24 Intel Corporation Cavity spacer for nanowire transistors
CN110729245A (zh) 2018-07-16 2020-01-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US11430892B2 (en) * 2019-05-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around transistors
US11430891B2 (en) * 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around structure with additional silicon layer and method for forming the same

Also Published As

Publication number Publication date
CN113488387A (zh) 2021-10-08
US20220020865A1 (en) 2022-01-20
EP3940762A1 (de) 2022-01-19
US20230395702A1 (en) 2023-12-07
JP2022019659A (ja) 2022-01-27
US11855185B2 (en) 2023-12-26
KR20220009858A (ko) 2022-01-25
TW202217917A (zh) 2022-05-01
TWI793622B (zh) 2023-02-21

Similar Documents

Publication Publication Date Title
DE102020129544A1 (de) Gatestrukturen in transistoren und verfahren zu deren ausbildung
DE102021109107A1 (de) Gatestrukturen und verfahren zu deren ausbildung
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102022105643A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102020119425B4 (de) Halbleitervorrichtung und Verfahren
DE102021106455A1 (de) Halbleitervorrichtung und verfahren
DE102021109560A1 (de) Transistor-gate-strukturen und verfahren zu deren bildung
DE102021105877A1 (de) Verfahren zum stromlosen plattieren für metal-gate-füllung
DE102021102596A1 (de) Halbleitervorrichtung und verfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102021113003A1 (de) Nano-fet-halbleiterbauelement und verfahren zur bildung
DE102020133689A1 (de) Ionenimplantation für nano-fet
DE102020128875A1 (de) Transistor-gate-struktur und verfahren zu deren herstellung
DE102021100990A1 (de) Halbleitervorrichtung und verfahren
DE102021106776A1 (de) Mehrschichtige maskenschicht und verfahren zum bilden derselben
DE102021110397B4 (de) Source-/drainregionen und verfahren zu deren bildung
DE102021115793B4 (de) Transistor-source/drain-kontakte und verfahren zu deren bildung
DE102021120222B4 (de) Halbleiter-gates und verfahren zu deren herstellung
DE102021113549B3 (de) Halbleitervorrichtung und verfahren
DE102021116786B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102023103305A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102021115434A1 (de) Transistor-source-/drain-kontakte und verfahren zum bilden derselben
DE102022107309A1 (de) Metallgate-finnenelektrodenstruktur und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed