DE102021109560A1 - Transistor-gate-strukturen und verfahren zu deren bildung - Google Patents

Transistor-gate-strukturen und verfahren zu deren bildung Download PDF

Info

Publication number
DE102021109560A1
DE102021109560A1 DE102021109560.3A DE102021109560A DE102021109560A1 DE 102021109560 A1 DE102021109560 A1 DE 102021109560A1 DE 102021109560 A DE102021109560 A DE 102021109560A DE 102021109560 A1 DE102021109560 A1 DE 102021109560A1
Authority
DE
Germany
Prior art keywords
layer
barrier
workfunction
work function
tuning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021109560.3A
Other languages
English (en)
Inventor
Hsin-Yi Lee
Cheng-Lung Hung
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021109560A1 publication Critical patent/DE102021109560A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

In einer Ausführungsform umfasst eine Vorrichtung: einen Kanalbereich; eine dielektrische Gate-Schicht auf dem Kanalbereich; eine erste Austrittsarbeitsabstimmungsschicht auf der dielektrischen Gate-Schicht, wobei die erste Austrittsarbeitsabstimmungsschicht ein Austrittsarbeitsmetall vom p-Typ enthält; eine Sperrschicht auf der ersten Austrittsarbeitsabstimmungsschicht; eine zweite Austrittsarbeitsabstimmungsschicht auf der Sperrschicht, wobei die zweite Austrittsarbeitsabstimmungsschicht ein Austrittsarbeitsmetall vom n-Typ enthält, wobei sich das Austrittsarbeitsmetall vom n-Typ von dem Austrittsarbeitsmetall vom p-Typ unterscheidet; und eine Füllschicht auf der zweiten Austrittsarbeitsabstimmungsschicht.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der am 28. Januar 2021 eingereichten vorläufigen US-Anmeldung 63/142,544 , die durch Bezugnahme in ihrer Gesamtheit hierin mit aufgenommen wird.
  • HINTERGRUND
  • Halbleitervorrichtungen werden in einer Vielzahl elektronischer Anwendungen eingesetzt, wie beispielsweise Personal Computer, Mobiltelefone, Digitalkameras und andere elektronische Geräte. Halbleitervorrichtungen werden typischerweise durch sequenzielles Abscheiden isolierender oder dielektrischer Schichten, leitfähiger Schichten und von Halbleiterschichten von Material über einem Halbleitersubstrat und Strukturieren der verschiedenen Materialschichten unter Verwendung von Lithographie zum Bilden von Schaltkreiskomponenten und Elementen darauf hergestellt.
  • Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z.B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) immer weiter durch kontinuierliche Reduzierung der minimalen Strukturgröße, wodurch mehr Komponenten in einem bestimmten Bereich integriert werden können. Da die Mindestgrößen von Strukturelementen jedoch reduziert werden, entstehen zusätzliche Probleme, auf die eingegangen werden sollte.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten aus der nachfolgenden ausführlichen Beschreibung verstehen, wenn sie mit den beigefügten Standardpraktiken in der Branche, diverse Elemente nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 veranschaulicht ein Beispiel eines Nanostruktur-Feldeffekttransistors (Nano-FET) in einer dreidimensionalen Ansicht gemäß manchen Ausführungsformen.
    • 2, 3, 4, 5, 6, 7A, 7B, 8A, 8B, 9A, 9B, 9C, 9D, 10A, 10B, 11A, 11B, 12A, 12B, 13A, 13B, 14A, 14B, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 20A, 20B, 21A, 21B, 22A und 22B sind Ansichten der Zwischenstufen bei der Fertigung von Nano-FETs gemäß manchen Ausführungsformen.
    • 23A und 23B sind Ansichten von Nano-FETs gemäß manchen anderen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele für die Implementierung unterschiedlicher Merkmale der Erfindung bereit. Nachfolgend werden konkrete Beispiele der Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich lediglich Beispiele und sie sind nicht als einschränkend beabsichtigt. Die Bildung eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung kann zum Beispiel Ausführungsformen beinhalten, in denen das erste und zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen beinhalten, in denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element ausgebildet sind, so dass das erste und das zweite Element möglicherweise nicht in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Konfigurationen.
  • Ferner können hierin räumlich relative Begriffe, wie etwa „darunter“, „unter“, „tieferer“, „über“, „oberer“ und dergleichen, zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Es ist vorgesehen, dass die räumlich relativen Begriffe unterschiedliche Orientierungen der Vorrichtung im Gebrauch oder im Betrieb zusätzlich zu der in den Figuren gezeigten Orientierung mit einschließen. Die Vorrichtung kann auch anderweitig orientiert sein (um 90 Grad gedreht oder andere Orientierungen) und die hierin verwendeten räumlich relativen Deskriptoren können ebenfalls entsprechend interpretiert werden.
  • Gemäß verschiedenen Ausführungsformen werden Gate-Elektroden mit mehreren Austrittsarbeitsabstimmungsschichten gebildet. Eine Sperrschicht wird auf einer unteren Austrittsarbeitsabstimmungsschicht gebildet und eine obere Austrittsarbeitsabstimmungsschicht wird auf der Sperrschicht abgeschieden. Die Sperrschicht kann so dünn sein, dass sie die Austrittsarbeit der Gate-Elektroden nicht signifikant modifiziert und Modifikation der unteren Austrittsarbeitsabstimmungsschicht während Abscheidung der oberen Austrittsarbeitsabstimmungsschicht verhindert (z.B. im Wesentlichen verhindert oder zumindest verringert). Die Schwellenspannungen der resultierenden Vorrichtungen können daher genauer abgestimmt werden.
  • Ausführungsformen werden in einem konkreten Kontext beschrieben, einem Die, der Nano-FETs enthält. Anstatt der oder in Kombination mit den Nano-FETs können jedoch verschiedene Ausführungsformen auf Dies angewendet werden, darunter andere Arten von Transistoren (z.B. Finnen-Feldeffekttransistoren (FinFETs), planare Transistoren oder dergleichen).
  • 1 veranschaulicht ein Beispiel von Nano-FETs (z.B. Nanodraht-FETs, Nanosheet-FETs oder dergleichen) gemäß manchen Ausführungsformen. 1 ist eine dreidimensionale Ansicht, bei der manche Merkmale der Nano-FETs zur Klarheit der Veranschaulichung weggelassen wurden. Die Nano-FETs können Nanosheet-Feldeffekttransistoren (NSFETs), Nanodraht-Feldeffekttransistoren (NWFETs), Gate-All-Around-Feldeffekttransistoren (GAAFETs) oder dergleichen sein.
  • Die Nano-FETs enthalten Nanostrukturen 66 (z.B. Nanosheets, Nanodraht oder dergleichen) über Finnen 62 auf einem Substrat 50 (z.B. einem Halbleitersubstrat), wobei die Nanostrukturen 66 als Kanalbereiche für die Nano-FETs dienen. Die Nanostrukturen 66 können Nanostrukturen vom p-Typ, Nanostrukturen vom n-Typ oder eine Kombination davon enthalten. Isolationsbereiche 70, wie etwa Flachgrabenisolationsbereiche (STI-Bereiche), sind zwischen benachbarten Finnen 62 angeordnet, die über und von zwischen benachbarten Isolationsbereichen 70 hervorstehen können. Obwohl die Isolationsbereiche 70 als separat von dem Substrat 50 beschrieben/veranschaulicht sind, kann sich der Begriff „Substrat“, wie hierin verwendet, auf das Halbleitersubstrat allein oder eine Kombination des Halbleitersubstrats und der Isolationsbereiche beziehen. Darüber hinaus können, obwohl ein Bodenabschnitt der Finnen 62 als einzeln veranschaulicht ist, durchgängige Materialien mit dem Substrat 50, dem Bodenabschnitt der Finnen 62 und/oder dem Substrat 50 ein einzelnes Material oder eine Vielzahl von Materialien enthalten. In diesem Zusammenhang beziehen sich die Finnen 62 auf den Abschnitt, der sich über und von zwischen den benachbarten Isolationsbereichen 70 erstreckt.
  • Auf oberen Flächen der Finnen 62 und entlang oberen Flächen, Seitenwänden und Bodenflächen der Nanostrukturen 66 befinden sich Gate-Dielektrika 122. Über den Gate-Dielektrika 122 befinden sich Gate-Elektroden 124. Auf den Finnen 62 sind an gegenüberliegenden Seiten der Gate-Dielektrika 122 und der Gate-Elektroden 124 epitaktische Source-/Drain-Bereiche 98 angeordnet. Die epitaktischen Source-/Drain-Bereiche 98 können von verschiedenen Finnen 62 gemeinsam genutzt werden. Benachbarte epitaktische Source-/Drain-Bereiche 98 können beispielsweise elektrisch verbunden sein, wie etwa durch Koaleszieren der epitaktischen Source-/Drain-Bereiche 98 durch epitaktisches Züchten oder durch Koppeln der epitaktischen Source-/Drain-Bereiche 98 mit einem gleichen Source-/Drain-Kontakt.
  • 1 veranschaulicht ferner Referenzquerschnitte, die in späteren Figuren verwendet werden. Querschnitt A-A' verläuft entlang einer Längsachse einer Gate-Elektrode 124 und beispielsweise in eine Richtung senkrecht zu einer Richtung des Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 98 eines Nano-FET. Querschnitt B-B' verläuft entlang einer Längsachse einer Finne 62 und beispielsweise in einer Richtung eines Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 98 des Nano-FET. Querschnitt C-C' verläuft parallel zu Querschnitt A-A' und erstreckt sich durch epitaktische Source-/Drain-Bereiche 98 der Nano-FETs. Nachfolgende Figuren beziehen sich aus Gründen der Klarheit auf diese Referenzquerschnitte.
  • Manche der hierin diskutierten Ausführungsformen werden im Zusammenhang mit Nano-FETs erläutert, die unter Verwendung eines Gate-Last-Prozesses gebildet werden. In anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Manche Ausführungsformen ziehen außerdem Aspekte in Betracht, die in planaren Vorrichtungen verwendet werden, wie etwa planare FETs, oder in Finnen-Feldeffekttransistoren (FinFETs). FinFETs können beispielsweise Finnen auf einem Substrat enthalten, wobei die Finnen als Kanalbereiche für die FinFETs wirken. Auf ähnliche Weise können planare FETs ein Substrat enthalten, wobei Abschnitte des Substrats als Kanalbereiche für die planaren FETs wirken.
  • 2 - 22B sind Ansichten von Zwischenstufen bei der Fertigung von Nano-FETs gemäß manchen Ausführungsformen. 2, 3, 4, 5 und 6 sind dreidimensionale Ansichten, die eine ähnliche dreidimensionale Ansicht wie 1 zeigen. 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, 14B, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 20A, 21A und 22A veranschaulichen den in 1 veranschaulichten Bezugsquerschnitt A-A'. 7B, 8B, 9B, 10B, 11B, 12B, 13B, 20B, 21B und 22B veranschaulichen den in 1 veranschaulichten Bezugsquerschnitt B-B'. 9C und 9D veranschaulichen den in 1 veranschaulichten Bezugsquerschnitt C-C'.
  • In 2 wird ein Substrat 50 zum Bilden von Nano-FETs bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat sein, wie etwa ein Massenhalbleiter, ein Halbleiter-auf-Isolator (SOI) Substrat oder dergleichen, welches dotiert (z.B. mit einer p-Typ- oder einer n-Typ-Unreinheit) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie etwa ein Silizium-Wafer. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolationsschicht gebildet wird. Die Isolationsschicht kann beispielsweise eine begrabene Oxid-Schicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolationsschicht wird auf einem Substrat bereitgestellt, typischerweise einem Silizium- oder Glassubstrat. Andere Substrate, wie etwa ein Mehrschicht- oder Gradientsubstrat, können ebenfalls verwendet werden. In manchen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium enthalten; Germanium; einen Komposithalbleiter, der Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid enthält; einen Legierungshalbleiter, der Silizium-Germanium, Galliumarsenidphosphid, Aluminium-Indiumarsenid, Aluminium-Galliumarsenid, Gallium-Indiumarsenid, Gallium-Indiumphosphid und/oder Gallium-Indiumarsenidphosphid enthält; Kombinationen davon; oder dergleichen.
  • Das Substrat 50 weist einen n-Typ-Bereich 50N und einen p-Typ-Bereich 50P auf. Der n-Typ-Bereich 50N kann zum Bilden von n-Typ-Vorrichtungen dienen, wie etwa NMOS-Transistoren, z.B. Nano-FETs vom n-Typ, und der p-Typ-Bereich 50P kann zum Bilden von p-Typ-Vorrichtungen dienen, wie etwa PMOS-Transistoren, z.B. Nano-FETs vom p-Typ. Der n-Typ-Bereich 50N kann physisch von dem p-Typ-Bereich 50P getrennt sein (nicht separat veranschaulicht) und jedwede Anzahl von Vorrichtungsmerkmalen (z.B. andere aktive Vorrichtungen, dotierte Bereiche, Isolationsstrukturen usw.) können zwischen dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P angeordnet sein. Obwohl nur ein n-Typ-Bereich 50N und ein p-Typ-Bereich 50P veranschaulicht sind, kann jedwede Anzahl von n-Typ-Bereichen 50N und p-Typ-Bereichen 50P bereitgestellt werden.
  • Das Substrat 50 kann mit einer p-Typ- oder einer n-Typ-Unreinheit leicht dotiert sein. An einem oberen Abschnitt des Substrats 50 kann eine Anti-Punch-Through-Implantation (APT-Implantation) durchgeführt werden, um einen APT-Bereich zu bilden. Während der APT-Implantation können Unreinheiten in das Substrat 50 implantiert werden. Die Unreinheiten können einen Leitfähigkeitstyp aufweisen, der einem Leitfähigkeitstyp der Source-/Drain-Bereiche, die später jeweils in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P gebildet werden, entgegengesetzt ist. Der APT-Bereich kann sich unter die Source-/Drain-Bereiche in den Nano-FETs erstrecken. Der APT-Bereich kann verwendet werden, um den Leckstrom aus den Source-/Drain-Bereichen zu dem Substrat 50 zu reduzieren. In manchen Ausführungsformen kann die Dotierstoffkonzentration in dem APT-Bereich im Bereich von etwa 1018 cm-3 bis etwa 1019 cm-3 liegen.
  • Über dem Substrat 50 wird ein Mehrschichtstapel 52 gebildet. Der Mehrschichtstapel 52 enthält alternierende erste Halbleiterschichten 54 und zweite Halbleiterschichten 56. Die ersten Halbleiterschichten 54 werden aus einem ersten Halbleitermaterial gebildet und die zweiten Halbleiterschichten 56 werden aus einem zweiten Halbleitermaterial gebildet. Die Halbleitermaterialien können jeweils aus Kandidaten-Halbleitermaterialien des Substrats 50 ausgewählt werden. In der veranschaulichten Ausführungsform enthält der Mehrschichtstapel 52 drei Schichten jeweils der ersten Halbleiterschichten 54 und der zweiten Halbleiterschichten 56. Es ist zu würdigen, dass der Mehrschichtstapel 52 eine beliebige Anzahl der ersten Halbleiterschichten 54 und der zweiten Halbleiterschichten 56 enthalten kann.
  • In der veranschaulichten Ausführungsform und wie später ausführlicher beschrieben, werden die ersten Halbleiterschichten 54 entfernt und die zweiten Halbleiterschichten 56 werden strukturiert, um Kanalbereiche für die Nano-FETs sowohl in dem n-Typ-Bereich 50N als auch dem p-Typ-Bereich 50P zu bilden. Die ersten Halbleiterschichten 54 sind Opferschichten (oder Dummy-Schichten), die in der nachfolgenden Verarbeitung entfernt werden, um die oberen Flächen und die Bodenflächen der zweiten Halbleiterschichten 56 freizulegen. Das erste Halbleitermaterial der ersten Halbleiterschichten 54 ist ein Material, das eine hohe Ätzselektivität gegenüber dem Ätzen der zweiten Halbleiterschichten 56 aufweist, wie etwa Silizium-Germanium. Das zweite Halbleitermaterial der zweiten Halbleiterschichten 56 ist ein Material, das sowohl für n-Typ- als auch p-Typ-Vorrichtungen geeignet ist, wie etwa Silizium.
  • In einer anderen Ausführungsform (nicht separat veranschaulicht) werden die ersten Halbleiterschichten 54 strukturiert, um Kanalbereiche für Nan-FETs in einem Bereich (z.B. dem p-Typ-Bereich 50P) zu bilden, und die zweiten Halbleiterschichten 56 werden strukturiert, um Kanalbereiche für Nano-FETs in einem anderen Bereich (z.B. dem n-Typ-Bereich 50N) zu bilden. Das erste Halbleitermaterial der ersten Halbleiterschichten 54 kann ein Material sein, das für p-Typ-Vorrichtungen geeignet ist, wie etwa Silizium-Germanium (z.B. SixGe1-x, wobei x in dem Bereich 0 bis 1 liegen kann), reines Germanium, ein III-V Komposithalbleiter, ein II-VI Komposithalbleiter oder dergleichen. Das zweite Halbleitermaterial der zweiten Halbleiterschichten 56 kann ein Material sein, das für n-Typ-Vorrichtungen geeignet ist, wie etwa Silizium, Siliziumkarbid, ein III-V Komposithalbleiter, ein II-VI Komposithalbleiter oder dergleichen. Das erste Halbleitermaterial und das zweite Halbleitermaterial können eine hohe Ätzselektivität beim Ätzen gegenüber einander aufweisen, so dass die ersten Halbleiterschichten 54 entfernt werden können ohne die zweiten Halbleiterschichten 56 in dem n-Typ-Bereich 50N zu entfernen, und die zweiten Halbleiterschichten 56 können entfernt werden ohne die ersten Halbleiterschichten 54 in dem p-Typ-Bereich 50P zu entfernen.
  • Jede der Schichten des Mehrschichtstapels 52 kann durch einen Prozess gezüchtet werden, wie etwa Dampfphasenepitaxie (VPE) oder Molekularstrahlepitaxie (MBE), und durch einen Prozess abgeschieden werden, wie etwa chemische Dampfabscheidung (CVD) oder Atomlagenabscheidung (ALD) oder dergleichen. Jede der Schichten kann eine geringe Dicke aufweisen, wie etwa eine Dicke in einem Bereich von etwa 5 nm bis etwa 30 nm. In manchen Ausführungsformen werden manche Schichten (z.B. die zweiten Halbleiterschichten 56) dünner gebildet als andere Schichten (z.B. die ersten Halbleiterschichten 54). In Ausführungsformen, in denen die ersten Halbleiterschichten 54 Opferschichten (bzw. Dummy-Schichten) sind und die zweiten Halbleiterschichten 56 strukturiert werden, um Kanalbereiche für die Nano-FETs sowohl in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P zu bilden, können die ersten Halbleiterschichten 54 beispielsweise eine erste Dicke aufweisen und die zweiten Halbleiterschichten 56 können eine zweite Dicke aufweisen, wobei die zweite Dicke um etwa 30 % bis etwa 60 % kleiner ist als die erste Dicke. Bilden der zweiten Halbleiterschichten 56 zu einer kleineren Dicke erlaubt es, die Kanalbereiche mit einer größeren Dichte zu bilden.
  • In 3 werden in dem Substrat 50 und dem Mehrschichtstapel 52 Gräben strukturiert, um Finnen 62, erste Nanostrukturen 64 und zweite Nanostrukturen 66 zu bilden. Die Finnen 62 sind Halbleiterstreifen, die in das Substrat 50 strukturiert werden. Die ersten Nanostrukturen 64 und die zweiten Nanostrukturen 66 enthalten die verbleibenden Abschnitte der ersten Halbleiterschichten 54 bzw. der zweiten Halbleiterschichten 56. Die Gräben können durch einen akzeptablen Ätzprozess strukturiert werden, wie etwa ein reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE) oder dergleichen oder einer Kombination davon. Das Ätzen kann anisotrop sein.
  • Die Finnen 62 und die Nanostrukturen 64, 66 können durch jedwedes geeignete Verfahren strukturiert werden. Die Finnen 62 und die Nanostrukturen 64, 66 können beispielsweise unter Verwendung von einem oder mehreren photolithographischen Prozessen strukturiert werden, einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithographie und selbstausrichtende Prozesse, was es ermöglicht Strukturen zu erzeugen, die beispielsweise kleinere Pitches aufweisen als was sich ansonsten unter Verwendung eines einzelnen, direkten Photolithographieprozesses erreichen lässt. In einer Ausführungsform wird beispielsweise eine Opferschicht unter Verwendung eines Photolithographieprozesses über einem Substrat gebildet und strukturiert. Abstandshalter werden entlang der strukturierten Opferschicht unter Verwendung eines selbstausrichtenden Verfahrens gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandshalter können dann als Masken zum Strukturieren der Finnen 62 und der Nanostrukturen 64, 66 verwendet werden. In manchen Ausführungsformen kann die Maske (oder andere Schicht) auf den Nanostrukturen 64, 66 verbleiben.
  • Die Finnen 62 und die Nanostrukturen 64, 66 können jeweils Breiten in einem Bereich von etwa 8 nm bis etwa 40 nm aufweisen. In der veranschaulichten Ausführungsform weisen die Finnen 62 und die Nanostrukturen 64, 66 im Wesentlichen gleiche Breiten in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P auf. In einer anderen Ausführungsform sind die Finnen 62 und die Nanostrukturen 64, 66 in einem Bereich (z.B. dem n-Typ-Bereich 50N) breiter oder schmaler als die Finnen 62 und die Nanostrukturen 64, 66 in einem anderen Bereich (z.B. dem p-Typ-Bereich 50P).
  • In 4 werden über dem Substrat 50 und zwischen benachbarten Finnen 62 STI-Bereiche 70 gebildet. Die STI-Bereiche 70 sind um mindestens einen Abschnitt der Finnen 62 herum derart angeordnet, dass zumindest ein Abschnitt der Nanostrukturen 64, 66 von zwischen benachbarten STI-Bereichen 70 hervorsteht. In der veranschaulichten Ausführungsform sind die oberen Flächen der STI-Bereiche 70 koplanar (innerhalb von Prozessschwankungen) mit den oberen Flächen der Finnen 62. In manchen Ausführungsformen liegen die oberen Flächen der STI-Bereiche 70 über oder unter den oberen Flächen der Finnen 62. Die STI-Bereiche 70 trennen die Merkmale benachbarter Vorrichtungen.
  • Die STI-Bereiche 70 können durch jedwedes geeignete Verfahren gebildet werden. Es kann beispielsweise ein Isolationsmaterial über dem Substrat 50 und den Nanostrukturen 64, 66 und zwischen benachbarten Finnen 62 gebildet werden. Das Isolationsmaterial kann ein Oxid sein, wie etwa Siliziumoxid, ein Nitrid, wie etwa Siliziumnitrid, dergleichen oder eine Kombination davon, die durch einen chemischen Gasphasenabscheidungsprozess (CVD), wie etwa CVD mit hochdichtem Plasma (HDP-CVD), fließfähigem CVD (FCVD), dergleichen oder einer Kombination davon gebildet werden. Es können auch andere Isolationsmaterialien, die durch einen akzeptablen Prozess gebildet werden, verwendet werden. In manchen Ausführungsformen ist das Isolationsmaterial Siliziumoxid, das durch FCVD gebildet wird. Nachdem das Isolationsmaterial gebildet wurde, kann ein Temperprozess durchgeführt werden. In einer Ausführungsform wird das Isolationsmaterial derart gebildet, dass überschüssiges Isolationsmaterial die Nanostrukturen 64, 66 bedeckt. Obwohl die STI-Bereiche 70 jeweils als eine einzelne Schicht veranschaulicht sind, können manche Ausführungsformen mehrere Schichten nutzen. In manchen Ausführungsformen kann beispielsweise zunächst eine Auskleidung (nicht separat veranschaulicht) entlang Flächen des Substrats 50, der Finnen 62 und der Nanostrukturen 64, 66 gebildet werden. Danach kann ein Füllmaterial, wie etwa die vorher beschriebenen, über der Auskleidung gebildet werden.
  • Dann wird auf das Isolationsmaterial ein Entfernungsprozess angewendet, um überschüssiges Isolationsmaterial über den Nanostrukturen 64, 66 zu entfernen. In manchen Ausführungsformen kann ein Planarisierungsprozess, wie etwa ein chemisch-mechanisches Polieren (CMP), ein Rückätzverfahren, Kombinationen davon oder dergleichen, verwendet werden. In Ausführungsformen, bei denen eine Maske auf den Nanostrukturen 64, 66 verbleibt, kann der Planarisierungsprozess die Maske freilegen oder die Maske entfernen. Nach dem Planarisierungsprozess sind die oberen Flächen des Isolationsmaterials und die Maske (sofern vorhanden) bzw. die Nanostrukturen 64, 66 koplanar (innerhalb von Prozessschwankungen). Dementsprechend werden die oberen Flächen der Maske (sofern vorhanden) oder die Nanostrukturen 64, 66 durch das Isolationsmaterial freigelegt. In der veranschaulichten Ausführungsform verbleibt keine Maske auf den Nanostrukturen 64, 66. Das Isolationsmaterial wird dann ausgespart, um die STI-Bereiche 70 zu bilden. Das Isolationsmaterial wird derart ausgespart, dass mindestens ein Abschnitt der Nanostrukturen 64, 66 von zwischen benachbarten Abschnitten des Isolationsmaterials hervorsteht. Ferner können die oberen Flächen der STI-Bereiche 70 eine flache Fläche aufweisen, wie veranschaulicht, eine konvexe Fläche, eine konkave Fläche (wie etwa gewölbt) oder eine Kombination davon. Die oberen Flächen der STI-Bereiche 70 können durch ein geeignetes Ätzen flach, konvex und/oder konkav gebildet werden. Das Isolationsmaterial kann unter Verwendung eines beliebigen, akzeptablen Ätzprozesses ausgespart werden, wie etwa einem, der dem Material des Isolationsmaterials gegenüber selektiv ist (z.B. das Isolationsmaterial der STI-Bereiche 70 schneller ätzt als die Materialien der Finnen 62 und der Nanostrukturen 64, 66). Es kann beispielsweise eine Oxidentfernung unter Verwendung von verdünnter Flusssäure (dHF) durchgeführt werden.
  • Der zuvor beschriebene Prozess ist lediglich ein Beispiel dafür, wie die Finnen 62 und die Nanostrukturen 64, 66 gebildet werden können. In manchen Ausführungsformen können die Finnen 62 und/oder die Nanostrukturen 64, 66 unter Verwendung einer Maske und eines epitaktischen Zuchtprozesses gebildet werden. Es kann beispielsweise eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden und es können Gräben durch die dielektrische Schicht geätzt werden, um das darunter liegende Substrat 50 freizulegen. Epitaktische Strukturen können in den Gräben epitaktisch gezüchtet werden und die dielektrische Schicht kann derart ausgespart werden, dass die epitaktischen Strukturen aus der dielektrischen Schicht hervorstehen, um die Finnen 62 und/oder die Nanostrukturen 64, 66 zu bilden. Die epitaktischen Strukturen können die zuvor beschriebenen alternierenden Halbleitermaterialien enthalten, wie etwa das erste Halbleitermaterial und das zweite Halbleitermaterial. In manchen Ausführungsformen, in denen epitaktische Strukturen epitaktisch gezüchtet werden, können die epitaktisch gezüchteten Materialien in situ während des Züchtens dotiert werden, was vorherigen und/oder nachfolgenden Implantationen zuvorkommen kann, obwohl in situ und Implantationsdotierung auch zusammen verwendet werden können.
  • Ferner können in dem Substrat 50, den Finnen 62 und/oder den Nanostrukturen 64, 66 auch entsprechende Wannen (nicht separat veranschaulicht) gebildet werden. Die Wannen können einen Leitfähigkeitstyp aufweisen, der einem Leitfähigkeitstyp der Source-/Drain-Bereiche, die später in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P gebildet werden, entgegengesetzt ist. In manchen Ausführungsformen wird eine p-Typ-Wanne in dem n-Typ-Bereich 50N gebildet und eine n-Typ-Wanne wird in dem p-Typ-Bereich 50P gebildet. In manchen Ausführungsformen werden eine p-Typ-Wanne oder eine n-Typ-Wanne in beiden, dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P, gebildet.
  • In Ausführungsformen mit unterschiedlichen Wannentypen lassen sich die unterschiedlichen Implantationsschritte für den n-Typ-Bereich 50N und den p-Typ-Bereich 50P unter Verwendung einer Maske (nicht separat veranschaulicht), wie etwa einem Photoresist, erreichen. Es kann beispielsweise ein Photoresist über den Finnen 66, den Nanostrukturen 64, 66 und den STI-Bereichen 70 in dem n-Typ-Bereich 50N gebildet werden. Der Photoresist ist strukturiert, um den p-Typ-Bereich 50P freizulegen. Der Photoresist lässt sich unter Verwendung einer Spin-on-Technik bilden und kann unter Verwendung akzeptabler Photolithographietechniken strukturiert werden. Nachdem der Photoresist strukturiert wurde, wird eine n-Typ-Verunreinigungsimplantation in dem p-Typ-Bereich 50P durchgeführt und der Photoresist kann als eine Maske wirken, um im Wesentlichen zu verhindern, dass n-Typ-Unreinheiten in den n-Typ-Bereich 50N implantiert werden. Die n-Typ-Unreinheiten können Phosphor, Arsen, Antimon oder dergleichen sein, die in den Bereich bis zu einer Konzentration in einem Bereich von etwa 1013 cm-3 bis etwa 1014 cm-3 implantiert werden. Nach der Implantation kann der Photoresist entfernt werden, beispielsweise durch jedweden akzeptablen Veraschungsprozess.
  • Vor oder nach der Implantation des p-Typ-Bereichs 50P wird eine Maske (nicht separat veranschaulicht), wie etwa ein Photoresist, über den Finnen 62, den Nanostrukturen 64, 66 und den STI-Bereichen 70 in dem p-Typ-Bereich 50P gebildet. Der Photoresist wird strukturiert, um den n-Typ-Bereich 50N freizulegen. Der Photoresist lässt sich unter Verwendung einer Spin-on-Technik bilden und kann unter Verwendung akzeptabler Photolithographietechniken strukturiert werden. Nachdem der Photoresist strukturiert wurde, wird eine p-Typ-Verunreinigungsimplantation in dem n-Typ-Bereich 50N durchgeführt und der Photoresist kann als eine Maske wirken, um im Wesentlichen zu verhindern, dass p-Typ-Verunreinigungen in den p-Typ-Bereich 50P implantiert werden. Die p-Typ-Unreinheiten können Boron, Boronfluorid, Indium oder dergleichen sein, die in den Bereich bis zu einer Konzentration in einem Bereich von etwa 1013 cm-3 bis etwa 1014 cm-3 implantiert werden. Nach der Implantation kann der Photoresist entfernt werden, beispielsweise durch jedweden akzeptablen Veraschungsprozess.
  • Nach den Implantationen des n-Typ-Bereichs 50N und des p-Typ-Bereichs 50P wird ein Temperprozess durchgeführt, um Implantierungsschäden zu reparieren, und um die implantierten p-Typ- und/oder n-Typ-Unreinheiten zu aktivieren. In manchen Ausführungsformen, bei denen epitaktische Strukturen für die Finnen 62 und/oder die Nanostrukturen 64, 66 epitaktisch gezüchtet werden, können die gezüchteten Materialien in situ während des Züchtens dotiert werden, was die Implantationen erübrigen kann, obwohl in situ und Implantationsdotierung auch zusammen verwendet werden können.
  • In 5 wird auf den Finnen 62 und den Nanostrukturen 64, 66 eine dielektrische Dummy-Schicht 72 gebildet. Die dielektrische Dummy-Schicht 72 kann aus einem dielektrischen Material gebildet werden, wie etwa Siliziumoxid, Siliziumnitrid, eine Kombination davon oder dergleichen, was gemäß akzeptablen Techniken abgeschieden oder thermisch gezüchtet werden kann. Über der dielektrischen Dummy-Schicht 72 wird eine Dummy-Gate-Schicht 74 gebildet und über der Dummy-Gate-Schicht 74 wird eine Maskenschicht 76 gebildet. Die Dummy-Gate-Schicht 74 kann über der dielektrischen Dummy-Schicht 72 abgeschieden und dann planarisiert werden, wie etwa durch einen CMP-Prozess. Die Maskenschicht 76 kann über der Dummy-Gate-Schicht 74 abgeschieden werden. Die Dummy-Gate-Schicht 74 kann aus einem leitfähigen oder nicht leitfähigen Material gebildet werden, wie etwa amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Silizium-Germanium (Poly-SiGe), ein Metall, ein Metallnitrid, ein Metallsilizid, ein Metalloxid oder dergleichen, das durch physikalische Gasphasenabscheidung (PVD), CVD oder dergleichen abgeschieden werden kann. Die Dummy-Gate-Schicht 74 kann aus einem oder mehreren Material(ien) gebildet werden, das bzw. die eine hohe Ätzselektivität gegenüber dem Ätzen von Isolationsmaterialien aufweist bzw. aufweisen, z.B. den STI-Bereichen 70 und/oder der dielektrischen Dummy-Schicht 72. Die Maskenschicht 76 kann aus einem dielektrischen Material gebildet werden, wie etwa Siliziumnitrid, Siliziumoxynitrid oder dergleichen. In diesem Beispiel werden eine einzelne Dummy-Gate-Schicht 74 und eine einzelne Maskenschicht 76 über dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P gebildet. In der veranschaulichten Ausführungsform bedeckt die dielektrische Dummy-Schicht 72 die Finnen 62, die Nanostrukturen 64, 66 und die STI-Bereiche 70 derart, dass sich die dielektrische Dummy-Schicht 72 über die STI-Bereiche 70 und zwischen der Dummy-Gate-Schicht 74 und den STI-Bereichen 70 erstreckt. In einer anderen Ausführungsform bedeckt die dielektrische Dummy-Schicht 72 nur die Finnen 62 und die Nanostrukturen 64, 66.
  • In 6 ist die Maskenschicht 76 unter Verwendung akzeptabler Photolithographie- und Ätztechniken zum Bilden von Masken 86 strukturiert. Die Struktur der Masken 86 wird dann auf die Dummy-Gate-Schicht 74 durch jedwede akzeptable Ätztechnik zum Bilden von Dummy-Gates 84 übertragen. Die Struktur der Masken 86 kann optional durch jedwede akzeptable Ätztechnik zum Bilden von Dummy-Dielektrika 82 auf die dielektrische Dummy-Schicht 72 weiter übertragen werden. Die Dummy-Gates 84 bedecken Teile der Nanostrukturen 64, 66, die bei der nachfolgenden Bearbeitung zum Bilden von Kanalbereichen freigelegt werden. Die Dummy-Gates 84 erstrecken sich insbesondere entlang der Abschnitte der Nanostrukturen 66, die strukturiert werden, um Kanalbereiche 68 zu bilden. Die Struktur der Masken 86 kann verwendet werden, um benachbarte Dummy-Gates 84 physisch zu separieren. Die Dummy-Gates 84 können auch Längsrichtungen im Wesentlichen senkrecht (innerhalb von Prozessschwankungen) zu den Längsrichtungen der Finnen 62 aufweisen. Die Masken 86 können nach der Strukturierung optional entfernt werden, wie etwa durch jedwede akzeptable Ätztechnik.
  • 7A-22B veranschaulichen verschiedene zusätzliche Schritte der Fertigung von Ausführungsformvorrichtungen. 7A - 13B und 20A - 22B veranschaulichen Merkmale entweder in dem n-Typ-Bereich 50N oder dem p-Typ-Bereich 50P. Die veranschaulichten Strukturen können beispielsweise sowohl für den n-Typ-Bereich 50N, als auch den p-Typ-Bereich 50P Anwendung finden. Unterschiede (sofern vorhanden) in den Strukturen des n-Typ-Bereichs 50N und des p-Typ-Bereichs 50P werden in dem Text, der jeder Figur beigefügt ist, beschrieben. 14A, 15A, 16A, 17A, 18A und 19A veranschaulichen Merkmale in dem p-Typ-Bereich 50P. 14B, 15B, 16B, 17B und 19B veranschaulichen Merkmale in dem n-Typ-Bereich 50N.
  • In 7A und 7B werden über den Nanostrukturen 64, 66, auf freiliegenden Seitenwänden der Masken 86 (sofern vorhanden), den Dummy-Gates 84 und den Dummy-Dielektrika 82 Gate-Abstandshalter 90 gebildet. Die Gate-Abstandshalter 90 können durch konformes Abscheiden von einem oder mehreren dielektrischen Material(ien) und anschließendem Ätzen des bzw. der dielektrischen Material(ien) gebildet werden. Akzeptable dielektrische Materialien können Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumoxycarbonitrid oder dergleichen umfassen, die durch einen konformen Abscheidungsprozess gebildet werden können, wie etwa chemische Gasphasenabscheidung (CVD), plasmaunterstützte chemische Gasphasenabscheidung (PECVD), Atomlagenabscheidung (ALD), plasmaunterstützte Atomlagenabscheidung (PEALD) oder dergleichen. Es können auch andere Isolationsmaterialien, die durch einen akzeptablen Prozess gebildet werden, verwendet werden. In der veranschaulichten Ausführungsform enthalten die Gate-Abstandshalter 90 jeweils mehrere Schichten, z.B. eine erste Abstandshalterschicht 90A und eine zweite Abstandshalterschicht 90B. In manchen Ausführungsformen werden die ersten Abstandshalterschichten 90A und die zweiten Abstandshalterschichten 90B aus Siliziumoxycarbonitrid (z.B. SiOxNyC1-x-y, wobei x und y in dem Bereich 0 bis 1 liegen) gebildet, wobei die ersten Abstandshalterschichten 90A aus einer ähnlichen oder einer anderen Zusammensetzung von Siliziumoxycarbonitrid gebildet werden als die zweiten Abstandshalterschichten 90B. Zum Strukturieren des dielektrischen Materials bzw. der dielektrischen Materialien kann ein akzeptabler Ätzprozess durchgeführt werden, wie etwa ein Trockenätzen, ein Nassätzen, dergleichen oder eine Kombination davon. Das Ätzen kann anisotrop sein. Das dielektrische Material bzw. die dielektrischen Materialien weisen, wenn geätzt, Abschnitte links auf den Seitenwände der Dummy-Gates 84 auf (und bilden somit die Gate-Abstandshalter 90). Wie später noch ausführlicher beschrieben, können das oder die dielektrischen Material(ien), wenn geätzt, auch Abschnitte links auf den Seitenwänden der Finnen 62 und/oder den Nanostrukturen 64, 66 aufweisen (und somit Finnen-Abstandshalter 92 bilden, siehe 9C und 9D). Nach dem Ätzen können die Finnen-Abstandshalter 92 und/oder die Gate-Abstandshalter 90 gerade Seitenwände (wie veranschaulicht) aufweisen oder sie können gekrümmte Seitenwände (nicht gesondert veranschaulicht) aufweisen.
  • Ferner können Implantationen zum Bilden leicht dotierter Source-/Drain-Bereiche (LDD-Bereiche) (nicht gesondert veranschaulicht) durchgeführt werden. In den Ausführungsformen mit unterschiedlichen Vorrichtungstypen kann, ähnlich den zuvor beschriebenen Implantationen für die Wannen, eine Maske (nicht gesondert veranschaulicht), wie etwa ein Photoresist, über dem n-Typ-Bereich 50N gebildet werden, während der p-Typ-Bereich 50P freigelegt wird, und entsprechende Typunreinheiten (z.B. p-Typ) können in die Finnen 62 und/oder die Nanostrukturen 64, 66, die in dem p-Typ-Bereich 50P freigelegt sind, implantiert werden. Die Maske kann dann entfernt werden. Anschließend kann eine Maske (nicht gesondert veranschaulicht), wie etwa ein Photoresist, über dem p-Typ-Bereich 50P gebildet werden, während der n-Typ-Bereich 50N freigelegt wird, und entsprechende Typunreinheiten (z.B. n-Typ) können in die Finnen 62 und/oder die Nanostrukturen 66, die in dem n-Typ-Bereich 50N freigelegt sind, implantiert werden. Die Maske kann dann entfernt werden. Die n-Typ-Unreinheiten können jedwede der n-Typ- Unreinheiten sein, die vorstehend beschrieben wurden, und die p-Typ-Unreinheiten können jedwede der p-Typ-Unreinheiten sein, die vorstehend beschrieben wurden. Während der Implantation bleiben die Kanalbereiche 68 durch die Dummy-Gates 84 bedeckt, so dass die Kanalbereiche 68 von der Verunreinigung, die zum Bilden der LDD-Bereiche implantiert wird, im Wesentlichen frei bleiben. Die LDD-Bereiche können eine Unreinheitskonzentration im Bereich von etwa 1015 cm-3 bis etwa 1019 cm-3 aufweisen. Es kann ein Temperprozess verwendet werden, um Implantationsschäden zu reparieren und um die implantierten Unreinheiten zu aktivieren.
  • Es ist zu beachten, dass die vorstehende Offenbarung im Allgemeinen einen Prozess zum Bilden von Abstandshaltern und LDD-Bereichen beschreibt. Es können auch andere Prozesse und Sequenzen verwendet werden. Es können beispielsweise weniger oder zusätzliche Abstandshalter verwendet werden, es kann eine andere Schrittsequenz verwendet werden, es können zusätzliche Abstandshalter gebildet und entfernt werden und/oder dergleichen. Darüber hinaus können die n-Typ-Vorrichtungen und die p-Typ-Vorrichtungen unter Verwendung anderer Strukturen und Schritte gebildet werden.
  • In 8A und 8B werden in den Nanostrukturen 64, 66 Source-/Drain-Aussparungen 94 gebildet. In der veranschaulichten Ausführungsform erstrecken sich die Source-/Drain-Aussparungen 94 durch die Nanostrukturen 64, 66 und in die Finnen 62. Die Source-/Drain-Aussparungen 94 können sich auch in das Substrat 50 erstrecken. In verschiedenen Ausführungsformen können sich die Source-/Drain-Aussparungen 94 zu einer oberen Fläche des Substrats 50 erstrecken, ohne das Substrat 50 zu ätzen; die Finnen 62 können derart geätzt werden, dass Bodenflächen der Source-/Drain-Aussparungen 94 unter den oberen Flächen der STI-Bereiche 70 angeordnet sind; oder dergleichen. Die Source-/Drain-Aussparungen 94 können durch Ätzen der Nanostrukturen 64, 66 unter Verwendung von anisotropen Ätzprozessen gebildet werden, wie etwa RIE, NBE oder dergleichen. Die Gate-Abstandshalter 90 und die Dummy-Gates 84 maskieren zusammen Abschnitte der Finnen 62 und/oder der Nanostrukturen 64, 66 während der Ätzprozesse, die zum Bilden der Source-/Drain-Aussparungen 94 verwendet werden. Zum Ätzen jeder der Nanostrukturen 64, 66 kann ein einzelner Ätzprozess verwendet werden oder es können zum Ätzen der Nanostrukturen 64, 66 mehrere Ätzprozesse verwendet werden. Es können zeitgetaktete Ätzprozesse verwendet werden, um das Ätzen der Source-/Drain-Aussparungen 94 zu stoppen, nachdem die Source-/Drain-Aussparungen 94 eine gewünschte Tiefe erreicht haben.
  • Optional werden auf den Seitenwänden der verbleibenden Abschnitte der ersten Nanostrukturen 64, z.B. jenen Seitenwänden, die durch die Source-/Drain-Aussparungen 94 freigelegt werden, innere Abstandshalter 96 gebildet. Wie später noch ausführlicher beschrieben, werden Source-/Drain-Bereiche anschließend in den Source-/Drain-Aussparungen 94 gebildet und die ersten Nanostrukturen 64 werden anschließend durch entsprechende Gate-Strukturen ersetzt. Die inneren Abstandshalter 96 dienen als Isolationsmerkmale zwischen den später gebildeten Source-/Drain-Bereichen und den später gebildeten Gate-Strukturen. Ferner können die inneren Abstandshalter 96 verwendet werden, um im Wesentlichen Beschädigung der später gebildeten Source-/Drain-Bereiche durch spätere Ätzprozesse, wie etwa Ätzprozesse, die zum späteren Entfernen der ersten Nanostrukturen 64 verwendet werden, zu verhindern.
  • Zum Bilden der inneren Abstandshalter 96 können beispielsweise die Source-/Drain-Aussparungen 94 seitlich erweitert werden. Es können insbesondere Abschnitte der Seitenwände der ersten Nanostrukturen 64, die durch die Source-/Drain-Aussparungen 94 freigelegt wurden, ausgespart werden. Obwohl Seitenwände der ersten Nanostrukturen 64 als gerade veranschaulicht sind, können die Seitenwände auch konkav oder konvex sein. Die Seitenwände können durch jedweden akzeptablen Ätzprozess ausgespart werden, wie etwa einem, der gegenüber dem Material der ersten Nanostrukturen 64 selektiv ist (z.B. selektiv das Material der ersten Nanostrukturen 64 schneller ätzt als das Material der zweiten Nanostrukturen 66). Das Ätzen kann isotrop sein. Wenn beispielsweise die zweiten Nanostrukturen 66 aus Silizium gebildet sind und die ersten Nanostrukturen 64 aus Silizium-Germanium gebildet sind, kann der Ätzprozess ein Nassätzen unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen sein. In einer anderen Ausführungsform kann der Ätzprozess ein Trockenätzen unter Verwendung eines Gases auf Fluorbasis, wie etwa ein Fluorwasserstoffgas (HF-Gas), sein. In manchen Ausführungsformen kann der gleiche Ätzprozess kontinuierlich durchgeführt werden, um sowohl die Source-/Drain-Aussparungen 94 zu bilden als auch die Seitenwände der ersten Nanostrukturen 64 auszusparen. Die inneren Abstandshalter 96 können dann durch konformes Bilden eines Isolationsmaterials und anschließendem Ätzen des Isolationsmaterials gebildet werden. Das Isolationsmaterial kann Siliziumnitrid oder Siliziumoxynitrid sein, obwohl jedes geeignete Material verwendet werden kann, wie etwa Materialien mit einer niedrigen dielektrischen Konstante (niedriger k-Wert) mit einem k-Wert kleiner als etwa 3,5. Das Isolationsmaterial kann durch einen konformen Abscheidungsprozess abgeschieden werden, wie etwa ALD, CVD oder dergleichen. Das Ätzen des Isolationsmaterials kann anisotrop sein. Der Ätzprozess kann beispielsweise ein Trockenätzen sein, wie etwa ein RIE, NBE oder dergleichen. Obwohl äußere Seitenwände der inneren Abstandshalter 96 als bündig mit den Seitenwänden der Gate-Abstandshalter 90 veranschaulicht sind, können sich die äußeren Seitenwände der inneren Abstandshalter 96 über die Seitenwände der Gate-Abstandshalter 90 erstrecken oder aus ihnen ausgespart sein. Mit anderen Worten, die inneren Abstandshalter 96 können die Seitenwandaussparungen teilweise füllen, vollständig füllen oder überfüllen. Darüber hinaus können die Seitenwände der inneren Abstandshalter 96, obwohl die Seitenwände der inneren Abstandshalter 96 als gerade veranschaulicht sind, auch konkav oder konvex sein.
  • In 9A und 9B sind epitaktische Source-/Drain-Bereiche 98 in den Source-/Drain-Aussparungen 94 gebildet. Die epitaktischen Source-/Drain-Bereiche 98 werden in den Source-/Drain-Aussparungen 94 derart gebildet, dass jedes Dummy-Gate 84 (und entsprechende Kanalbereiche 68) zwischen jeweils benachbarten Paaren der epitaktischen Source-/Drain-Bereiche 98 angeordnet ist. In manchen Ausführungsformen werden die Gate-Abstandshalter 90 und die inneren Abstandshalter 96 dazu verwendet, die epitaktischen Source-/Drain-Bereiche 98 jeweils von den Dummy-Gates 84 und den ersten Nanostrukturen 64 um eine entsprechende seitliche Distanz zu trennen, so dass die epitaktischen Source-/Drain-Bereiche 98 mit später gebildeten Gates der resultierenden Nano-FETs nicht kurzschließen. Ein Material der epitaktischen Source-/Drain-Bereiche 98 kann so ausgewählt werden, dass es Belastung in den jeweiligen Kanalbereichen 68 ausübt, wodurch die Leistung verbessert wird.
  • Die epitaktischen Source-/Drain-Bereiche 98 in dem n-Typ-Bereich 50N können durch Maskierung des p-Typ-Bereichs 50P gebildet werden. Dann werden die epitaktischen Source-/Drain-Bereiche 98 in dem n-Typ-Bereich 50N epitaktisch in den Source-/Drain-Aussparungen 94 in dem n-Typ-Bereich 50N gebildet. Die epitaktischen Source-/Drain-Bereiche 98 können jedes akzeptable Material umfassen, das für n-Typ-Vorrichtungen geeignet ist. Die epitaktischen Source-/Drain-Bereiche 98 in dem n-Typ-Bereich 50N können beispielsweise Materialien enthalten, die eine Zugbelastung auf die Kanalbereiche 68 ausüben, wie etwa Silizium, Siliziumcarbid, phosphordotiertes Siliziumcarbid, Siliziumphosphid oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 98 in dem n-Typ-Bereich 50N können als „Source-/Drain-Bereiche vom n-Typ“ bezeichnet werden. Die epitaktischen Source-/Drain-Bereiche 98 in dem n-Typ-Bereich 50N können Flächen aufweisen, die von den jeweiligen Flächen der Finnen 62 und den Nanostrukturen 64, 66 erhaben sind und sie können Facetten aufweisen.
  • Die epitaktischen Source-/Drain-Bereiche 98 in dem p-Typ-Bereich 50P können durch Maskierung des n-Typ-Bereichs 50N gebildet werden. Dann werden die epitaktischen Source-/Drain-Bereiche 98 in dem p-Typ-Bereich 50P in den Source-/Drain-Aussparungen 94 in dem p-Typ-Bereich 50P epitaktisch gezüchtet. Die epitaktischen Source-/Drain-Bereiche 98 können jedwedes akzeptable Material enthalten, das für p-Typ-Vorrichtungen geeignet ist. Die epitaktischen Source-/Drain-Bereiche 98 in dem p-Typ-Bereich 50P können beispielsweise Materialien enthalten, die eine Druckbelastung auf die Kanalbereiche 68 ausüben, wie etwa Silizium-Germanium, borondotiertes Silizium-Germanium, Germanium, Germaniumzinn oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 98 in dem p-Typ-Bereich 50P können als „Source-/Drain-Bereiche vom p-Typ“ bezeichnet werden. Die epitaktischen Source-/Drain-Bereiche 98 in dem p-Typ-Bereich 50P können Flächen aufweisen, die von den jeweiligen Flächen der Finnen 62 und den Nanostrukturen 64, 66 erhaben sind und sie können Facetten aufweisen.
  • Die epitaktischen Source-/Drain-Bereiche 98, die Nanostrukturen 64, 66 und/oder die Finnen 62 können mit Unreinheiten dotiert sein, um Source-/Drain-Bereiche zu bilden, ähnlich wie bei dem zuvor beschriebenem Prozess zum Bilden von LDD-Bereichen, gefolgt von einem Tempern. Die Source-/Drain-Bereiche können eine Unreinheitskonzentration im Bereich von etwa 1019 cm-3 bis etwa 1021 cm-3 aufweisen. Die Unreinheiten vom n-Typ und/oder p-Typ für Source-/Drain-Bereiche können jedwede der vorstehend beschriebenen Unreinheiten sein. In manchen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 98 in-situ während des Züchtens dotiert werden.
  • Als ein Resultat der Epitaxieprozesse, die zum Bilden der epitaktischen Source-/Drain-Bereiche 98 verwendet wurden, weisen obere Flächen der epitaktischen Source-/Drain-Bereiche Facetten auf, die sich seitlich nach außen über Seitenwände der Finnen 62 und der Nanostrukturen 64, 66 hinaus erstrecken. In manchen Ausführungsformen verursachen diese Facetten, dass benachbarte epitaktische Source-/Drain-Bereiche 98 verschmelzen, wie in 9C veranschaulicht. In manchen Ausführungsformen bleiben benachbarte epitaktische Source-/Drain-Bereiche 98 nachdem der Epitaxieprozess abgeschlossen ist getrennt, wie in 9D veranschaulicht. In den veranschaulichten Ausführungsformen wird das Abstandshalterätzen zum Bilden der Gate-Abstandshalter 90 eingestellt, auch Finnen-Abstandshalter 92 auf Seitenwänden der Finnen 62 und/oder der Nanostrukturen 64, 66 zu bilden. Die Finnen-Abstandshalter 92 werden gebildet, um einen Teil der Seitenwände der Finnen 62 und/oder der Nanostrukturen 64, 66, die sich über die STI-Bereich 70 erstrecken, abzudecken, wodurch das epitaktische Wachstum blockiert wird. In einer anderen Ausführungsform wird das Abstandshalterätzen, das zum Bilden der Gate-Abstandshalter 90 verwendet wird, eingestellt, keine Finnen-Abstandshalter zu bilden, um es den epitaktischen Source-/Drain-Bereichen 98 zu erlauben, sich zu der Fläche der STI-Bereiche 70 zu erstrecken.
  • Die epitaktischen Source-/Drain-Bereiche 98 können eine oder mehrere Halbleitermaterialschicht(en) enthalten. Die epitaktischen Source-/Drain-Bereiche 98 können beispielsweise jeweils eine Auskleidungsschicht 98A, eine Hauptschicht 98B und eine Endschicht 98C (oder, allgemeiner ausgedrückt, eine erste Halbleitermaterialschicht, eine zweite Halbleitermaterialschicht und eine dritte Halbleitermaterialschicht) enthalten. Für die epitaktischen Source-/Drain-Bereiche 98 kann eine beliebige Anzahl von Halbleitermaterialschichten verwendet werden. Jede von Auskleidungsschicht 98A, der Hauptschicht 98B und der Endschicht 98C kann aus unterschiedlichen Halbleitermaterialien gebildet werden und kann mit unterschiedlichen Unreinheitskonzentrationen dotiert werden. In manchen Ausführungsformen kann die Auskleidungsschicht 98A eine geringere Verunreinigungskonzentration aufweisen als die Hauptschicht 98B und die Endschicht 98C kann eine höhere Verunreinigungskonzentration aufweisen als die Auskleidungsschicht 98A und eine niedrigere Verunreinigungskonzentration als die Hauptschicht 98B. In Ausführungsformen, bei denen die epitaktischen Source-/Drain-Bereiche 98 drei Halbleitermaterialschichten enthalten, können die Auskleidungsschichten 98A in den Source-/Drain-Aussparungen 94 gezüchtet werden, die Hauptschichten 98B können auf den Auskleidungsschichten 98A gezüchtet werden und die Endschichten 98C können auf den Hauptschichten 98B gezüchtet werden.
  • In 10A und 10B wird eine erste dielektrische Zwischenschicht (ILD-Schicht) 104 über den epitaktischen Source-/Drain-Bereichen 98, den Gate-Abstandshaltern 90, den Masken 86 (sofern vorhanden) oder den Dummy-Gates 84 abgeschieden. Die erste ILD 104 kann aus einem dielektrischen Material gebildet werden, das durch jedwedes geeignete Verfahren abgeschieden werden kann, wie etwa CVD, plasmaunterstütztes CVD (PECVD) oder FCVD oder dergleichen. Akzeptable dielektrische Materialien können Phosphosilikatglas (PSG), Borosilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen enthalten. Es können auch andere Isolationsmaterialien, die durch einen akzeptablen Prozess gebildet werden, verwendet werden.
  • In manchen Ausführungsformen wird eine Kontaktätzstoppschicht (CESL) 102 zwischen der ersten ILD 104 und den epitaktischen Source-/Drain-Bereichen 98, den Gate-Abstandshaltern 90 und den Masken 86 (sofern vorhanden) oder den Dummy-Gates 84 gebildet. Die CESL 102 kann aus einem dielektrischen Material, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen, mit einer hohen Ätzselektivität gegenüber dem Ätzen der ersten ILD 104 gebildet werden. Die CESL 102 kann durch ein beliebiges geeignetes Verfahren gebildet werden, wie etwa CVD, ALD oder dergleichen.
  • In 11A und 11B wird ein Entfernungsprozess zum Nivellieren der oberen Flächen der ersten ILD 104 mit den oberen Flächen der Masken 86 (sofern vorhanden) oder den Dummy-Gates 84 durchgeführt. In manchen Ausführungsformen kann ein Planarisierungsprozess, wie etwa ein chemisch-mechanisches Polieren (CMP), ein Rückätzverfahren, Kombinationen davon oder dergleichen, verwendet werden. Der Planarisierungsprozess kann auch die Masken 86 auf den Dummy-Gates 84 und Abschnitte der Gate-Abstandshalter 90 entlang Seitenwänden der Masken 86 entfernen. Nach dem Planarisierungsprozess sind die oberen Flächen der Gate-Abstandshalter 90, der ersten ILD 104, der CESL 102 und der Masken 86 (sofern vorhanden) oder der Dummy-Gates 84 koplanar (innerhalb von Prozessschwankungen). Dementsprechend werden die oberen Flächen der Masken 86 (sofern vorhanden) oder die Dummy-Gates 84 durch die erste ILD 104 freigelegt. In der veranschaulichten Ausführungsform verbleiben die Masken 86 und der Planarisierungsprozess nivelliert die oberen Flächen der ersten ILD 104 mit den oberen Flächen der Masken 86.
  • In 12A und 12B werden die Masken 86 (sofern vorhanden) und die Dummy-Gates 84 in einem Ätzprozess entfernt, so dass Aussparungen 106 gebildet werden. Abschnitte der Dummy-Dielektrika 82 in den Aussparungen 106 werden ebenfalls entfernt. In manchen Ausführungsformen werden die Dummy-Gates 84 durch einen anisotropen Trockenätzprozess entfernt. Der Ätzprozess kann beispielsweise einen Trockenätzprozess unter Verwendung eines oder mehrerer Reaktionsgas(e) umfassen, der die Dummy-Gates 84 selektiv schneller ätzt als die erste ILD 104 oder die Gate-Abstandshalter 90. Während der Entfernung können die Dummy-Dielektrika 82 als Ätzstoppschichten verwendet werden, wenn die Dummy-Gates 84 geätzt werden. Dann werden die Dummy-Dielektrika 82 entfernt. Jede Aussparung 106 legt Abschnitte der Kanalbereiche 68 frei und/oder überlagert diese. Abschnitte der zweiten Nanostrukturen 66, die als die Kanalbereiche 68 dienen, sind zwischen nebeneinanderliegenden Paaren der epitaktischen Source-/Drain-Bereiche 98 angeordnet.
  • Dann werden die verbleibenden Abschnitte der ersten Nanostrukturen 64 entfernt, um die Aussparungen 106 derart zu erweitern, dass Öffnungen 108 in Bereichen 501 zwischen den zweiten Nanostrukturen 66 gebildet werden. Die verbleibenden Abschnitte der ersten Nanostrukturen 64 können durch jedweden akzeptablen Ätzprozess entfernt werden, der das Material der ersten Nanostrukturen 64 selektiv schneller ätzt als das Material der zweiten Nanostrukturen 66. Das Ätzen kann isotrop sein. Wenn beispielsweise die ersten Nanostrukturen 64 aus Silizium-Germanium gebildet sind und die zweiten Nanostrukturen 66 aus Silizium gebildet sind, kann der Ätzprozess ein Nassätzen unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen sein. In manchen Ausführungsformen wird ein Trimmprozess (nicht gesondert veranschaulicht) durchgeführt, um die Dicken der freigelegten Abschnitte der zweiten Nanostrukturen 66 zu verringern. Wie in 14A - 19B deutlicher veranschaulicht (und nachfolgend ausführlicher beschrieben), können die verbleibenden Abschnitte der zweiten Nanostrukturen 66 abgerundete Ecken aufweisen.
  • In 13A und 13B wird in den Aussparungen 106 eine dielektrische Gate-Schicht 112 gebildet. Auf der dielektrischen Gate-Schicht 112 wird eine Gate-Elektrodenschicht 114 gebildet. Die dielektrische Gate-Schicht 112 und die Gate-Elektrodenschicht 114 sind Schichten für Ersatz-Gates und jede davon umhüllt alle (z.B. vier) Seiten der zweiten Nanostrukturen 66.
  • Die dielektrische Gate-Schicht 112 ist auf den Seitenwänden und/oder den oberen Flächen der Finnen 62 angeordnet; auf den oberen Flächen, den Seitenwänden und den Bodenflächen der zweiten Nanostrukturen 66; und auf den Seitenwänden der Gate-Abstandshalter 90. Die dielektrische Gate-Schicht 112 kann auch auf den oberen Flächen der ersten ILD 104 und den Gate-Abstandshaltern 90 gebildet werden. Die dielektrische Gate-Schicht 112 kann ein Oxid enthalten, wie etwa Siliziumoxid oder ein Metalloxid, ein Silikat, wie etwa ein Metallsilikat, Kombinationen davon, Mehrfachschichten davon oder dergleichen. Die dielektrische Gate-Schicht 112 kann ein dielektrisches Material mit einem k-Wert größer als etwa 7,0 enthalten, wie etwa ein Metalloxid oder ein Silikat aus Hafnium, Aluminium, Zirkonium, Lanthan, Mangan, Barium, Titan, Blei und Kombinationen davon. Obwohl in 13A und 13B eine einlagige dielektrische Gate-Schicht 112 veranschaulicht ist, wie später noch ausführlicher beschrieben, kann die dielektrische Gate-Schicht 112 eine Grenzflächenschicht und eine Hauptschicht enthalten.
  • Die Gate-Elektrodenschicht 114 kann ein metallhaltiges Material enthalten, wie etwa Titannitrid, Titanoxid, Tantalnitrid, Tantalkarbid, Kobalt, Ruthenium, Aluminium, Wolfram, Kombinationen davon oder Mehrfachschichten davon, oder dergleichen. Obwohl in 13A und 13B eine einlagige Gate-Elektrodenschicht 114 veranschaulicht ist, wie später noch ausführlicher beschrieben, kann die Gate-Elektrodenschicht 114 eine beliebige Anzahl von Austrittsarbeitsabstimmungsschichten, eine beliebige Anzahl von Sperrschichten, eine beliebige Anzahl von Klebeschichten und ein Füllmaterial enthalten.
  • Die Bildung der dielektrischen Gate-Schichten 112 in dem n-Typ-Bereich 50N und dem p-Typ-Bereich 50P kann gleichzeitig erfolgen, so dass die dielektrischen Gate-Schichten 112 in jedem Bereich aus den gleichen Materialien gebildet werden, und die Bildung der Gate-Elektrodenschichten 114 kann gleichzeitig erfolgen, so dass die Gate-Elektrodenschichten 114 in jedem Bereich aus den gleichen Materialien gebildet werden. In manchen Ausführungsformen können die dielektrischen Gate-Schichten 112 in jedem Bereich durch unterschiedliche Prozesse gebildet werden, so dass die dielektrischen Gate-Schichten 112 aus unterschiedlichen Materialien bestehen und/oder eine unterschiedliche Anzahl von Schichten aufweisen, und/oder die Gate-Elektrodenschichten 114 in jedem Bereich können durch unterschiedliche Prozesse gebildet werden, so dass die Gate-Elektrodenschichten 114 aus unterschiedlichen Materialien bestehen und/oder eine unterschiedliche Anzahl von Schichten aufweisen können. Es können beim Einsatz unterschiedlicher Prozesse verschiedene Maskierungsschritte zum Maskieren und Freilegen geeigneter Bereiche verwendet werden. In der folgenden Beschreibung werden zumindest Abschnitte der Gate-Elektrodenschichten 114 in dem n-Typ-Bereich 50N und die Gate-Elektrodenschichten 114 in dem p-Typ-Bereich 50P separat gebildet.
  • 14A - 19B veranschaulichen einen Prozess, bei dem dielektrische Gate-Schichten 112 und Gate-Elektrodenschichten 114 für Ersatz-Gates in den Aussparungen 106 gebildet werden. Es werden Merkmale in Bereichen veranschaulicht, die einem Bereich 50R in 13A ähnlich sind. Beim Bilden der Ersatz-Gate-Schichten werden eine erste Austrittsarbeitsabstimmungsschicht 114A (siehe 17A) und eine Sperrschicht 114B (siehe 17A) in einem ersten Bereich (z.B. dem p-Typ-Bereich 50P) gebildet. Dann wird eine zweite Austrittsarbeitsabstimmungsschicht 114C (siehe 18A und 18B) sowohl in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) als auch einem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) gebildet. Weil der erste Bereich (z.B. der p-Typ-Bereich 50P) und der zweite Bereich (z.B. der n-Typ-Bereich 50N) unterschiedliche Quantitäten und Typen von Austrittsarbeitsabstimmungsschichten enthalten, weisen die in den Bereichen gebildeten Vorrichtungen unterschiedliche Schwellspannungen auf. Ferner ist die Sperrschicht 114B zwischen der ersten Austrittsarbeitsabstimmungsschicht 114A und der zweiten Austrittsarbeitsabstimmungsschicht 114C in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) angeordnet. Während der Abscheidung der zweiten Austrittsarbeitsabstimmungsschicht 114C schützt die Sperrschicht 114B die darunter liegende erste Austrittsarbeitsabstimmungsschicht 114A, so dass ihre Austrittsarbeit nicht durch Diffundieren des Metalls in die erste Austrittsarbeitsabstimmungsschicht 114A modifiziert wird. Die Schwellenspannungen der resultierenden Vorrichtungen können daher genauer abgestimmt werden.
  • In 14A und 14B wird die dielektrische Gate-Schicht 112 in den Aussparungen 106 sowohl in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) und dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) abgeschieden. Die dielektrische Gate-Schicht 112 kann auch auf den oberen Flächen der ersten ILD 104 und den Gate-Abstandshaltern 90 abgeschieden werden (siehe 13B). Die Bildungsverfahren der dielektrischen Gate-Schicht 112 können Molekularstrahlabscheidung (MBD), ALD, PECVD und dergleichen umfassen. Die dielektrische Gate-Schicht 112 umhüllt alle (z.B. vier) Seiten der zweiten Nanostrukturen 66. In der veranschaulichten Ausführungsform ist die dielektrische Gate-Schicht 112 mehrlagig und umfasst eine Grenzflächenschicht 112A (oder allgemeiner: eine erste dielektrische Gate-Schicht) und eine darüber liegende dielektrische Schicht mit hohem k-Wert 112B (oder allgemeiner: eine zweite dielektrische Gate-Schicht). Die Grenzflächenschicht 112A kann aus Siliziumoxid gebildet sein und die dielektrische Schicht mit hohem k-Wert 112B kann aus Hafniumoxid gebildet sein.
  • In 15A und 15B wird eine erste Austrittsarbeitsabstimmungsschicht 114A auf der dielektrischen Gate-Schicht 112 sowohl in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) als auch dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) abgeschieden. Wie später ausführlich beschrieben wird, wird die erste Austrittsarbeitsabstimmungsschicht 114A strukturiert, um Abschnitte der ersten Austrittsarbeitsabstimmungsschicht 114A in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) zu entfernen, während Abschnitte der ersten Austrittsarbeitsabstimmungsschicht 114A in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) belassen werden. Die erste Austrittsarbeitsabstimmungsschicht 114A kann als eine „Austrittsarbeitsabstimmungsschicht vom p-Typ“ bezeichnet werden, wenn sie von dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) entfernt wurde und in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) verbleibt. Die erste Austrittsarbeitsabstimmungsschicht 114A enthält jedwedes akzeptable Material zur Abstimmung einer Austrittsarbeit einer Vorrichtung auf einen gewünschten Betrag gemäß der Anwendung der zu bildenden Vorrichtung und kann unter Verwendung jedweden akzeptablen Abscheidungsprozess abgeschieden werden. Wenn die erste Austrittsarbeitsabstimmungsschicht 114A beispielsweise eine Austrittsarbeitsabstimmungsschicht vom p-Typ ist, kann sie aus einem Austrittsarbeitsmetall vom p-Typ (PWFM) gebildet werden, wie etwa Titannitrid (TiN), Tantalnitrid (TaN), Kombinationen davon oder dergleichen, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann. Obwohl die erste Austrittsarbeitsabstimmungsschicht 114A als einlagig gezeigt ist, kann die erste Austrittsarbeitsabstimmungsschicht 114A mehrlagig sein. Die erste Austrittsarbeitsabstimmungsschicht 114A kann beispielsweise eine Schicht aus Titannitrid (TiN) und eine Schicht aus Tantalnitrid (TaN) enthalten.
  • Die erste Austrittsarbeitsabstimmungsschicht 114A füllt die verbleibenden Abschnitte der Bereiche 501 zwischen den zweiten Nanostrukturen 66 (z.B. Füllen der Öffnungen 108, siehe 14A und 14B) sowohl des ersten Bereichs (z.B. den p-Typ-Bereich 50P) als auch des zweiten Bereichs (z.B. den n-Typ-Bereich 50N). Die erste Austrittsarbeitsabstimmungsschicht 114A wird insbesondere auf der dielektrischen Gate-Schicht 112 abgeschieden, bis sie dick genug ist, um zu verschmelzen und zu verschweißen. In manchen Ausführungsformen werden Grenzflächen 116 durch die Kontaktierung benachbarter Abschnitte der ersten Austrittsarbeitsabstimmungsschicht 114A gebildet (z.B. jene Abschnitte um die zweiten Nanostrukturen 66 herum) Infolgedessen werden die Öffnungen 108 vollständig von dem bzw. den dielektrischen Material(ien) der dielektrischen Gate-Schicht 112 und dem Austrittsarbeitsmetall der ersten Austrittsarbeitsabstimmungsschicht 114A gefüllt, so dass keine Sperrschichten (im Folgenden ausführlicher beschrieben) in den Öffnungen 108 gebildet werden können. Durch Verzicht auf das Abscheiden von Sperrschichten in den Öffnungen 108 lässt sich die Einfachheit der Fertigung verbessern, insbesondere bei fortschrittlichen Halbleiterknoten mit kleinen Merkmalsgrößen, da sich Sperrschichtmaterialien nur schwer in kleinen Räumen abscheiden lassen. Jeweilige Abschnitte der dielektrischen Gate-Schicht 112 umhüllen jede der zweiten Nanostrukturen 66 und jeweilige Abschnitte der ersten Austrittsarbeitsabstimmungsschicht 114A füllen Bereiche zwischen den jeweiligen Abschnitten der dielektrischen Gate-Schicht 112 sowohl in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) und dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N). In manchen Ausführungsformen wird die erste Austrittsarbeitsabstimmungsschicht 114A zu einer Dicke in einem Bereich von etwa 5 Å bis etwa 60 Å ausgebildet. Bilden der ersten Austrittsarbeitsabstimmungsschicht 114A zu einer Dicke von weniger als etwa 5 Å resultiert möglicherweise nicht in einem Verschmelzen von Abschnitten der ersten Austrittsarbeitsabstimmungsschicht 114A. Bilden der ersten Austrittsarbeitsabstimmungsschicht 114A zu einer Dicke größer als etwa 60 Ä kann sich auf die Schwellenspannungen der resultierenden Vorrichtungen negativ auswirken.
  • In 16A und 16B wird eine Sperrschicht 114B auf der ersten Austrittsarbeitsabstimmungsschicht 114A abgeschieden. Wie später noch ausführlicher beschrieben, wird eine zweite Austrittsarbeitsabstimmungsschicht über der Sperrschicht 114B gebildet und die zweite Austrittsarbeitsabstimmungsschicht kann aus einem Metall gebildet werden, das leicht diffundiert. Die Sperrschicht 114B wird aus einem Sperrmaterial gebildet, das gegen das Diffundieren von Metall resistent ist, wodurch Modifikation der Austrittsarbeit der ersten Austrittsarbeitsabstimmungsschicht 114A verhindert wird (z.B. im Wesentlichen verhindert oder zumindest reduziert). In manchen Ausführungsformen umfasst Bilden der zweiten Austrittsarbeitsabstimmungsschicht Abscheiden von Aluminium und die Sperrschicht 114B wird aus einem Sperrmaterial gebildet, das gegen das Diffundieren von Aluminium resistent ist, wodurch Diffundieren von Aluminium in die erste Austrittsarbeitsabstimmungsschicht 114A verhindert wird. Wie nachfolgend ausführlicher beschrieben, umfassen geeignete Sperrmaterialien amorphes Silizium, fluorfreies Wolfram oder dergleichen, die durch CVD, ALD oder dergleichen abgeschieden werden können.
  • Die Sperrschicht 114B wird zu einer Dicke abgeschieden, die hinreichend ist, um Modifikation der Austrittsarbeit der ersten Austrittsarbeitsabstimmungsschicht 114A während nachfolgender Verarbeitung zu verhindern. In manchen Ausführungsformen wird die Sperrschicht 114B zu einer Dicke in einem Bereich von etwa 7 Å bis etwa 40 Å gebildet. Bilden der Sperrschicht 114B zu einer Dicke von weniger als etwa 7Å ist möglicherweise nicht hinreichend, um die erste Austrittsarbeitsabstimmungsschicht 114A zu schützen. Bilden der Sperrschicht 114B zu einer Dicke von mehr als etwa 40 Å kann sich auf die Schwellenspannungen der resultierenden Vorrichtungen negativ auswirken. Die Sperrschicht 114B kann eine kleinere Dicke aufweisen als die erste Austrittsarbeitsabstimmungsschicht 114A.
  • In manchen Ausführungsformen wird die Sperrschicht 114B aus amorphem Silizium gebildet, das durch einen CVD-Prozess abgeschieden wird. Insbesondere kann die Sperrschicht 114B durch Platzieren des Substrats 50 in einer Abscheidungskammer und Dispensieren eines Siliziumquellenpräkursors in die Abscheidungskammer gebildet werden. Akzeptable Siliziumquellenpräkursoren sind u.a. binäre Silizium-Wasserstoff-Kompositsilane, wie etwa Silan (SiH4), Disilan (Si2H6) und dergleichen. Der CVD-Prozess kann bei einer Temperatur im Bereich von etwa 275 °C bis etwa 500 °C und bei einem Druck im Bereich von etwa 3 Torr bis etwa 45 Torr durchgeführt werden, beispielsweise indem die Abscheidungskammer bei einer solchen Temperatur und solch einem Druck gehalten wird. Der CVD-Prozess kann für eine Dauer im Bereich von etwa 0,2 Sekunden bis etwa 990 Sekunden durchgeführt werden, beispielsweise indem der Siliziumquellenpräkursor für solch eine Dauer in der Abscheidungskammer gehalten wird. Durchführen des CVD-Prozesses mit Parametern in diesen Bereichen ermöglicht die Bildung der Sperrschicht 114B zu einer gewünschten Dicke (vorstehend beschrieben) und Qualität. Durchführen des CVD-Prozesses mit Parametern außerhalb dieser Bereiche ermöglicht es möglicherweise nicht, die Sperrschicht 114B zu der gewünschten Dicke oder Qualität zu bilden.
  • In manchen Ausführungsformen wird die Sperrschicht 114B aus fluorfreiem Wolfram gebildet, das durch einen ALD-Prozess abgeschieden wird. Insbesondere kann die Sperrschicht 114B durch Platzieren des Substrats 50 in einer Abscheidungskammer und zyklischem Dispensieren mehrerer Quellenpräkursoren in die Abscheidungskammer gebildet werden. Fluorfreies Wolfram ist Wolfram, das frei von Fluor ist, und es wird mit einem fluorfreiem Wolframquellenpräkursor abgeschieden, beispielsweise einem Wolframquellenpräkursor, der frei von Fluor ist. Abscheiden von Wolfram mit einem fluorfreiem Wolframquellenpräkursor vermeidet die ungewünschte Produktion korrosiver Fluorid-Nebenprodukte während der Abscheidung. Ein erster Puls eines ALD-Zyklus wird durch Dispensieren eines fluorfreiem Wolframquellenpräkursors in die Abscheidungskammer durchgeführt. Akzeptable fluorfreie Wolfram-Quellenpräkursoren sind u.a. Wolframchloride, wie etwa Wolfram(V)-Chlorid (WCl5) und dergleichen. Der erste Puls kann bei einer Temperatur im Bereich von etwa 250 °C bis etwa 550 °C und bei einem Druck im Bereich von etwa 0,1 Torr bis etwa 60 Torr durchgeführt werden, beispielsweise indem die Abscheidungskammer bei einer solchen Temperatur und solch einem Druck gehalten wird. Der erste Puls kann für eine Dauer im Bereich von etwa 0,1 Sekunden bis etwa 300 Sekunden durchgeführt werden, beispielsweise indem der fluorfreie Wolframquellenpräkursor für solch eine Dauer in der Abscheidungskammer gehalten wird. Der fluorfreie Wolframquellenpräkursor wird dann aus der Abscheidungskammer gespült, wie etwa durch einen akzeptablen Vakuumprozess und/oder durch Einströmen eines Inertgases in die Abscheidungskammer. Ein zweiter Puls des ALD-Zyklus wird durch Dispensieren eines Wasserstoffquellenpräkursors in die Abscheidungskammer durchgeführt. Akzeptable Wasserstoffquellenpräkursoren sind u.a. Wasserstoffgas (H2) und dergleichen. Der zweite Puls kann bei einer Temperatur im Bereich von etwa 250 °C bis etwa 550 °C und bei einem Druck im Bereich von etwa 0,1 Torr bis etwa 60 Torr durchgeführt werden, beispielsweise indem die Abscheidungskammer bei einer solchen Temperatur und solch einem Druck gehalten wird. Der zweite Puls kann für eine Dauer im Bereich von etwa 0,1 Sekunden bis etwa 300 Sekunden durchgeführt werden, beispielsweise indem der Wasserstoffquellenpräkursor für solch eine Dauer in der Abscheidungskammer gehalten wird. Der Wasserstoffquellenpräkursor wird dann aus der Abscheidungskammer gespült, wie etwa durch einen akzeptablen Vakuumprozess und/oder durch Einströmen eines Inertgases in die Abscheidungskammer. Jeder ALD-Zyklus resultiert in der Abscheidung einer atomaren Schicht (manchmal als Monolage bezeichnet) von fluorfreiem Wolfram. Die ALD-Zyklen werden wiederholt bis die Sperrschicht 114B eine gewünschte Dicke (wie zuvor beschrieben) aufweist. Die ALD-Zyklen können von etwa 1 bis etwa 500 Mal wiederholt werden. Durchführen des ALD-Prozesses mit Parametern in diesen Bereichen ermöglicht die Bildung der Sperrschicht 114B zu einer gewünschten Dicke (vorstehend beschrieben) und Qualität. Durchführen des ALD-Prozesses mit Parametern außerhalb dieser Bereiche ermöglicht es möglicherweise nicht, die Sperrschicht 114B zu der gewünschten Dicke oder Qualität zu bilden.
  • In der veranschaulichten Ausführungsform ist die Sperrschicht 114B eine mehrlagige Schicht aus Sperrmaterial(ien), einschließlich einer ersten Sperr-Subschicht 114B1 und einer zweiten Sperr-Subschicht 114B2. Solch eine Sperrschicht 114B wird durch Abscheiden der ersten Sperr-Subschicht 114B1 und dann Abscheiden der zweiten Sperr-Subschicht 114B2 über der ersten Sperr-Subschicht 114B1 gebildet. In manchen Ausführungsformen wird ein oberer Abschnitt der ersten Sperr-Subschicht 114B1 nach der Abscheidung der ersten Sperr-Subschicht 114B1 und vor der Abscheidung der zweiten Sperr-Subschicht 114B2 oxidiert, wodurch eine dritte Sperr-Subschicht 114B3 zwischen der ersten Sperr-Subschicht 114B1 und der zweiten Sperr-Subschicht 114B2 gebildet wird. Der obere Abschnitt der ersten Sperr-Subschicht 114B1 kann durch Aussetzung der ersten Sperr-Subschicht 114B1 gegenüber einer sauerstoffhaltigen Umgebung oxidiert werden. Die sauerstoffhaltige Umgebung kann die gleiche Prozesskammer sein, in der die erste Sperr-Subschicht 114B1 abgeschieden wird, oder sie kann eine andere Prozesskammer sein. Dementsprechend ist das Material der dritten Sperr-Subschicht 114B3 ein Oxid des Sperrmaterials der ersten Sperr-Subschicht 114B1. Wenn beispielsweise die erste Sperr-Subschicht 114B1 aus amorphem Silizium gebildet wird, wird die dritte Sperr-Subschicht 114B3 aus Siliziumoxid gebildet. Die erste Sperr-Subschicht 114B1 und die zweite Sperr-Subschicht 114B2 können aus dem gleichen Sperrmaterial gebildet werden oder sie können unterschiedliche Sperrmaterialien enthalten. In Fortsetzung des vorstehenden Beispiels kann die zweite Sperr-Subschicht 114B2, wenn die erste Sperr-Subschicht 114B1 aus amorphem Silizium gebildet wird, ebenfalls aus amorphem Silizium gebildet werden oder die zweite Sperr-Subschicht 114B2 kann aus einem anderen Sperrmaterial gebildet werden, wie etwa fluorfreiem Wolfram. Wenn die erste Sperr-Subschicht 114B1 und die zweite Sperr-Subschicht 114B2 aus dem gleichen Sperrmaterial gebildet werden, kann Abscheiden der zweiten Sperr-Subschicht 114B2 Abscheiden von mehr des Sperrmaterials der ersten Sperr-Subschicht 114B1 umfassen. Die dritte Sperr-Subschicht 114B3 kann dünn sein, wie etwa dünner als die erste Sperr-Subschicht 114B1 und die zweite Sperr-Subschicht 114B2. Die dritte Sperr-Subschicht 114B3 kann beispielsweise von etwa 20 % bis etwa 75 % der Gesamtdicke der Sperrschicht 114B ausmachen. Wenn die dritte Sperr-Subschicht 114B3 aus einem Oxid gebildet wird und dünn ist, kann sie als ein „dünnes Oxid“ bezeichnet werden. Wie nachfolgend noch ausführlicher beschrieben, kann Aufnahme der dritten Sperr-Subschicht 114B3 (z.B. ein dünnes Oxid) in der Sperrschicht 114B dazu beitragen, dass die Sperrschicht 114B gegen die Diffundierung von Metall resistenter ist. In einer anderen Ausführungsform (nachfolgend für 23A und 23B beschrieben) ist die Sperrschicht 114B eine einzelne durchgehende Schicht aus einem Sperrmaterial, die keine dazwischenliegende Unterschicht aus dünnem Oxid enthält.
  • In 17A und 17B sind Abschnitte der Sperrschicht 114B und der ersten Austrittsarbeitsabstimmungsschicht 114A aus dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) entfernt. Entfernen der Abschnitte der Sperrschicht 114B und der ersten Austrittsarbeitsabstimmungsschicht 114A aus dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) erweitert die Aussparungen 106 in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N), um die dielektrische Gate-Schicht 112 neu freizulegen und die Öffnungen 108 in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) neu zu bilden. Die Entfernung kann durch akzeptable Photolithographie- und Ätztechniken erfolgen. Das Ätzen kann einen akzeptablen Ätzprozess umfassen, wie etwa ein reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE) oder dergleichen oder eine Kombination davon. Das Ätzen kann anisotrop sein.
  • In manchen Ausführungsformen wird ein erstes Ätzen durchgeführt, um die Abschnitte der Sperrschicht 114B zu entfernen, und es wird ein zweites Ätzen durchgeführt, um die Abschnitte der ersten Austrittsarbeitsabstimmungsschicht 114A zu entfernen. Das erste Ätzen kann selektiv gegenüber der Sperrschicht 114B sein (z.B. selektives Ätzen des Materials der Sperrschicht 114B mit einer schnelleren Rate als der des Materials der ersten Austrittsarbeitsabstimmungsschicht 114A). Wenn die Sperrschicht 114B beispielsweise aus amorphem Silizium gebildet ist, kann sie durch ein Nassätzen unter Verwendung von verdünnter Fluorwasserstoffsäure (dHF) entfernt werden. Das zweite Ätzen kann selektiv gegenüber der ersten Austrittsarbeitsabstimmungsschicht 114A sein (z.B. selektives Ätzen des Materials der ersten Austrittsarbeitsabstimmungsschicht 114A mit einer schnelleren Rate als der des Materials der dielektrischen Gate-Schicht 112). Wenn die erste Austrittsarbeitsabstimmungsschicht 114A beispielsweise aus Titannitrid gebildet ist, kann sie durch ein Nassätzen unter Verwendung von Ammoniumhydroxid (NH4OH) und Wasserstoffperoxid (H2O2) entfernt werden. In anderen Ausführungsformen wird zum Entfernen der Abschnitte sowohl der Sperrschicht 114B als auch der ersten Austrittsarbeitsabstimmungsschicht 114A ein einzelnes Ätzen durchgeführt.
  • In 18A und 18B wird eine zweite Austrittsarbeitsabstimmungsschicht 114C auf der Sperrschicht 114B in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) und auf der dielektrischen Gate-Schicht 112 in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) abgeschieden. Wie nachfolgend noch ausführlicher beschrieben, werden n-Typ-Vorrichtungen mit der zweiten Austrittsarbeitsabstimmungsschicht 114C in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) gebildet und p-Typ-Vorrichtungen werden mit der ersten Austrittsarbeitsabstimmungsschicht 114A und der zweiten Austrittsarbeitsabstimmungsschicht 114C in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) gebildet. Die zweite Austrittsarbeitsabstimmungsschicht 114C kann als eine „Austrittsarbeitsabstimmungsschicht vom n-Typ“ bezeichnet werden, wenn sie die einzige Austrittsarbeitsabstimmungsschicht in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) ist. Die zweite Austrittsarbeitsabstimmungsschicht 114C enthält jedwedes akzeptable Material zur Abstimmung einer Austrittsarbeit einer Vorrichtung auf einen gewünschten Betrag gemäß der Anwendung der zu bildenden Vorrichtung und kann unter Verwendung jedweden akzeptablen Abscheidungsprozesses abgeschieden werden. Wenn die zweite Austrittsarbeitsabstimmungsschicht 114C beispielsweise eine Austrittsarbeitsabstimmungsschicht vom n-Typ ist, kann sie aus einem Austrittsarbeitsmetall vom n-Typ (NWFM) gebildet werden, wie etwa Titan-Aluminium (TiAl), Titan-Aluminium-Carbid (TiAlC), Titan-Aluminium-Nitrid (TiAlN), Kombinationen davon oder dergleichen, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann. Obwohl die zweite Austrittsarbeitsabstimmungsschicht 114C als einlagig gezeigt ist, kann die zweite Austrittsarbeitsabstimmungsschicht 114C auch mehrlagig sein. Die zweite Austrittsarbeitsabstimmungsschicht 114C kann beispielsweise eine Schicht aus Titan-Aluminium-Nitrid (TiAlN) und eine Schicht aus Titan-Nitrid (TiN) enthalten.
  • In Ausführungsformen, bei denen die zweite Austrittsarbeitsabstimmungsschicht 114C eine Austrittsarbeitsabstimmungsschicht vom n-Typ ist, kann sie ein Metallelement enthalten, das für Abstimmen der Schwellenspannungen von n-Typ-Vorrichtungen geeignet ist, wie etwa Aluminium, das auch leicht diffundieren kann. Wenn die zweite Austrittsarbeitsabstimmungsschicht 114C beispielsweise Aluminium enthält, kann sie durch ALD oder CVD unter Verwendung eines aluminiumhaltigen Präkursors, wie etwa Triethylaluminium (TEA) (Al2(C2H5)6), Trimethylaluminium (TMA) (Al2(CH3)6) oder dergleichen abgeschieden werden. Während der Abscheidung dissoziiert Aluminium aus dem aluminiumhaltigem Präkursor, um das Material der zweiten Austrittsarbeitsabstimmungsschicht 114C zu bilden, Aluminium kann aber auch von dem aluminiumhaltigem Präkursor dissoziieren und in die Sperrschicht 114B diffundieren. Auf ähnliche Weise, kann auch die zweite Austrittsarbeitsabstimmungsschicht 114C durch PVD abgeschieden werden, wobei in diesem Fall gesputterte Aluminiumionen in die Sperrschicht 114B diffundieren können. Die Sperrschicht 114B wird aus einem Sperrmaterial gebildet, das gegen das Diffundieren von Metall resistent ist, wodurch Diffundieren des Metallelements (z.B. Aluminium) in die darunter liegende erste Austrittsarbeitsabstimmungsschicht 114A verhindert wird. Abscheidung der zweiten Austrittsarbeitsabstimmungsschicht 114C kann somit einen Rückstand 120 des Metallelements (z.B. Aluminium) in der Sperrschicht 114B bilden, wobei die Konzentration des Rückstands 120 in dem oberen Abschnitt der Sperrschicht 114B größer ist als in dem unteren Abschnitt der Sperrschicht 114B. Der obere Abschnitt der Sperrschicht 114B ist der Abschnitt distal zu der dielektrischen Gate-Schicht 112 und der ersten Austrittsarbeitsabstimmungsschicht 114A und proximal zu der zweiten Austrittsarbeitsabstimmungsschicht 114C. Der untere Abschnitt der Sperrschicht 114B ist der Abschnitt proximal zu der dielektrischen Gate-Schicht 112 und der ersten Austrittsarbeitsabstimmungsschicht 114A und distal zu der zweiten Austrittsarbeitsabstimmungsschicht 114C. Die Konzentration des Rückstands 120 kann durch die Sperrschicht 114B in einer Richtung, die sich von dem oberen Abschnitt der Sperrschicht 114B zu dem unteren Abschnitt der Sperrschicht 114B erstreckt, abnehmen. In manchen Ausführungsformen ist der untere Abschnitt der Sperrschicht 114B von Rückstand 120 frei. Oxide können gegen das Diffundieren mancher Metalle (z.B. Aluminium), die leicht mit Sauerstoff binden, besonders resistent sein und daher kann dies, wenn die dritte Sperr-Subschicht 114B3 (z.B. ein dünnes Oxid) in der Sperrschicht 114B enthalten ist, bei der Verhinderung von Diffundieren des Metalls in die darunter liegende erste Austrittsarbeitsabstimmungsschicht 114A besonders effektiv sein. Wenn die Sperrschicht 114B beispielsweise eine Mehrfachschicht von Sperrmaterial(ien) ist, kann die zweite Sperr-Subschicht 114B2 den Rückstand 120 enthalten, während die erste Sperr-Subschicht 114B1 von dem Rückstand 120 frei ist.
  • Die zweite Austrittsarbeitsabstimmungsschicht 114C füllt die verbleibenden Abschnitte der Bereiche 501 zwischen den zweiten Nanostrukturen 66 (z.B. Füllen der Öffnungen 108, siehe 17B) in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N). Die zweite Austrittsarbeitsabstimmungsschicht 114C wird insbesondere auf der dielektrischen Gate-Schicht 112 abgeschieden, bis sie dick genug ist, um zu verschmelzen und zu verschweißen. In manchen Ausführungsformen werden Grenzflächen 118 durch die Kontaktierung benachbarter Abschnitte der zweiten Austrittsarbeitsabstimmungsschicht 114C gebildet (z.B. jene Abschnitte um die zweiten Nanostrukturen 66 herum) Infolgedessen werden die Öffnungen 108 in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) vollständig von dem bzw. den dielektrischen Material(ien) der dielektrischen Gate-Schicht 112 und dem Austrittsarbeitsmetall der zweiten Austrittsarbeitsabstimmungsschicht 114C gefüllt, so dass keine Klebeschichten (nachfolgend ausführlicher beschrieben) in den Öffnungen 108 gebildet werden können. Durch Verzicht auf das Abscheiden von Klebeschichten in den Öffnungen 108 lässt sich die Einfachheit der Fertigung verbessern, insbesondere bei fortschrittlichen Halbleiterknoten mit kleinen Merkmalsgrößen, da sich Klebeschichtmaterialien nur schwer in kleinen Räumen abscheiden lassen. Jeweilige Abschnitte der dielektrischen Gate-Schicht 112 umhüllen jede der zweiten Nanostrukturen 66 und jeweilige Abschnitte der zweiten Austrittsarbeitsabstimmungsschicht 114C füllen Bereiche zwischen den jeweiligen Abschnitten der dielektrischen Gate-Schicht 112 in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N). In manchen Ausführungsformen wird die zweite Austrittsarbeitsabstimmungsschicht 114C zu einer Dicke in einem Bereich von etwa 5 Å bis etwa 60 Å ausgebildet. Bilden der zweiten Austrittsarbeitsabstimmungsschicht 114C zu einer Dicke von weniger als etwa 5 Å resultiert möglicherweise nicht in einem Verschmelzen von Abschnitten der zweiten Austrittsarbeitsabstimmungsschicht 114C. Bilden der zweiten Austrittsarbeitsabstimmungsschicht 114C zu einer Dicke größer als etwa 60 Ä kann sich auf die Schwellenspannungen der resultierenden Vorrichtungen negativ auswirken. Die Sperrschicht 114B kann eine kleinere Dicke aufweisen als die zweite Austrittsarbeitsabstimmungsschicht 114C.
  • Das Material der ersten Austrittsarbeitsabstimmungsschicht 114A unterscheidet sich von dem Material der zweiten Austrittsarbeitsabstimmungsschicht 114C. Wie vorstehend erwähnt, kann die erste Austrittsarbeitsabstimmungsschicht 114A aus einem Austrittsarbeitsmetall vom p-Typ (PWFM) gebildet werden und die zweite Austrittsarbeitsabstimmungsschicht 114C kann aus einem Austrittsarbeitsmetall vom n-Typ (NWFM) gebildet werden. Das PWFM unterscheidet sich von dem NWFM. Ferner unterscheidet bzw. unterscheiden sich das Material bzw. die Materialien der Sperrschicht 114B von dem Material der ersten Austrittsarbeitsabstimmungsschicht 114A und dem Material der zweiten Austrittsarbeitsabstimmungsschicht 114C.
  • In 19A und 19B wird eine Füllschicht 114E auf der zweiten Austrittsarbeitsabstimmungsschicht 114C abgeschieden. Optional wird eine Klebeschicht 114D zwischen der Füllschicht 114E und der zweiten Austrittsarbeitsabstimmungsschicht 114C gebildet. Nach Abschluss der Bildung enthält die Gate-Elektrodenschicht 114 die erste Austrittsarbeitsabstimmungsschicht 114A, die Sperrschicht 114B, die zweite Austrittsarbeitsabstimmungsschicht 114C, die Klebeschicht 114D und die Füllschicht 114E.
  • Die Klebeschicht 114D enthält jedwedes akzeptable Material zu Förderung von Haftung und Vermeidung von Diffundieren. Die Klebeschicht 114D kann beispielsweise aus einem Metall oder Metallnitrid gebildet werden, wie etwa Titannitrid, Titanaluminid, Titan-Aluminium-Nitrid, siliziumdotiertem Titannitrid, Tantalnitrid oder dergleichen, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann.
  • Die Füllschicht 114E enthält jedwedes akzeptable Material mit geringem Widerstand. Die Füllschicht 114E kann beispielsweise aus einem Metall gebildet werden, wie etwa Wolfram, Aluminium, Kobalt, Ruthenium, Kombinationen davon oder dergleichen, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann. Die Füllschicht 114E füllt die verbleibenden Abschnitte der Aussparungen 106.
  • Obwohl die Sperrschicht 114B verwendet wird, um die erste Austrittsarbeitsabstimmungsschicht 114A während der Verarbeitung zu schützen, muss sie die elektrischen Eigenschaften der resultierenden Vorrichtungen nicht signifikant beeinflussen und kann in den Abschnitten der Gate-Elektrodenschicht 114 in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) belassen werden. Die Sperrschicht 114B kann beispielsweise dünn genug sein, um die Austrittsarbeit der Gate-Elektrodenschichten 114 nicht signifikant zu modifizieren. Die Sperrschicht 114B ist zwischen den Abschnitten der ersten Austrittsarbeitsabstimmungsschicht 114A und der zweiten Austrittsarbeitsabstimmungsschicht 114C in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) angeordnet und trennt diese physisch. Demgegenüber ist der zweite Bereich (z.B. der n-Typ-Bereich 50N) von der ersten Austrittsarbeitsabstimmungsschicht 114A und der Sperrschicht 114B frei, so dass die zweite Austrittsarbeitsabstimmungsschicht 114C und die dielektrische Gate-Schicht 112 in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) nicht durch eine Sperrschicht getrennt werden und in physischem Kontakt sein können. Somit kann sich das Material der zweiten Austrittsarbeitsabstimmungsschicht 114C in dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) durchgängig zwischen der dielektrischen Gate-Schicht 112 und der Klebeschicht 114D erstrecken.
  • In 20A und 20B wird ein Entfernungsprozess zum Entfernen der überschüssigen Abschnitte der Materialien der dielektrischen Gate-Schicht 112 und der Gate-Elektrodenschicht 114 durchgeführt, wobei die überschüssigen Abschnitte über den oberen Flächen der ersten ILD 104 und den Gate-Abstandshaltern 90 liegen, wodurch Gate-Dielektrika 122 und Gate-Elektroden 124 gebildet werden. In manchen Ausführungsformen kann ein Planarisierungsprozess, wie etwa ein chemischmechanisches Polieren (CMP), ein Rückätzverfahren, Kombinationen davon oder dergleichen, verwendet werden. Die dielektrische Gate-Schicht 112 weist, wenn planarisiert, Abschnitte links in den Aussparungen 106 auf (wodurch die Gate-Dielektrika 122 gebildet werden). Die Gate-Elektrodenschicht 144 weist, wenn planarisiert, Abschnitte links in den Aussparungen 106 auf (wodurch die Gate-Elektroden 124 gebildet werden). Die oberen Flächen der Gate-Abstandshalter 90; die CESL 102; die erste ILD 104; die Gate-Dielektrika 122 (z.B. die Grenzflächenschichten 112A und die dielektrischen Schichten mit hohem k-Wert 112B, siehe 19A und 19B); und die Gate-Elektroden 124 (z.B. die erste Austrittsarbeitsabstimmungsschicht 114A, die Sperrschicht 114B, die zweite Austrittsarbeitsabstimmungsschicht 114C, die Klebeschicht 114D und die Füllschicht 114E, siehe 19A und 19B) sind (innerhalb von Prozessschwankungen) koplanar. Die Gate-Dielektrika 122 und die Gate-Elektroden 124 bilden Ersatz-Gates der resultierenden Nano-FETs. Jedes jeweilige Paar aus einem Gate-Dielektrikum 122 und einer Gate-Elektrode kann kollektiv als eine „Gate-Struktur“ bezeichnet werden. Die Gate-Strukturen erstrecken sich jeweils entlang oberen Flächen, Seitenwänden und Bodenflächen eines Kanalbereichs 68 der zweiten Nanostrukturen 66.
  • In 21A und 21B wird eine zweite ILD 134 über den Gate-Abstandshaltern 90, der CESL 102, der ersten ILD 104, den Gate-Dielektrika 122 und den Gate-Elektroden 124 abgeschieden. In manchen Ausführungsformen ist die zweite ILD 134 ein fließfähiger Film, der durch ein fließfähiges CVD-Verfahren gebildet wird. In manchen Ausführungsformen wird die zweite ILD 134 aus einem dielektrischen Material gebildet, wie etwa PSG, BSG, BPSG, USG oder dergleichen, das durch jedwedes geeignete Verfahren abgeschieden werden kann, wie etwa CVD, PECVD oder dergleichen.
  • In manchen Ausführungsformen wird eine Ätzstoppschicht (ESL) 132 zwischen der zweiten ILD 134 und den Gate-Abstandshaltern 90, der CESL 102, der ersten ILD 104, den Gate-Dielektrika 122 und den Gate-Elektroden 124 gebildet. Die ESL 132 enthält ein dielektrisches Material, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen, mit einer hohen Ätzselektivität gegenüber dem Ätzen der zweiten ILD 134.
  • In 22A und 22B werden Gate-Kontakte 142 und Source-/Drain-Kontakte 144 gebildet, um jeweils die Gate-Elektroden 124 und die epitaktischen Source-/Drain-Bereiche 98 zu kontaktieren. Die Gate-Kontakte 142 sind physisch und elektrisch mit den Gate-Elektroden 124 gekoppelt. Die Source-/Drain-Kontakte 144 sind physisch und elektrisch mit den epitaktischen Source-/Drain-Bereichen 98 gekoppelt.
  • Zum Bilden der Gate-Kontakte 142 und der Source-/Drain-Kontakte 144 werden zum Beispiel Öffnungen für die Gate-Kontakte 142 durch die zweite ILD 134 und die ESL 132 gebildet und Öffnungen für die Source-/Drain-Kontakte 144 werden durch die zweite ILD 134, die ESL 132, die erste ILD 104 und die CESL 102 gebildet. Die Öffnungen können unter Verwendung akzeptabler Photolithographie- und Ätztechniken gebildet werden. Eine Auskleidung (nicht gesondert veranschaulicht), wie etwa eine Diffundierungssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material werden in den Öffnungen gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen enthalten. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen sein. Es kann ein Planarisierungsprozess, wie etwa ein CMP, durchgeführt werden, um überschüssiges Material von einer Fläche der zweiten ILD 134 zu entfernen. Die verbleibende Auskleidung und das leitfähige Material bilden die Gate-Kontakte 142 und die Source-/Drain-Kontakte 144 in den Öffnungen. Die Gate-Kontakte 142 und die Source-/Drain-Kontakte 144 können in unterschiedlichen Prozessen gebildet werden oder sie können in dem gleichen Prozess gebildet werden. Obwohl als in den gleichen Querschnitten gebildet gezeigt, ist zu würdigen, dass jeder der Gate-Kontakte 142 und die Source-/Drain-Kontakte 144 in unterschiedlichen Querschnitten gebildet werden können, was Kurzschließen der Kontakte vermeiden kann.
  • Optional werden Metallhalbleiterlegierungsbereiche 146 an den Grenzflächen zwischen den epitaktischen Source-/Drain-Bereichen 98 und den Source-/Drain-Kontakten 144 gebildet. Die Metallhalbleiterlegierungsbereiche 146 können Silizidbereiche sein, die aus einem Metallsilizid (z.B. Titansilizid, Kobaltsilizid, Nickelsilizid usw.) gebildet sind, Germanidbereiche, die aus einem Metallgermanid (z.B. Titangermanid, Kobaltgermanid, Nickelgermanid usw.) gebildet sind, Silizium-Germanid-Bereiche, die sowohl aus einem Metallsilizid, als auch einem Metallgermanid gebildet sind oder dergleichen. Die Metallhalbleiterlegierungsbereiche 146 können vor dem bzw. den Material(ien) der Source-/Drain-Kontakte 144 durch Abscheiden eines Metalls in den Öffnungen für die Source-/Drain-Kontakte 144 und dann Durchführen eines thermischen Temperprozesses gebildet werden. Das Metall kann jedwedes Metall sein, das dazu fähig ist, mit den Halbleitermaterialien (z.B. Silizium, Silizium-Germanium, Germanium usw.) der epitaktischen Source-/Drain-Bereiche 98 zum Bilden einer Metallhalbleiterlegierung mit geringem Widerstand, wie etwa Nickel, Kobalt, Titan, Tantal, Platin, Wolfram, andere Edelmetalle, andere hochschmelzende Metalle, seltene Erde Metalle oder deren Legierungen, zu reagieren. Das Metall kann durch einen Abscheidungsprozess, wie etwa ALD, CVD, PVD oder dergleichen, abgeschieden werden. Nach dem thermischen Temperprozess kann ein Reinigungsprozess, wie etwa eine Nassreinigung, durchgeführt werden, um jegliches Restmetall von den Öffnungen für die Source-/Drain-Kontakte 144 zu entfernen, wie etwa von Flächen der Metallhalbleiterlegierungsbereiche 146. Das bzw. die Material(ien) der Source-/Drain-Kontakte 144 können dann auf den Metallhalbleiterlegierungsbereichen 146 gebildet werden.
  • 23A und 23B sind Ansichten von Nano-FETs gemäß manchen anderen Ausführungsformen. Diese Ausführungsform ist der Ausführungsform der 19A und 19B ähnlich, außer dass die Sperrschicht 114B eine einzelne durchgängige Schicht eines Sperrmaterials ist. Die Sperrschicht 114B kann beispielsweise eine einzelne durchgängige Schicht aus amorphem Silizium oder fluorfreiem Wolfram sein. Die Änderung der Konzentration des Rückstands 120 durch die Sperrschicht 114B kann in dieser Ausführungsform schrittweiser erfolgen. In der Ausführungsform der 19A und 19B kann die Konzentration des Rückstands 120 beispielsweise an der dritten Sperr-Subschicht 114B3 abrupt sinken. In der Ausführungsform der 23A und 23B kann die Konzentration des Rückstands 120 aber kontinuierlich durch die Sperrschicht 114B hindurch abnehmen.
  • Ausführungsformen können Vorteile erzielen. Aufnahme der zweiten Austrittsarbeitsabstimmungsschicht 114C sowohl in dem ersten Bereich (z.B. dem p-Typ-Bereich 50P) und dem zweiten Bereich (z.B. dem n-Typ-Bereich 50N) ermöglicht es, die Austrittsarbeiten der Gate-Elektroden 124 in beiden Bereichen abzustimmen. Bilden der Sperrschicht 114B zwischen der ersten Austrittsarbeitsabstimmungsschicht 114A und der zweiten Austrittsarbeitsabstimmungsschicht 114C schützt die erste Austrittsarbeitsabstimmungsschicht 114A vor Diffundieren von Metall während Abscheidung der zweiten Austrittsarbeitsabstimmungsschicht 114C, insbesondere wenn die zweite Austrittsarbeitsabstimmungsschicht 114C aus einem Metall gebildet wird, dass leicht diffundiert, wie etwa Aluminium. Die Sperrschicht 114B trägt somit dazu bei, Modifikation der Austrittsarbeit der ersten Austrittsarbeitsabstimmungsschicht 114A während Abscheidung der zweiten Austrittsarbeitsabstimmungsschicht 114C zu verhindern. Die Schwellenspannungen der resultierenden Vorrichtungen sowohl in dem n-Typ-Bereich 50N als auch dem p-Typ-Bereich 50P können somit genauer abgestimmt werden.
  • In einer Ausführungsform umfasst eine Vorrichtung: einen Kanalbereich; eine dielektrische Gate-Schicht auf dem Kanalbereich; eine erste Austrittsarbeitsabstimmungsschicht auf der dielektrischen Gate-Schicht, wobei die erste Austrittsarbeitsabstimmungsschicht ein Austrittsarbeitsmetall vom p-Typ enthält; eine Sperrschicht auf der ersten Austrittsarbeitsabstimmungsschicht; eine zweite Austrittsarbeitsabstimmungsschicht auf der Sperrschicht, wobei die zweite Austrittsarbeitsabstimmungsschicht ein Funktionsmetall vom n-Typ enthält, sich das Austrittsarbeitsmetall vom n-Typ von dem Austrittsarbeitsmetall vom p-Typ unterscheidet; und eine Füllschicht auf der zweiten Austrittsarbeitsabstimmungsschicht. In manchen Ausführungsformen der Vorrichtung enthält das Austrittsarbeitsmetall vom n-Typ ein Metallelement und die Sperrschicht ist eine einzelne durchgehende Schicht eines Sperrmaterials, wobei die Sperrschicht einen unteren Abschnitt in der Nähe der ersten Austrittsarbeitsabstimmungsschicht aufweist und einen oberen Abschnitt in der Nähe der zweiten Austrittsarbeitsabstimmungsschicht aufweist, wobei der obere Abschnitt der Sperrschicht Rückstand des Metallelements mit einer höheren Konzentration enthält als der untere Abschnitt der Sperrschicht. In manchen Ausführungsformen der Vorrichtung umfasst das Austrittsarbeitsmetall vom n-Typ ein Metallelement und die Sperrschicht umfasst: eine erste Schicht; eine zweite Schicht auf der ersten Schicht, wobei die zweite Schicht Rückstand des Metallelements in einer höheren Konzentration als die erste Schicht enthält; und eine Oxidschicht zwischen der ersten Schicht und der zweiten Schicht, wobei die Oxidschicht dünner ist als die erste Schicht und die zweite Schicht. In manchen Ausführungsformen der Vorrichtung umfasst die erste Schicht ein erstes Sperrmaterial, die Oxidschicht umfasst ein Oxid des ersten Sperrmaterials und die zweite Schicht umfasst ein zweites Sperrmaterials, wobei sich das zweite Sperrmaterial von dem ersten Sperrmaterial unterscheidet. In manchen Ausführungsformen der Vorrichtung umfasst die erste Schicht ein Sperrmaterial, die Oxidschicht umfasst ein Oxid des Sperrmaterials und die zweite Schicht umfasst das Sperrmaterial. In manchen Ausführungsformen der Vorrichtung umfasst die Sperrschicht amorphes Silizium. In manchen Ausführungsformen der Vorrichtung umfasst die Sperrschicht fluorfreies Wolfram. In manchen Ausführungsformen der Vorrichtung weist die Sperrschicht eine Dicke in einem Bereich von 7 Å bis 40 Å auf.
  • In einer Ausführungsform umfasst eine Vorrichtung: einen ersten Transistor umfassend: einen ersten Kanalbereich; eine erste dielektrische Gate-Schicht auf dem ersten Kanalbereich; einen Austrittsarbeitsabstimmungsschicht vom p-Typ auf der ersten dielektrischen Gate-Schicht; eine Sperrschicht auf der Austrittsarbeitsabstimmungsschicht vom p-Typ; eine erste Austrittsarbeitsabstimmungsschicht vom n-Typ auf der Sperrschicht, wobei die erste Austrittsarbeitsabstimmungsschicht vom n-Typ ein Metall enthält, ein oberer Abschnitt der Sperrschicht Rückstand des Metalls in einer höheren Konzentration enthält als ein unterer Abschnitt der Sperrschicht, der obere Abschnitt der Sperrschicht in der Nähe der ersten Austrittsarbeitsabstimmungsschicht vom n-Typ liegt, der untere Abschnitt der Sperrschicht in der Nähe der Austrittsarbeitsabstimmungsschicht vom p-Typ liegt; und eine erste Füllschicht auf der ersten Austrittsarbeitsabstimmungsschicht vom n-Typ; und einen zweiten Transistor umfassend: einen zweiten Kanalbereich; eine zweite dielektrische Gate-Schicht auf dem zweiten Kanalbereich; eine zweite Austrittsarbeitsabstimmungsschicht vom n-Typ auf der zweiten dielektrischen Gate-Schicht, wobei die zweite Austrittsarbeitsabstimmungsschicht vom n-Typ das Metall enthält; und eine zweite Füllschicht auf der zweiten Austrittsarbeitsabstimmungsschicht vom n-Typ. In manchen Ausführungsformen der Vorrichtung umfasst die Sperrschicht eine einzelne durchgehende Schicht eines Sperrmaterials zwischen der Austrittsarbeitsabstimmungsschicht vom p-Typ und der ersten Austrittsarbeitsabstimmungsschicht vom n-Typ. In manchen Ausführungsformen der Vorrichtung umfasst die Sperrschicht ein Mehrfachschicht der Sperrmaterialien zwischen der Austrittsarbeitsabstimmungsschicht vom p-Typ und der ersten Austrittsarbeitsabstimmungsschicht vom n-Typ. In manchen Ausführungsformen der Vorrichtung ist das Metall Aluminium.
  • In einer Ausführungsform umfasst ein Verfahren: Abscheiden einer dielektrischen Gate-Schicht mit einem ersten Abschnitt und einem zweiten Abschnitt, wobei der erste Abschnitt auf einem ersten Kanalbereich abgeschieden wird, der zweite Abschnitt auf einem zweiten Kanalbereich abgeschieden wird; Bilden einer ersten Austrittsarbeitsabstimmungsschicht auf dem ersten Abschnitt der dielektrischen Gate-Schicht; Bilden einer Sperrschicht auf der ersten Austrittsarbeitsabstimmungsschicht; und Abscheiden einer zweiten Austrittsarbeitsabstimmungsschicht auf der Sperrschicht und dem zweiten Abschnitt der dielektrischen Gate-Schicht, wobei die Sperrschicht Modifikation einer ersten Austrittsarbeit der ersten Austrittsarbeitsabstimmungsschicht während Abscheidung der zweiten Austrittsarbeitsabstimmungsschicht verhindert. In manchen Ausführungsformen des Verfahrens umfasst Abscheiden der Sperrschicht Abscheiden amorphen Siliziums durch einen CVD-Prozess, wobei der CVD-Prozess mit Silan durchgeführt wird, der CVD-Prozess bei einer Temperatur in einem Bereich von 275 °C bis 500 °C durchgeführt wird, der CVD-Prozess bei einem Druck in einem Bereich von 3 Torr bis 45 Torr durchgeführt wird, die Sperrschicht zu einer Dicke in einem Bereich von 7 Å bis 40 Å abgeschieden wird. In manchen Ausführungsformen des Verfahrens umfasst Abscheiden der Sperrschicht Abscheiden fluorfreien Wolframs durch einen ALD-Prozess, wobei der ALD-Prozess mit Wolfram(V)-chlorid und Wasserstoff durchgeführt wird, der ALD-Prozess bei einer Temperatur in einem Bereich von 250 °C bis 550 °C durchgeführt wird, der ALD-Prozess bei einem Druck in einem Bereich von 0,1 Torr bis 60 Torr durchgeführt wird, die Sperrschicht zu einer Dicke in einem Bereich von 7 Å bis 40 Å abgeschieden wird. In manchen Ausführungsformen des Verfahrens umfasst Abscheiden der zweiten Austrittsarbeitsabstimmungsschicht Abscheiden eines Metalls, wobei die Sperrschicht Diffundierung des Metalls in die erste Austrittsarbeitsabstimmungsschicht während Abscheidung der zweiten Austrittsarbeitsabstimmungsschicht verhindert. In manchen Ausführungsformen des Verfahrens, umfasst Bilden der ersten Austrittsarbeitsabstimmungsschicht Abscheiden der ersten Austrittsarbeitsabstimmungsschicht auf dem ersten Abschnitt und dem zweiten Abschnitt der dielektrischen Gate-Schicht und Bilden der Sperrschicht umfasst: Abscheiden der Sperrschicht auf der ersten Austrittsarbeitsabstimmungsschicht; und Entfernen von Abschnitten der Sperrschicht und der ersten Austrittsarbeitsabstimmungsschicht zum Freilegen des zweiten Abschnitts der dielektrischen Gate-Schicht. In manchen Ausführungsformen des Verfahrens umfasst Abscheiden der Sperrschicht Abscheiden einer einzelnen durchgängigen Schicht eines Sperrmaterials. In manchen Ausführungsformen des Verfahrens umfasst Abscheiden der Sperrschicht: Abscheiden eines ersten Sperrmaterials; Oxidieren eines oberen Abschnitts des ersten Sperrmaterials; und nach Oxidieren des oberen Abschnitts des ersten Sperrmaterials, Abscheiden eines zweiten Sperrmaterials auf dem ersten Sperrmaterial. In manchen Ausführungsformen des Verfahrens umfasst Abscheiden der Sperrschicht: Abscheiden eines Sperrmaterials; Oxidieren eines oberen Abschnitts des Sperrmaterials; und nach Oxidieren des oberen Abschnitts des Sperrmaterials, Abscheiden von mehr des Sperrmaterials.
  • Vorstehend wurde ein Überblick über die Merkmale mehrerer Ausführungsformen gegeben, so dass Fachleute besser die Aspekte der vorliegenden Offenbarung verstehen können. Fachleute werden zu würdigen wissen, dass sich die vorliegende Offenbarung ohne weiteres als Grundlage für den Entwurf oder die Modifikation anderer Prozesse und Strukturen zur Ausführung der gleichen Zwecke und/oder dem Erreichen der gleichen Vorteile der hierin vorgestellten Ausführungsformen verwenden lassen. Fachleute sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sich diverse Veränderungen, Substitutionen und Änderungen daran vornehmen lassen, ohne dass vom Geist und Umfang der vorliegenden Offenbarung abgewichen werden würde.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63142544 [0001]

Claims (20)

  1. Vorrichtung, umfassend: einen Kanalbereich; eine dielektrische Gate-Schicht auf dem Kanalbereich; eine erste Austrittsarbeitsabstimmungsschicht auf der dielektrischen Gate-Schicht, wobei die erste Austrittsarbeitsabstimmungsschicht ein Austrittsarbeitsmetall vom p-Typ umfasst; eine Sperrschicht auf der ersten Austrittsarbeitsabstimmungsschicht; eine zweite Austrittsarbeitsabstimmungsschicht auf der Sperrschicht, wobei die zweite Austrittsarbeitsabstimmungsschicht ein Austrittsarbeitsmetall vom n-Typ umfasst, wobei sich das Austrittsarbeitsmetall vom n-Typ von dem Austrittsarbeitsmetall vom p-Typ unterscheidet; und eine Füllschicht auf der zweiten Austrittsarbeitsabstimmungsschicht.
  2. Vorrichtung nach Anspruch 1, wobei das Austrittsarbeitsmetall vom n-Typ ein Metallelement umfasst und die Sperrschicht eine einzelne durchgehende Schicht eines Sperrmaterials ist, wobei die Sperrschicht einen unteren Abschnitt in der Nähe der ersten Austrittsarbeitsabstimmungsschicht aufweist und einen oberen Abschnitt in der Nähe der zweiten Austrittsarbeitsabstimmungsschicht aufweist, der obere Abschnitt der Sperrschicht Rückstand des Metallelements mit einer höheren Konzentration umfasst als der untere Abschnitt der Sperrschicht.
  3. Vorrichtung nach Anspruch 1, wobei das Austrittsarbeitsmetall vom n-Typ ein Metallelement umfasst und die Sperrschicht umfasst: eine erste Schicht; eine zweite Schicht auf der ersten Schicht, wobei die zweite Schicht Rückstand des Metallelements mit einer höheren Konzentration umfasst als die erste Schicht; und eine Oxidschicht zwischen der ersten Schicht und der zweiten Schicht, wobei die Oxidschicht dünner ist als die erste Schicht und die zweite Schicht.
  4. Vorrichtung nach Anspruch 3, wobei die erste Schicht ein erstes Sperrmaterial umfasst, die Oxidschicht ein Oxid des ersten Sperrmaterials umfasst und die zweite Schicht ein zweites Sperrmaterial umfasst, wobei sich das zweite Sperrmaterial von dem ersten Sperrmaterial unterscheidet.
  5. Vorrichtung nach Anspruch 3, wobei die erste Schicht ein Sperrmaterial umfasst, die Oxidschicht ein Oxid des Sperrmaterials umfasst und die zweite Schicht das Sperrmaterial umfasst.
  6. Vorrichtung nach einem der vorstehenden Ansprüche, wobei die Sperrschicht amorphes Silizium umfasst.
  7. Vorrichtung nach einem der vorstehenden Ansprüche, wobei die Sperrschicht fluorfreies Wolfram umfasst.
  8. Vorrichtung nach einem der vorstehenden Ansprüche, wobei die Sperrschicht eine Dicke in einem Bereich von 7 Å bis 40 Å aufweist.
  9. Vorrichtung, umfassend: einen ersten Transistor, umfassend: einen ersten Kanalbereich; eine erste dielektrische Gate-Schicht auf dem ersten Kanalbereich; eine Austrittsarbeitsabstimmungsschicht vom p-Typ auf der ersten dielektrischen Gate-Schicht; eine Sperrschicht auf der Austrittsarbeitsabstimmungsschicht vom p-Typ; eine erste Austrittsarbeitsabstimmungsschicht vom n-Typ auf der Sperrschicht, wobei die erste Austrittsarbeitsabstimmungsschicht vom n-Typ ein Metall umfasst, ein oberer Abschnitt der Sperrschicht Rückstand des Metalls in einer höheren Konzentration umfasst als ein unterer Abschnitt der Sperrschicht, wobei der obere Abschnitt der Sperrschicht in der Nähe der ersten Austrittsarbeitsabstimmungsschicht vom n-Typ liegt, wobei der untere Abschnitt der Sperrschicht in der Nähe der Austrittsarbeitsabstimmungsschicht vom p-Typ liegt; und eine erste Füllschicht auf der ersten Austrittsarbeitsabstimmungsschicht vom n-Typ; und einen zweiten Transistor, umfassend: einen zweiten Kanalbereich; eine zweite dielektrische Gate-Schicht auf dem zweiten Kanalbereich; eine zweite Austrittsarbeitsabstimmungsschicht vom n-Typ auf der zweiten dielektrischen Gate-Schicht, wobei die zweite Austrittsarbeitsabstimmungsschicht vom n-Typ das Metall umfasst; und eine zweite Füllschicht auf der zweiten Austrittsarbeitsabstimmungsschicht vom n-Typ.
  10. Vorrichtung nach Anspruch 9, wobei die Sperrschicht eine einzelne durchgängige Schicht eines Sperrmaterials zwischen der Austrittsarbeitsabstimmungsschicht vom p-Typ und der ersten Austrittsarbeitsabstimmungsschicht vom n-Typ umfasst.
  11. Vorrichtung nach Anspruch 9, wobei die Sperrschicht eine Mehrfachschicht von Sperrmaterialien zwischen der Austrittsarbeitsabstimmungsschicht vom p-Typ und der ersten Austrittsarbeitsabstimmungsschicht vom n-Typ umfasst.
  12. Vorrichtung nach Anspruch 9, 10 oder 11, wobei das Metall Aluminium ist.
  13. Verfahren, umfassend: Abscheiden einer dielektrischen Gate-Schicht mit einem ersten Abschnitt und einem zweiten Abschnitt, wobei der erste Abschnitt auf einem ersten Kanalbereich abgeschieden wird und der zweite Abschnitt auf einem zweiten Kanalbereich abgeschieden wird; Bilden einer ersten Austrittsarbeitsabstimmungsschicht auf dem ersten Abschnitt der dielektrischen Gate-Schicht; Bilden einer Sperrschicht auf der ersten Austrittsarbeitsabstimmungsschicht; und Abscheiden einer zweiten Austrittsarbeitsabstimmungsschicht auf der Sperrschicht und dem zweiten Abschnitt der dielektrischen Gate-Schicht, wobei die Sperrschicht eine Modifikation einer ersten Austrittsarbeit der ersten Austrittsarbeitsabstimmungsschicht während des Abscheidens der zweiten Austrittsarbeitsabstimmungsschicht verhindert.
  14. Verfahren nach Anspruch 13, wobei ein Abscheiden der Sperrschicht ein Abscheiden amorphen Siliziums durch einen CVD-Prozess umfasst, wobei der CVD-Prozess mit Silan durchgeführt wird, der CVD-Prozess bei einer Temperatur in einem Bereich von 275 °C bis 500 °C durchgeführt wird, der CVD-Prozess bei einem Druck in einem Bereich von 3 Torr bis 45 Torr durchgeführt wird, die Sperrschicht zu einer Dicke in einem Bereich von 7 Å bis 40 Å abgeschieden wird.
  15. Verfahren nach Anspruch 13, wobei ein Abscheiden der Sperrschicht ein Abscheiden fluorfreien Wolframs durch einen ALD-Prozess umfasst, der ALD-Prozess mit Wolfram(V)-chlorid und Wasserstoff durchgeführt wird, der ALD-Prozess bei einer Temperatur in einem Bereich von 250 °C bis 550 °C durchgeführt wird, der ALD-Prozess bei einem Druck in einem Bereich von 0,1 Torr bis 60 Torr durchgeführt wird, die Sperrschicht zu einer Dicke in einem Bereich von 7 Å bis 40 Å abgeschieden wird.
  16. Verfahren nach einem der Ansprüche 13 bis 15, wobei das Abscheiden der zweiten Austrittsarbeitsabstimmungsschicht ein Abscheiden eines Metalls umfasst, wobei die Sperrschicht ein Diffundieren des Metalls in die erste Austrittsarbeitsabstimmungsschicht während des Abscheidens der zweiten Austrittsarbeitsabstimmungsschicht verhindert.
  17. Verfahren nach einem der Ansprüche 13 bis 16, wobei das Bilden der ersten Austrittsarbeitsabstimmungsschicht ein Abscheiden der ersten Austrittsarbeitsabstimmungsschicht auf dem ersten Abschnitt und dem zweiten Abschnitt der dielektrischen Gate-Schicht umfasst, und wobei das Bilden der Sperrschicht umfasst: Abscheiden der Sperrschicht auf der ersten Austrittsarbeitsabstimmungsschicht; und Entfernen von Abschnitten der Sperrschicht und der ersten Austrittsarbeitsabstimmungsschicht zum Freilegen des zweiten Abschnitts der dielektrischen Gate-Schicht.
  18. Verfahren nach einem der Ansprüche 13 bis 17, wobei das Abscheiden der Sperrschicht Abscheiden einer einzelnen durchgängigen Schicht eines Sperrmaterials umfasst.
  19. Verfahren nach einem der Ansprüche 13 bis 17, wobei das Abscheiden der Sperrschicht ein umfasst: Abscheiden eines ersten Sperrmaterials; Oxidieren eines oberen Abschnitts des ersten Sperrmaterials; und nach dem Oxidieren des oberen Abschnitts des ersten Sperrmaterials, Abscheiden eines zweiten Sperrmaterials auf dem ersten Sperrmaterial.
  20. Verfahren nach einem der Ansprüche 13 bis 17, wobei das Abscheiden der Sperrschicht umfasst: Abscheiden eines Sperrmaterials; Oxidieren eines oberen Abschnitts des Sperrmaterials; und nach dem Oxidieren des oberen Abschnitts des Sperrmaterials, Abscheiden von mehr des Sperrmaterials.
DE102021109560.3A 2021-01-28 2021-04-16 Transistor-gate-strukturen und verfahren zu deren bildung Pending DE102021109560A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163142544P 2021-01-28 2021-01-28
US63/142,544 2021-01-28
US17/224,555 US11637180B2 (en) 2021-01-28 2021-04-07 Transistor gate structures and methods of forming the same
US17/224,555 2021-04-07

Publications (1)

Publication Number Publication Date
DE102021109560A1 true DE102021109560A1 (de) 2022-07-28

Family

ID=81803492

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021109560.3A Pending DE102021109560A1 (de) 2021-01-28 2021-04-16 Transistor-gate-strukturen und verfahren zu deren bildung

Country Status (5)

Country Link
US (2) US11637180B2 (de)
KR (1) KR102568605B1 (de)
CN (1) CN114597209A (de)
DE (1) DE102021109560A1 (de)
TW (1) TWI766738B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11637180B2 (en) * 2021-01-28 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140187030A1 (en) 2012-12-27 2014-07-03 SK Hynix Inc. Semiconductor device with dual work function gate stacks and method for fabricating the same
US20160365347A1 (en) 2015-06-12 2016-12-15 International Business Machines Corporation ALTERNATIVE THRESHOLD VOLTAGE SCHEME VIA DIRECT METAL GATE PATTERNING FOR HIGH PERFORMANCE CMOS FinFETs
US20190371903A1 (en) 2018-06-01 2019-12-05 International Business Machines Corporation Enabling anneal for reliability improvement and multi-vt with interfacial layer regrowth suppression
US20200176317A1 (en) 2018-04-10 2020-06-04 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices including differing barrier layer structures

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011005557A1 (de) 2011-03-15 2012-09-20 Robert Bosch Gmbh Verfahren zum Betreiben einer Vakuum-Beschichtungsmaschine
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
KR20140006204A (ko) 2012-06-27 2014-01-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
KR20150013980A (ko) 2013-07-24 2015-02-06 삼성전자주식회사 반도체 소자의 제조 방법
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102295721B1 (ko) * 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10720431B1 (en) 2019-01-25 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers
US10763177B1 (en) * 2019-03-01 2020-09-01 International Business Machines Corporation I/O device for gate-all-around transistors
US10833169B1 (en) * 2019-04-22 2020-11-10 Globalfoundries Inc. Metal gate for a field effect transistor and method
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11264289B2 (en) 2019-07-11 2022-03-01 Tokyo Electron Limited Method for threshold voltage tuning through selective deposition of high-K metal gate (HKMG) film stacks
US20210131110A1 (en) * 2019-10-31 2021-05-06 Dale Pocius Freestanding Modular Spiral Staircase
US11637180B2 (en) * 2021-01-28 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140187030A1 (en) 2012-12-27 2014-07-03 SK Hynix Inc. Semiconductor device with dual work function gate stacks and method for fabricating the same
US20160365347A1 (en) 2015-06-12 2016-12-15 International Business Machines Corporation ALTERNATIVE THRESHOLD VOLTAGE SCHEME VIA DIRECT METAL GATE PATTERNING FOR HIGH PERFORMANCE CMOS FinFETs
US20200176317A1 (en) 2018-04-10 2020-06-04 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices including differing barrier layer structures
US20190371903A1 (en) 2018-06-01 2019-12-05 International Business Machines Corporation Enabling anneal for reliability improvement and multi-vt with interfacial layer regrowth suppression

Also Published As

Publication number Publication date
TW202230527A (zh) 2022-08-01
TWI766738B (zh) 2022-06-01
US11637180B2 (en) 2023-04-25
KR102568605B1 (ko) 2023-08-18
KR20220109274A (ko) 2022-08-04
US20220238649A1 (en) 2022-07-28
US20230261051A1 (en) 2023-08-17
CN114597209A (zh) 2022-06-07

Similar Documents

Publication Publication Date Title
DE102015113184B4 (de) Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen
DE102020119976A1 (de) Halbleitervorrichtung und verfahren
DE102021116181A1 (de) Transistor-gatestrukturen und verfahren zum bilden derselben
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021101001A1 (de) Halbleitervorrichtung und verfahren
DE102021103538A1 (de) Halbleitervorrichtung und verfahren
DE102021109560A1 (de) Transistor-gate-strukturen und verfahren zu deren bildung
DE102022105643A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102020110678B4 (de) Halbleitervorrichtung und -verfahren
DE102021112360A1 (de) Halbleitervorrichtung und verfahren
DE102021118124A1 (de) Transistorisolationsbereiche und Verfahren zum Bilden derselben
DE102021105456A1 (de) Halbleitervorrichtung und verfahren
DE102021106455A1 (de) Halbleitervorrichtung und verfahren
DE102021116076A1 (de) Halbleitervorrichtung und verfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102021102596A1 (de) Halbleitervorrichtung und verfahren
DE102020128875A1 (de) Transistor-gate-struktur und verfahren zu deren herstellung
DE102020119425A1 (de) Halbleitervorrichtung und Verfahren
DE102020114860A1 (de) Transistor-gates und verfahren zum bilden davon
DE102021120222B4 (de) Halbleiter-gates und verfahren zu deren herstellung
DE102021116786B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102021113549B3 (de) Halbleitervorrichtung und verfahren
DE102021108697A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication