JP2022019659A - 多層マスク層及びその形成方法 - Google Patents

多層マスク層及びその形成方法 Download PDF

Info

Publication number
JP2022019659A
JP2022019659A JP2021116900A JP2021116900A JP2022019659A JP 2022019659 A JP2022019659 A JP 2022019659A JP 2021116900 A JP2021116900 A JP 2021116900A JP 2021116900 A JP2021116900 A JP 2021116900A JP 2022019659 A JP2022019659 A JP 2022019659A
Authority
JP
Japan
Prior art keywords
mask layer
layer
forming
region
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021116900A
Other languages
English (en)
Inventor
▲うぇん▼儒 陳
Wen-Ju Chen
忠廷 柯
Chung-Ting Ko
雅嵐 張
ya lan Zhang
亭綱 陳
Ting Gang Chen
泰鈞 ▲黄▼
Tai-Chun Huang
志安 徐
Zhi An Xu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022019659A publication Critical patent/JP2022019659A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

【課題】エピタキシャルソース/ドレイン領域の形成の際に、他の箇所に形成されるノジュールの数及び大きさを低減する半導体装置及びその製造方法を提供する。【解決手段】ナノFETの製造は、基板50上に複層積層体64を形成する工程と、複層積層体64の一部をエッチングして第1の凹部86を形成する工程と、複層積層体64上に多層pマスク層200を形成する工程と、多層pマスク層200に対して第1の熱処理を行う工程と、多層pマスク層200をエッチングして凹部86を露出させる工程と、第1の凹部86に第1の半導体材料によりエピタキシャルソース・ドレイン領域92を形成する工程と、多層pマスク層200を除去する工程と、を含む。【選択図】図15B

Description

本願は、2020年7月16日に出願された米国仮出願番号63/052、604の権利を請求し、その内容をここに組み込む。
半導体装置は、例えば、パーソナルコンピュータ、携帯電話、デジタルカメラ、その他の電子機器など、様々な電子用途に使用されている。半導体装置は、典型的には、半導体基板上に絶縁層や誘電体層、導電層、半導体層を順次積層し、リソグラフィー技術を用いて各種材料層をパターニングして回路部品や素子を形成することにより作製される。
半導体産業は、最小加工寸法を絶えずに減少させることで、各種電子部品(例えば、トランジスタ、ダイオード、抵抗、コンデンサ等)の集積度を向上させ続け、より多くの部品を所定の領域に集積することが可能となる。しかし、最小加工寸法が小さくなると、それに対応すべき更なる問題が生じる。
本発明の態様は、添付の図面を参照して、以下の詳細な説明から最適に理解されるべきである。なお、業界での標準的な実用に応じて、様々な特徴は一定の縮尺で絵描かれていないことに留意すべきである。実際には、説明を明確にするために、各構成要素の寸法を任意に増減させることができる。
ナノ構造電界効果トランジスタ(nAno FET)の一例を立体視した図である。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。 いくつかの実施形態に係るナノFETの製造の中間段階の断面図。
以下の開示は、本発明の異なる特徴を実現するための様々な異なる実施の形態、実施例を提供する。以下、本発明を簡略化するために具体的な構成例を説明する。もちろん、これらは一例に過ぎず、これらに限定されるものではない。例えば、以下の説明において、第2の特徴にまたは第2の特徴の上に第1の特徴を形成するとは、第1の特徴と第2の特徴とが直接接して形成されている態様を含んでもよいし、第1の特徴と第2の特徴とが直接接していなくてもよいように、第1の特徴と第2の特徴との間に付加的な特徴が形成されている態様を含んでもよい。また、本開示は、各実施例において、参照符号を繰り返してもよい。この繰り返しは、説明を簡単にするためのものであり、記載された各種の実施形態及び/又は構成との関係を示すものではない。
また、本明細書では、説明の便宜上、「下」、「下」、「上」、「上」等の空間的な相対的な用語を用いて、図に示すように、ある要素又は特徴と他の要素又は特徴との関係を説明する場合がある。なお、空間的な相対的な用語とは、図に示した向きの他、使用時や動作時における機器の向きも含むものとする。装置は他の方向(90度回転等)で位置決めされてもよく、本明細書で使用した空間的な相対的な記述子も同様に対応的に解釈されることができる。
種々の実施形態によれば、ナノFETからなるダイ(die)の形成方法が提供される。この方法は、半導体層の積層体を形成する工程と、これらの積層体をエッチングして、エピタキシャルソース・ドレイン領域を形成する工程とを含む。また、P型トランジスタ専用のダイの領域をマスクして、N型トランジスタ専用のダイの領域内にパターンを形成または加工してもよい。同様に、N型トランジスタ専用のダイの領域をマスクして、P型トランジスタ専用のダイの領域内にパターンを形成または加工してもよい。このように各種マスク層を形成して処理して、他の工程の効率を向上させるとともに、その後の各種マスク層の除去を容易にすることができる。そして、積層された半導体層上にゲート構造を形成してトランジスタ構造を形成してもよい。また、トランジスタ構造の一方の側に表面配線構造が形成され、トランジスタ構造の他方の側に裏面配線構造が形成されていてもよい。しかしながら、ナノFETに代えて、あるいは、ナノFETと組み合わせて、他の種類のトランジスタ(例えば、フィン型電界効果トランジスタ(FinFET)、プレーナ型トランジスタ等)を備えるダイにも、種々の実施例を適用することができる。
図1は、ナノFET(例えば、ナノワイヤFET、ナノシートFET等)を立体視した例を示している。ナノFETは、基板50(例えば、半導体基板)上のフィン66上に、ナノFETのチャネル領域として機能するナノ構造体55(例えば、ナノシート、ナノワイヤ等)を備えている。ナノ構造体55は、p型ナノ構造体、n型ナノ構造体、又はこれらの組み合わせを含んでいてもよい。シャロートレンチアイソレーションSTI(ShAllowTrenChIsolAtion)領域68は、隣り合うフィン66の間に配置されており、フィン66は、隣り合うSTI領域68の間から上方に突出していてもよい。なお、ここでは、STI領域68を基板50とは別体として説明し、図示しているが、基板とは、半導体基板単体であってもよいし、半導体基板と素子分離領域との組み合わせであってもよい。また、フィンS66の底部は、基板50と連続した1つの材料で示されているが、フィンS66の底部および/または基板50は、単一の材料で構成されていてもよいし、複数の材料で構成されていてもよい。ここで、フィン66とは、隣り合うSTI領域68の間に延在する部分をいう。
ゲート誘電体層100は、フィン66の上面上であって、ナノ構造体55の上面、側壁及び底面に沿って形成されている。ゲート電極102は、ゲート誘電体層100上に形成されている。ゲート誘電体層100およびゲート電極102の両側のフィンS66上には、エピタキシャルソース・ドレイン領域92が配置されている。
図1は、後の図で用いられる基準断面をさらに示している。また、断面A-A’は、ゲート電極102の長手軸に沿っており、例えば、ナノFETのエピタキシャルソース/ドレイン領域92の間の電流方向に垂直な方向である。断面B-B’は、断面A-A’に対して垂直であり、かつ、ナノFETのフィン66の長手軸に平行であり、例えば、ナノFETのエピタキシャルソース/ドレイン領域92の間の電流方向の方向である。断面C-C’は、断面A-A’に対して平行であり、かつ、ナノFETのエピタキシャルソース・ドレイン領域を貫通している。以降の図では、便宜上、これらの基準断面を参照する。
本文の実施例は、ゲートラストプロセスを用いて形成されたナノFETについた説明で検討される。他の実施例では、ゲートファーストプロセスが用いられてもよい。また、プレーナ型FETやフィン型電界効果トランジスタ(FinFET)等のプレーナ型デバイスにも適用可能である。
図2~図45Cは、いくつかの実施例に係るナノFETの製造の中間段階の断面図である。図2~図5、図6A、図21A、図22A、図23A、図24A、図25A、図26A、図27A、図28Aには、図1に示す基準断面A-A’が示されている。図6B、7B、8B、9B、10B、11B、11C、12B、13B、13C、14B、15B、16B、17B、17D、18B、19B、20B、20D、21B、22B、23B、24B、25B、26B、27B、28B、29B、30B、31B、31C、31D、32B、33B、34B、35B、35D、35E、36B、37Bには、図1に示す基準断面B-B’が示されている。図7A、8A、9A、10A、11A、12A、13A、14A、15A、15C、16A、16C、17A、17C、18A、18C、19A、19C、20A、20C、21C、26C、27C、29A、30A、31A、32A、33A、33C、34A、34C、35A、35C、36A、36C、37A、37Cには、図1に示す基準断面C-C’が示されている。
図2では、基板50が設けられている。基板50は、バルク半導体、SOI(SemiConDuCtor~on~InsulAtor)基板等の半導体基板であり、ドーピング(例えば、p型、n型のドーパント)されていてもよいし、アンドープであってもよい。基板50は、シリコンウェハ等のウェハであってもよい。一般に、SOI基板は、絶縁体層上に形成された半導体材料の層である。絶縁体層は、例えば、BOX(BurieDOxiDe)層、シリコン酸化層等であってもよい。絶縁体層は、基板、典型的にはシリコンやガラス基板上に設けられる。また、多層基板や傾斜基板等の他の基板を用いてもよい。基板50の半導体材料としては、炭化珪素、ガリウム砒素、ガリウム燐、インジウム燐、インジウム砒素及び/又はインジウムアンチモンを含む化合物半導体、シリコンゲルマニウム、ガリウム砒素燐、アルミニウムインジウム砒素、アルミニウムガリウム砒素、ガリウムインジウム砒素、ガリウムインジウム燐及び/又はガリウムインジウム燐を含む合金半導体と、シリコン、ゲルマニウム、又はこれらの組み合わせを用いることができる。
基板50は、n型領域50nとp型領域50pとを有し、n型領域50nは、n型ナノFET等のnMOSトランジスタ等のn型素子を形成するためのものであり、p型領域50pは、p型ナノFET等のpMOSトランジスタ等のp型素子を形成するためのものである。n型領域50nとp型領域50pとは、物理的に分離されていてもよいし(分周器20)、任意の数の素子特性(例えば、他の能動素子、ドープ領域、分離構造など)を有していてもよい。n型領域50nとp型領域50pとの間には、1つのn型領域50nと1つのp型領域50pとが配置されていてもよいが、n型領域50nとp型領域50pとの数は任意である。
また、図2では、基板50上に複層積層体64が形成されている。複層積層体64は、第1の半導体層51A~C(総称して第1の半導体層51と称する)と、第2の半導体層53A~C(総称して第2の半導体層53と称する)との交互層に含む。なお、説明の便宜上、以下に詳述するように、第2の半導体層53を除去し、第1の半導体層51をパターニングして、p型領域50pにナノFeSiNのチャネル領域を形成する。また、第1の半導体層51を除去し、第2の半導体層53をパターニングして、n型領域50nのナノFeSiNのチャネル領域を形成してもよいが、一部の実施形態では、第1の半導体層51を除去し、第2の半導体層53をパターニングして、n型領域50nのナノFETのチャネル領域を形成してもよい。また、第2の半導体層53を除去し、第1の半導体層51をパターニングして、p型領域50pのナノFETのチャネル領域を形成してもよく、他の実施形態では、第1の半導体層51を除去し、第2の半導体層53をパターニングしてn型領域50Nとp型領域50Pの両方にナノFETのチャネル領域を形成してもよい。他の実施形態では、第2の半導体層53を除去し、第1の半導体層51をパターニングして、n型領域50n及びp型領域50pの両方に非FETのチャネル領域を形成してもよい。
複層積層体64は、説明の便宜上、第1の半導体層51及び第2の半導体層53をそれぞれ3層ずつ含むものとして図示されている。幾つかの実施形態において、複層積層体64は、任意の数の第1の半導体層51及び第2の半導体層53を含んでも良い。複層積層体64の各層は、CVD(ChemiCAl VApor Deposition、化学気相蒸着)、ALD(AtomiCLAyer Deposition、原子層堆積法)、HVPE(VApor PhAse EpitAxy、ハライド気相成長法)、MBE(MoleCulAr BeAm EpitAxy、分子線結晶成長法)等のプロセスを用いてエピタキシャル成長させることができる。種々の実施形態において、第1の半導体層51は、シリコンゲルマニウム等のp型ナノFETに適した第1の半導体材料で形成され、第2の半導体層53は、シリコン、シリコンカーボン等のn型ナノFETに適した第2の半導体材料で形成されてもよい。複層積層体64は、例示的に、p型のナノFETSSに適した最下層の半導体層を有するものとして図示されている。なお、複層積層体64は、最下層がn型ナノFETに適した半導体層となるように形成されていてもよい。
第1の半導体材料と第2の半導体材料とは、互いにエッチング選択比の高い材料であってもよい。このように、n型領域50nにおいて、第2の半導体材料の第2の半導体層53を大きく除去することなく、第1の半導体材料の第1の半導体層51を除去することができ、第2の半導体層53をパターニングしてn型電界効果トランジスタ(NSFET)のチャネル領域を形成することができる。同様に、p型領域50pの第1の半導体材料の第1の半導体層51を大きく除去することなく、第2の半導体材料の第2の半導体層53を除去することにより、第1の半導体層51をパターニングしてp型nSFETのチャネル領域を形成することができる。
図3に示すように、基板50にはフィン66が形成され、複層積層体64にはナノ構造体55が形成されている。ナノ構造体55及びフィン66は、複層積層体64及び基板50にトレンチをエッチングすることにより、複層積層体64及び基板50に形成されてもよい。エッチングは、反応性イオンエッチング(RIE:ReACtiveIon EtChing)、中性ビームエッチング(NBE:NeutrAl BeAmEtCh)等の任意の許容可能なエッチングプロセス、またはこれらの組み合わせであってもよい。エッチングは異方性であってもよい。複層積層体64をエッチングしてナノ構造体55を形成することにより、第1の半導体層51から第1のナノ構造体52A~C(総称して第1のナノ構造体52と称する)を形成し、第2の半導体層53から第2のナノ構造体54A~C(総称して第2のナノ構造体54と称する)を形成することができる。また、第1のナノ構造体52及び第2のナノ構造体54をまとめて、ナノ構造体55と称する場合がある。
フィン66およびナノ構造体55は、任意の適切な方法でパターニングされてもよい。例えば、フィン66及びナノ構造体55は、ダブルパターニング又はマルチパターニングを含む1以上のフォトリソグラフィ工程を用いてパターニングされてもよい。一般に、ダブルパターニング法やマルチパターニング法は、フォトリソグラフィ法とセルフアライン法とを組み合わせることで、例えば、1回の直接フォトリソグラフィ法よりもピッチの小さいパターンを作成することができる。例えば、一実施形態においては、基板上に犠牲層を形成し、フォトリソグラフィ工程を用いて犠牲層をパターニングする。パターニングされた犠牲層に沿ってスペーサを自己整合的に形成する。その後、犠牲層を除去し、残ったスペーサを用いてフィン66をパターニングしてもよい。
図3では、説明の便宜上、n型領域50nとp型領域50pのフィン66の幅を略等しくして示している。n型領域50nにおけるフィン66の幅は、p型領域50pにおけるフィン66の幅よりも大きくてもよいし、小さくてもよい。また、フィン66及びナノ構造体55は、全体に亘って一定の幅を有するように図示されているが、他の実施形態においては、フィン66及び/又はナノ構造体55の幅が基板50に近づくにつれて連続的に大きくなるように、フィン66及び/又はナノ構造体55の側壁がテーパ状に形成されていてもよい。このような実施形態において、各ナノ構造体55は、異なる幅を有し、台形状であってもよい。
図4では、フィン66に隣接してSTI(ShAllowTrenChIsolAtion)領域68が形成されている。STI領域68は、基板50、フィン66、及びナノ構造体55上、並びに隣接するフィン66間に絶縁材料を堆積させることにより形成することができる。絶縁材料は、酸化シリコン等の酸化物であってもよいし、窒化物であってもよいし、これらの組み合わせであってもよく、高密度プラズマCVD(HDP-CVD)法、流動性CVD(FCVD)法等であってもよいし、これらの組み合わせであってもよい。他の絶縁材料は、どのような方法で形成されたものであってもよい。本実施形態では、絶縁材料は、FCVD法により形成された酸化シリコンである。絶縁材料を形成した後にアニール処理を行ってもよい。一実施形態においては、余剰の絶縁材料がナノ構造体55を覆うように、絶縁材料が形成される。絶縁材料は単層であるが、複数層であってもよい。例えば、いくつかの実施形態においては、まず、基板50、フィン66及びナノ構造体55の表面に沿ってライナー(別途図示せず)を形成してもよい。その後、ライナー上に上述したようなフィル材を形成してもよい。
次に、絶縁材料の除去処理を行い、ナノ構造体55上の余分な絶縁材料を除去する。また、CMP(ChemiCAlMeChAniCAlPolishing)等の平坦化処理、エッチバック処理、あるいはこれらの組み合わせ等を利用してもよい。平坦化工程は、平坦化工程が完了した後に、ナノ構造体55及び絶縁材料の上面が面一となるようにナノ構造体55を露出させる工程である。
その後、絶縁材料を凹ませてSTI領域68を形成する。絶縁材料は、領域50N及び領域50Pのフィン66の上部が、隣り合うSTI領域68の間から突出するように窪んでいる。また、STI領域68の上面は、図示するように平坦面であってもよいし、凸面であってもよいし、凹面(ディッシング等)であってもよいし、これらの組み合わせであってもよい。STI領域68の上面は、適宜のエッチングにより、平坦、凸、及び/又は、凹状に形成されてもよい。STI領域68は、例えば、絶縁材料の材料に対して選択的なエッチング(例えば、フィン66及びナノ構造体55の材料よりも速い速度で絶縁材料の材料をエッチング)を用いてリセスしてもよい。例えば、DHF(希フッ酸)を用いた酸化物の除去を用いてもよい。
図2~図4で説明した工程は、フィン66及びナノ構造体55の形成方法の一例に過ぎない。フィン66及び/又はナノ構造体55は、マスク及びエピタキシャル成長法を用いて形成されてもよい。例えば、基板50の上面に誘電体層を形成し、この誘電体層を介してトレンチをエッチングしてその下の基板50を露出させることができる。トレンチ内にエピタキシャル構造をエピタキシャル成長させ、エピタキシャル構造が誘電体層から突出するように誘電体層を後退させてフィン66及び/又はナノ構造体55を形成することができる。エピタキシャル構造は、上述した第1の半導体材料及び第2の半導体材料のような交互半導体材料を含んでいてもよい。また、エピタキシャル構造をエピタキシャル成長させる場合には、成長時にIn-situドーピングを行ってもよいが、In-situドーピングとインプラドーピングを併用してもよい。
また、第1の半導体層51(および第1のナノ構造体52)と第2の半導体層53(および第2のナノ構造体54)とは、p型領域50pとn型領域50nとで同一の材料で構成されているが、これに限定されるものではない。このように、第1の半導体層51及び第2の半導体層53の一方又は両方は、p形領域50p及びn形領域50nにおいて、異なる材料であってもよいし、異なる順序で形成されていてもよい。
また、図4において、フィン66、ナノ構造体55(後の図では別途図示せず)、及び/又はSTI領域68には、適宜のウェル(別途図示せず)が形成されていてもよい。なお、ウェルの種類が異なる実施形態では、n型領域50nとp型領域50pとの注入工程を、フォトレジスト等のマスク(図示せず)を用いて異なる工程で行ってもよい。例えば、n型領域50n及びp型領域50pのフィン66及びSTI領域68上にフォトレジストを形成し、このフォトレジストをパターニングしてp型領域50pを露出させる。フォトレジストは、1つ以上のスピンオンまたはデポジション技術を用いて形成することができ、許容可能なフォトリソグラフィ技術を用いてパターニングすることができる。また、フォトレジストは、n型不純物がn型領域50Nに注入されるのを実質的に防止するマスクとして作用することができ、n型不純物は、約1013原子/Cm3~約1014原子/Cm3の範囲の濃度まで領域内に注入されたリン、ヒ素、アンチモン等であってもよい。
p型領域50Pの注入の後、または注入の前に、p型領域50Pおよびn型領域50Nにおいて、フィン66、ナノ構造体55、およびフィン66上にフォトレジストまたは他のマスク(別個に図示されていない)が形成される。そして、フォトレジストをパターニングしてn型領域50Nを露出させる。フォトレジストは、1つ以上のスピンオンまたは堆積技術を用いて形成することができ、許容可能なフォトリソグラフィ技術を用いてパターニングすることができる。フォトレジストをパターニングされると、n型領域50Nにp型不純物注入を行うことができる。また、フォトレジストは、p型不純物がp型領域50Pに注入されるのを実質的に防止するマスクとして作用することができ、p型不純物は、約1013原子/Cm3~約1014原子/Cm3の範囲の濃度で領域に注入されたホウ素、フッ化ホウ素、インジウム等であってもよい。注入後、フォトレジストは、許容可能なアッシングプロセスなどによって除去されてもよい。
n型領域50n及びp型領域50pの注入後、注入ダメージを修復し、注入されたp型及び/又はn型不純物を活性化するために、アニールを行ってもよい。また、いくつかの実施形態では、エピタキシャルフィンの成長材料は、成長中にインサイチュでドープされることがあり、これは注入を避けることができるが、インサイチュおよびインプラントドープを併用することができる。
図5において、フィン66及び/又はナノ構造体55上には、ダミー誘電体層70が形成されている。ダミー誘電体層70は、例えば、シリコン酸化物、シリコン窒化物、又はこれらの組み合わせ等であってもよく、許容される手法に従って堆積又は熱成長されてもよい。ダミー誘電体層70上にはダミーゲート層72が形成され、ダミーゲート層72上にはマスク層74が形成されている。ダミーゲート層72は、ダミー誘電体層70上に堆積された後、CMP等により平坦化されてもよい。ダミーゲート層72は、導電性または非導電性の材料であればよく、アモルファスシリコン、多結晶シリコン(ポリシリコン)、多結晶シリコンゲルマニウム(ポリSiGe)、金属窒化物、金属シリサイド、金属酸化物、および金属からなる群から選択することができる。ダミーゲート層72は、選択された材料を堆積するための物理的気相成長法(PVD)、CVD法、スパッタ堆積、または選択された材料を堆積する他に技術により堆積することができ、ダミーゲート層72は、分離領域のエッチングからのエッチング選択性が高い他の材料で形成することができる。マスク層74は、例えば、窒化シリコン、酸窒化シリコンなどを含むことができる。n型領域50Nとp型領域50Pとの間には、単一のダミーゲート層72と単一のマスク層74とが形成されており、なお、例示的な目的のために、ダミー誘電体層70がフィン66とナノ構造体55のみを覆って示されている。いくつかの実施形態では、ダミー誘電体層70がSTI領域68を覆うようにダミー誘電体層70を堆積することができる。ダミー誘電体層70がダミーゲート層72とSTI領域68との間に延在するようにする。
図6A~図20Dは、実施例のデバイスの製造における各種追加工程を示している。図6A及び図6Bにおいて、マスク層74(図5参照)は、許容されるフォトリソグラフィ技術及びエッチング技術を用いてパターニングされ、マスク78が形成されてもよい。そして、マスク78のパターンをダミーゲート層72及びダミー誘電体層70に転写することにより、それぞれダミーゲート76及びダミーゲート絶縁層71を形成することができる。ダミーゲート76は、フィン66のチャネル領域を覆っている。マスク78のパターンは、各ダミーゲート76を隣接するダミーゲート76から物理的に分離するために用いられてもよい。また、ダミーゲート76は、フィン66の長手方向と略直交する長手方向を有していてもよい。
図7A及び図7Bにおいて、図6A及び図6Bに示す構造上に、それぞれ第1のスペーサ層80及び第2のスペーサ層82が形成されている。続いて、第1のスペーサ層80及び第2のスペーサ層82をパターニングし、自己整合的なソース/ドレイン領域を形成するためのスペーサとして機能させる。図7A及び図7Bにおいて、第1のスペーサ層80は、STI領域68の上面、フィン66、ナノ構造体55及びマスク78の上面及び側壁、並びにダミーゲート76及びダミーゲート誘電体71の側壁に形成されている。第2のスペーサ層82は、第1のスペーサ層80の上に堆積される。第1のスペーサ層80は、熱酸化等の手法を用いて、酸化シリコン、窒化シリコン、酸窒化シリコン等で形成してもよいし、CVD、ALD等で堆積してもよい。第2のスペーサ層82は、酸化シリコン、窒化シリコン、酸窒化シリコン等、第1のスペーサ層80の材料とエッチングレートが異なる材料で形成されてもよく、CVD、ALD等により堆積してもよい。
第1のスペーサ層80を形成した後、第2のスペーサ層82を形成する前に、LDD(Lightly DopeD SourCe/DrAin)領域(図示せず)の注入を行ってもよい。なお、デバイスの種類が異なる実施例において、図4で説明したインプラントと同様に、n型領域50n上にフォトレジスト等のマスクを形成して、p型領域50Pを露出させ、p型領域50Pにおいて、フィン66及びp型領域50p内のナノ構造体55に適切な種類(例えば、p型)の不純物を注入する。その後、マスクを除去してもよい。続いて、p型領域50p上にフォトレジスト等のマスクを形成して、n型領域50nを露出させ、n型領域50nにおいて、フィン66及びナノ構造体55に適切な種類の不純物(例えば、n型)を注入する。その後、マスクを除去してもよい。n型不純物は、前述したn型不純物であってもよく、p型不純物は、前述したp型不純物であってもよい。低濃度ソース/ドレイン領域の不純物濃度は、1x1015Atoms/Cm3~1x1019Atoms/Cm3程度であってもよい。また、注入ダメージの修復や注入された不純物の活性化のためにアニールを用いてもよい。
図8A及びBにおいて、第1のスペーサ層80及び第2のスペーサ層82をエッチングして、第1のスペーサ81及び第2のスペーサ83を形成する。詳細は後述するが、第1のスペーサ81および第2のスペーサ83は、後に形成されるソース・ドレイン領域を自己整合させるとともに、後の加工時にフィン66および/またはナノ構造体55の側壁を保護するように作用する。第1のスペーサ層80及び第2のスペーサ層82のエッチングは、例えば、等方性エッチング(例えば、ウェットエッチング)や異方性エッチング(例えば、ドライエッチング)等の適宜のエッチング方法を用いて行うことができる。幾つかの実施形態では、第2のスペーサ層82の材料は、第1のスペーサ層80の材料とエッチングレートが異なり、第2のスペーサ層82をパターニングする際に第1のスペーサ層80がエッチングストップ層として機能し、第1のスペーサ層80をパターニングする際に第2のスペーサ層82がマスクとして機能する。例えば、図8Aに示すように、第1のスペーサ層80をエッチストップ層とし、第2のスペーサ層82の残りの部分を第2のスペーサ83とする異方性エッチング法を用いて、第2のスペーサ層82をエッチングした後、第1のスペーサ層80の露出部分をエッチングしながら、第2のスペーサ83をマスクとして、図8Aに示すように、第1のスペーサ81を形成してもよい。なお、図8Bでは特に図示していないが、エッチング工程(例えば異方性エッチング工程)を行っても、マスク78の上部から第1のスペーサ層80及び第2のスペーサ層82を除去し、ダミーゲート76及びマスク78の側面から第2のスペーサ層82を除去してもよい。
図8Aに示すように、第1のスペーサ81及び第2のスペーサ83は、フィン66及び/又はナノ構造体55の側壁に配置されている。図8Bに示すように、第1のスペーサ81及び第2のスペーサ83の一部は、マスク78、ダミーゲート76及びダミーゲート誘電体71上に隣接して残存していてもよい。なお、特に図示しないが、マスク78、ダミーゲート76及びダミーゲート電極71の上に隣接する第1のスペーサ層80の上から第2のスペーサ層82を除去し、マスク78の上から第1のスペーサ層80を除去してもよい。
上記実施の形態では、スペーサおよびLDD領域を形成する工程の概要を説明した。その他の処理やシーケンスが用いられてもよい。例えば、スペーサの数を少なくしてもよいし、スペーサを追加してもよいし、第1のスペーサ81をパターニングしてから第2のスペーサ層82を形成してもよいし、スペーサを追加して形成して除去してもよい。また、n型デバイスとp型デバイスとは、異なる構造及び工程を用いて形成されてもよい。
図9A及びBにおいて、フィン66、ナノ構造体55及び基板50には、第1の凹部86が形成されている。続いて、第1の凹部86内に、エピタキシャルソース・ドレイン領域を形成する。第1の凹部86は、第1のナノ構造体52及び第2のナノ構造体54を貫通し、基板50の内部にまで延びていてもよい。図9Aに示すように、STI領域68の上面は、第1の凹部86の底面と面一であってもよい。種々の実施形態において、第1の凹部86の底面がSTI領域68の上面よりも下方に位置するように、フィン66をエッチングしてもよい。第1の凹部86は、例えば、RIE、NBE等の異方性エッチング法を用いて、フィン66、ナノ構造体55及び基板50をエッチングすることにより形成することができる。第1のスペーサ81、第2のスペーサ83及びマスク78は、第1の凹部86を形成するためのエッチング工程において、フィン66、ナノ構造体55及び基板50の一部をマスクする。ナノ構造体55及び/又はフィン66の各層のエッチングには、1回のエッチング処理を用いてもよいし、複数回のエッチング処理を用いてもよい。なお、第1の凹部86が所望の深さに達した後に、タイミングを合わせてエッチングを行って、第1の凹部86のエッチングを停止させてもよい。
図10A及びBでにおいて、n型領域50Nにおいて複層積層体64中の第1の半導体材料で形成された層(例えば、第1のナノ構造52)の側壁が第1の凹部86により露出された部分をエッチングして側壁凹部88を形成し、かつp型領域50Pにおいて複層積層体64中の第2の半導体材料で形成された層(例えば、第2のナノ構造54)の側壁が第1の凹部86により露出された部分をエッチングして側壁凹部88を形成する。図10Bにおいて第1のナノ構造52及び第2のナノ構造54の側壁凹部88における側壁はストレートに示されるが、これらの側壁は凹状又は凸であってもよい。等方性エッチングプロセスを用いて側壁をエッチングすることができ、例えば、ウェットエッチング等である。マスク(図示せず)を用いてp型領域50Pを保護することができ、同時に第1の半導体材料に対して選択性を有するエッチング剤を用いて第1のナノ構造52をエッチングすることにより、n型領域50Nにおいて、第2のナノ構造54及び基板50は相対的にエッチングされない(第1のナノ構造52に比べて)ことを保持する。同様に、マスク(図示せず)を用いてn型領域50Nを保護することができ、同時に第2の半導体材料に対して選択性のエッチング剤を用いて第2のナノ構造54をエッチングすることにより、p型領域50Pにおいて、第1のナノ構造52と基板50は相対的にエッチングされない(第2のナノ構造54に比べて)ことを保持する。ここで第1のナノ構造52が例えばSiGeを含みかつ第2のナノ構造54が例えばSi又はSiCを含む実施例において、テトラメチルアンモニウムハイドロオキサイド(TMAH)、水酸化アンモニウム(NH4OH)等を利用するドライエッチングプロセスを用いてn型領域50Nにおける第1のナノ構造52の側壁をエッチングすることができ、かつフッ化水素、別のフッ素系エッチング剤などを利用する湿式法又はドライエッチングプロセスを用いてp型領域50Pにおける第2のナノ構造54の側壁をエッチングする。
図11A~Bにおいて、側壁凹部88には、第1の内部スペーサ90が形成されている。第1の内部スペーサ90は、図10A及び図10Bに示す構造上に、内部スペーサ層(図示せず)を堆積することにより形成することができる。第1の内部スペーサ90は、その後に形成されるソース/ドレイン領域とゲート構造との間の分離機能を果たす。詳細は後述するが、第1のリセス86内には、ソース/ドレイン領域が形成され、n型領域50n内の第1のナノ構造体52及びp型領域50p内の第2のナノ構造体54は、対応するゲート構造に置き換えられる。
内部スペーサ層は、CVD法、ALD法等のコンフォーマル堆積法により堆積してもよい。内部スペーサ層としては、窒化シリコン、酸窒化シリコン等の材料を用いることができるが、k値が3.5未満の低誘電率(Low-k)材料等を用いることができる。そして、内部スペーサ層を異方性エッチングして、第1の内部スペーサ90を形成してもよい。第1の内部スペーサ90は、ゲート構造を形成する際のエッチング工程等の後のエッチング工程により、後に形成されるソース/ドレイン領域(例えば、後述するエピタキシャル型ソース/ドレイン領域92)がダメージを受けることを防止するために用いられてもよい。なお、第1の内部スペーサ90の外側の側壁は、n型領域50nにおける第2のナノ構造体54の側壁と面一であり、p型領域50pにおける第1のナノ構造体52の側壁と面一であるが、第1の内部スペーサ90の外側の側壁は、第2のナノ構造体54及び/又は第1のナノ構造体52の側壁からはみ出していてもよいし、凹んでいてもよい。
図12~図19において、第1の凹部86内には、エピタキシャルソース/ドレイン領域92が形成されている。特に、図12A~図15Dは、n型領域50nにエピタキシャルソース・ドレイン領域92を形成することを示し、図16A~図19Cは、p型領域50pにエピタキシャルソース・ドレイン領域92を形成することを示す。いくつかの実施形態では、エピタキシャルソース・ドレイン領域92は、n型領域50n内の第2のナノ構造体54およびp型領域50p内の第1のナノ構造体52に応力を作用させることができ、性能を向上させることができる。図示するように、第1の凹部86内には、隣接するエピタキシャルソース・ドレイン領域92の間にダミーゲート76が配置されるように、エピタキシャルソース・ドレイン領域92が形成されている。第1のスペーサ81は、エピタキシャルソース・ドレイン領域92とダミーゲート76とを分離するためのものであり、第1の内部スペーサ90は、エピタキシャルソース・ドレイン領域92とナノFETのゲートとがショートしないように、エピタキシャルソース・ドレイン領域92とナノ構造体55とを適切な横方向距離だけ分離するためのものである。
詳細は後述するが、図12~図14において、p型領域50p上には、多層のpマスク層200が形成され、パターニングされて残存している。図15A~図15Cでは、n型領域50nにおいて、エピタキシャルソース/ドレイン領域92が支配的に成長している。図16A~図18Cにおいて、多層pマスク層200を除去し、構造上に多層nマスク層300を形成し、n型領域50n上に多層nマスク層300を残すようにパターニングする。図19A~図19Cでは、エピタキシャルソース・ドレイン領域92は、主にp型領域50pに成長している。
図12A~図12Bを参照して、構造体(例えば、n型領域50nおよびp型領域50p)上に、第1のpマスク層204が形成される。第1のpマスク層204は、n型領域50n(例えば、nMOS領域)の第1の凹部86にn型のエピタキシャルソースドレイン領域92を形成する際に、p型領域50pを保護する。第1のpマスク層204は、ALD法、CVD法、エピタキシャル成長法、積層法等のコンフォーマル堆積法により堆積することができる。第1のpマスク層204は、酸化アルミニウム(Al2O3)、酸化ハフニウム(HfOx)、酸化ジルコニウム(ZrOx)、酸化チタン(TiOx)、酸化亜鉛、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、炭化ケイ素等の金属酸化物等の材料を用いることができる。第1のpマスク層204として金属酸化物を用いることにより、第1の凹部86などのパターンの臨界寸法が非常に小さい場合であっても、第1のpマスク層204(およびそれに対応する、後の図に示すような薄い多層のpマスク層200)を薄くすることができ、完全な被覆性を持たせて基板を保護することができるという利点がある。堆積後、第1のpマスク層204の露出面は、実質的に平滑であり、実質的にまたは全面が非晶質であってもよい。例えば、第1のpマスク層204は、厚さT1(図13C参照)が0.1nm以上10nm以下、密度が1.2g/Cm3以上4g/Cm3以下、表面粗さが0.05nm以上5nm以下となるように堆積することが好ましい。
図13A~Cに示すように、第1のpマスク層204の上に第2のpマスク層208を形成し、積層構造の多層pマスク層200を一括して形成する。第2のpマスク層208は、n型領域50nの第1の凹部86にn型エピタキシャルソースドレイン領域92を形成する際に、p型領域50pをさらに保護する。また、第2のpマスク層208は、第2のpマスク層208の露出面にエピタキシャル材料が成長しにくく、ノジュールが少ないため、後の工程でのエピタキシャル成長時に露出面解凍選択性が得られる(図15A~C参照)。また、n型領域50nにエピタキシャルソースドレイン領域92を形成した後に、第2のpマスク層208上へのエピタキシャル成長を少なくすることで、第1のpマスク層204及び第2のpマスク層208(図16A~C参照)をより効率的に除去することができる。
第2のpマスク層208は、第1のpマスク層204上に、CVD法、ALD法、積層法、エピタキシャル成長法等により形成することができる。第2のpマスク層208は、窒化シリコン、酸化シリコン、酸窒化シリコン等を用いることができる。第2のpマスク層208は、厚さT2が0.5nm~10nm程度となるように形成することができる。
第2のpマスク層208を堆積し、第1のpマスク層204上に窒化シリコンを形成してもよい。窒化シリコン(SiNx)は、xが0.8~1.6程度となるように形成することができる。前駆体ガスとしては、例えば、同化性(SiH4)、ジクロロシラン(SiH2Cl2)、SiH2I2、SiCl4等のシリコン前駆体や、窒素(N2)、アンモニア(NH3)、これらの任意の組み合わせ及びプラズマ等の窒素前駆体を用いることができる。例えば、シリコン前駆体を20sCCm~1000sCCmの流量で流し、窒素前駆体を20sCCm~2slmの流量で流してもよい。また、50~650℃程度の温度で堆積してもよく、0.5~10Torr程度の圧力で堆積してもよい。
他の実施形態では、第2のpマスク層208を堆積して、第1のpマスク層204上に酸窒化シリコンを形成してもよい。酸窒化シリコン(SiOxNy)は、xが0.8~2、yが0.8~1.6となるように形成することができる。前駆体ガスとしては、例えば、シラン(SiH4)、ジクロロシラン(SiH2Cl2)、SiH2I2、SiCl4等のシリコン前駆体、酸素(O2)、オゾン(O3)、過酸化水素(H2O2)、これらの任意の組み合わせ及びプラズマ等の酸素前駆体、窒素(N2)、アンモニア(NH3)、これらの任意の組み合わせ及びプラズマ等の窒素前駆体が挙げられる。例えば、シリコン前駆体を20sCCm以上1000sCCm以下の流量で流し、酸素前駆体を20sCCm~2slmの流量で流し、窒素前駆体を20SCCm~2slmの流量で流してもよい。また、堆積は、50~650℃程度の温度で、0.5~10Torr程度の圧力で行ってもよい。
また、第2のpマスク層208を堆積して、第1のpマスク層204上に酸化シリコンを形成してもよい。酸化シリコン(SiOx)は、xが0.8~2程度となるように形成することができる。前駆体ガスとしては、例えば、シラン(SiH4)、ジクロロシラン(SiH2Cl2)、SiH2I2、SiCl4等のシリコン前駆体や、酸素(O2)、オゾン(O3)、過酸化水素(H2O2)等の酸素前駆体や、これらの任意の組み合わせやプラズマ等を用いることができる。例えば、シリコン前駆体を20sCCm~1000sCCmの流量で流し、酸素前駆体を20sCCm~2slmの流量で流してもよい。また、堆積は、50~650℃程度の温度で、0.5~10Torr程度の圧力で行ってもよい。
なお、第2のpマスク層208を堆積を改質して、窒化シリコンの単層などの非常に薄い層を形成してもよい。例えば、CVD法を用いて、短時間および/または低流量で処理を完了させてもよい。例えば、1秒~120秒程度の間にCVD処理を行ってもよい。また、シリコン前駆体を20sCCm以上1000sCCm以下の流量で流し、窒素前駆体を20sCCm以上2slm以下の流量で流してもよい。あるいは、ALD法を用いて、各前駆体の1パルスのみでプロセスを完了させてもよい。いずれにしても、第2のpマスク層208の形成は、第1のpマスク層の表面処理、例えば、窒化シリコン表面処理として説明することができる。
図14A~Bを参照して、第2のpマスク層208を形成した後、n型領域50nから多層pマスク層200を除去する。多層pマスク層200上にハードマスク等のフォトレジスト(図示せず)を形成し、パターニングしてn型領域50nに多層pマスク層200を露出させた後、等方性エッチング処理(例えば、ウェットエッチング処理)や異方性エッチング処理(例えば、ドライエッチング処理)等の適宜のエッチング処理を用いて多層pマスク層200をエッチングしてもよい。その後、等方性エッチング処理や異方性エッチング処理等の適宜の処理により、フォトレジストを除去してもよい。他の実施形態では、上記のいずれかの工程により、多層pマスク層200上にフォトレジストを形成することなく、n型領域50nにおいて多層pマスク層200を除去する。
図15A~図15Cを参照して、n型領域50nの第1の凹部86内に、エピタキシャルソース/ドレイン領域92がエピタキシャル成長され、多層pマスク層200上(例えば、第2のpマスク層208上)にエピタキシャル材料のノジュール92Nが形成されてもよい。エピタキシャルソース/ドレイン領域92は、n型ナノFETに特有の材料を含んでいてもよい。例えば、第2のナノ構造体54がシリコンである場合、エピタキシャルソース/ドレイン領域92は、シリコン、炭化シリコン、リンがドープされた炭化シリコン、リンがドープされたシリコンなど、第2のナノ構造体54に引っ張り歪みを与える材料を含んでいてもよい。エピタキシャルソース/ドレイン領域92は、ナノ構造体55の上面から隆起した表面を有していてもよいし、ファセットを有していてもよい。
上述したように、第2のpマスク層208上にノジュール92N(例えば、少量のエピタキシー)が成長してもよい。しかし、第2のpマスク層208の露出面の化学組成および/または粗さは、第1のpマスク層204の直上など、他の箇所に形成されるノジュール92Nの数および大きさを低減する。ノジュール92Nの数及び大きさを低減することにより、エピタキシャルソース/ドレイン領域92の形成の制御をより大きくすることができ、後の工程での多層pマスク層200(及びノジュール92N)の除去をより向上させることができる。図示するように、各エピタキシャルソース/ドレイン領域92は、1つの連続した材料となるように形成され、ノジュール92Nは、不連続なクラスターまたはノジュールとして形成される。
上記の低濃度ソース/ドレイン領域の形成方法と同様に、エピタキシャル型ソース/ドレイン領域92、第1のナノ構造体52、第2のナノ構造体54、及び/又は基板50に不純物を注入してソース/ドレイン領域を形成した後、アニールを行ってもよい。ソース・ドレイン領域の不純物濃度は、1x1019Atoms/Cm3~1x1021Atoms/Cm3程度であってもよい。ソース/ドレイン領域用のn型不純物は、前述した不純物のいずれであってもよい。なお、多層pマスク層200がp型領域50pを保護したまま、n型不純物を注入してもよい。いくつかの実施形態では、エピタキシャルソース・ドレイン領域92が成長期間にIn-situドーピングされてもよい。
エピタキシャルソース・ドレイン領域92を形成するためのエピタキシー工程の結果、エピタキシャルソース・ドレイン領域92の上面は、ナノ構造体55の側壁よりも横方向外側に広がるファセットを有する。いくつかの実施形態では、これらのファセットは、図15Aに示すように、同一のNSFETの隣接するエピタキシャルソース/ドレイン領域92を合流させる。他の実施形態では、図15Cに示すように、エピタキシャル工程が終了した後に、隣接するエピタキシャルソース・ドレイン領域92が分離されたままである。図15A及び図15Cに示す実施形態において、STI領域68の上面に第1のスペーサ81を形成し、エピタキシャル成長を阻止してもよい。他の幾つかの実施形態では、第1のスペーサ81は、ナノ構造体55の側壁の一部をさらに覆っていてもよい。他の幾つかの実施形態では、第1のスペーサ81を形成する際のスペーサエッチを調整してスペーサ材料を除去し、エピタキシャル成長領域をSTI領域68の表面まで延在させてもよい。
エピタキシャルソース/ドレイン領域92は、1層以上の半導体材料層を含んでいてもよい。例えば、エピタキシャルソース・ドレイン領域92は、第1の半導体材料層92Aと、第2の半導体材料層92Bと、第3の半導体材料層92Cとを有していてもよい。なお、エピタキシャルソース・ドレイン領域92の半導体材料層の層数は任意である。第1の半導体材料層92A、第2の半導体材料層92B及び第3の半導体材料層92Cのそれぞれは、異なる半導体材料で形成され、異なるドーパント濃度にドープされてもよい。幾つかの実施形態では、第1の半導体材料層92Aのドーパント濃度は、第2の半導体材料層92Bよりも低く、第3の半導体材料層92Cよりも高くてもよい。また、エピタキシャルソース・ドレイン領域92が3層の半導体材料層からなる場合には、第1の半導体材料層92Aを堆積し、第1の半導体材料層92A上に第2の半導体材料層92Bを堆積し、第2の半導体材料層92B上に第3の半導体材料層92Cを堆積してもよい。
図16A~図19Cにおいて、p型領域50pから多層pマスク層200及びノジュール92Nを除去し、p型領域50p(例えば、pMOS領域)のエピタキシャルソース・ドレイン領域92を、上記のように、n型領域50nのエピタキシャルソース・ドレイン領域92と同様に形成してもよい。
図16A~図16Cを参照して、p型領域50pから多層pマスク層200およびノジュール92Nを除去してもよい。例えば、多層pマスク層200およびノジュール92Nは、硫酸(H2SO4)、フッ化水素(HF)、塩化水素(HCl)、アンモニア(NH3+H2O)等のエッチング液、または、これらの任意の組み合わせ、または、任意の適切なエッチング液により、ウェットまたはドライエッチングを除去してもよい。ノジュール92N(第2のpマスク層208の露出面に起因する)のサイズ及び数が低減されることにより、上述した工程による多層pマスク層200及びノジュール92Nの除去効率が向上する。
その後、構造体(例えば、n形領域50n及びp形領域50p)上に、多層マスク層300を形成してもよい。多層nマスク層300は、p型領域50p(例えば、pMOS領域)の第1の凹部86にp型エピタキシャルソースドレイン領域92を形成する際に、n型領域50nを保護する。多層nマスク層300は、多層pマスク層200と同様の方法、材料、仕様で形成することができる。
図16A~図16Cを参照して、多層nマスク層300の第1のnマスク層304は、図12A~図12Bで説明した第1のpマスク層204と同様の方法および材料および仕様を用いて形成することができ、同様の効果を得ることができる。また、図17A~Dに示すように、第1のnマスク層304上に、上述した第2のpマスク層208と同様の方法で第2のnマスク層308を形成してもよい。図18A~図18Cを参照して、第2のnマスク層308を形成した後、図14A~図14Bで説明した多層pマスク層200を除去する方法および材料と同様の方法および材料を用いて、p型領域50pから多層nマスク層300を除去してもよい。
図19A~Cに示すように、p型領域50pの第1の凹部86内にエピタキシャルソース・ドレイン領域92をエピタキシャル成長させ、n型領域50nの第1の凹部86内のエピタキシャルソース・ドレイン領域92と、多層pマスク層200上のエピタキシャル材料のノジュール92Nとについて、図15A~Cに示すように、エピタキシャル材料のノジュール92Nを、積層nマスク層300上に、同様の方法および材料および仕様で形成してもよい。例えば、第1のナノ構造体52がシリコンゲルマニウムである場合、エピタキシャルソースドレイン領域92は、シリコンゲルマニウム、ゲルマニウム、ゲルマニウム錫など、第1のナノ構造体52に圧縮歪を与える材料を含んでいてもよい。また、エピタキシャルソース・ドレイン領域92は、複層積層体64の表面から隆起した表面を有していてもよいし、ファセットを有していてもよい。
図20A~Dに示すように、n型領域50nから多層nマスク層300及びノジュール92Nを除去してもよい。例えば、多層nマスク層300およびノジュール92Nは、硫酸(H2SO4)、フッ化水素(HF)、塩化水素(HCl)、アンモニア(NH3+H2O)等のエッチング液、または、これらの任意の組み合わせ、または、任意の適切なエッチング液により、ウェットまたはドライエッチングを除去してもよい。ノジュール92Nの大きさ及び数が(第2のnマスク層308の露出面に起因して)低減されることにより、上記工程による多層nマスク層300及びノジュール92Nの除去効率が向上する。図20Cは、例えば、図15Cに関連するn型領域50n内において、エピタキシー工程が終了した後に、エピタキシャルソース・ドレイン領域92が分離されたままの態様を示している。
図10B~図20Bでは、第1の内部スペーサ90の外側の側壁が直線状に描かれているが、図20Dにおいて、第1の内部スペーサ90の外側の側壁は、凹状であってもよいし、凸状であってもよい。一例として、図20Aに示すように、n型領域50nにおいて、第1のナノ構造体52の側壁が凹であり、第1の内部スペーサ90の外側の側壁が凹であり、第1の内部スペーサが第2のナノ構造体54の側壁よりも凹である実施形態を示す。n型領域50nに関して例示したように、エピタキシャルソース・ドレイン領域92は、第1の内部スペーサ90に接して形成され、第2のナノ構造体54の側壁を越えて延在していてもよい。また、p型領域50pにおいて、第2のナノ構造体54の側壁が凹部であり、第1の内部スペーサ90の外側の側壁が凹部であり、第1の内部スペーサが第1のナノ構造体52の側壁よりも凹んでいる態様を例示したが、p型領域50pにおいて、第1の内部スペーサ90に接して形成され、第2のナノ構造体54の側壁を越えて延在していてもよい。
図21A~図21Cにおいて、図6A及び図20A~図20Dに示す構造上に、第1の層間絶縁膜(ILD)96を堆積する(図7A~図20Dの工程は、図6Aに示す断面を変更しない)。第1のILD96は、誘電体材料で形成され、例えば、CVD法、プラズマCVD法(PECVD法)、FCVD法等により堆積することができる。誘電体材料には、ホスホシリケートガラス(PSG)、ホウシリケートガラス(BSG)、ボロンドープホスホシリケートガラス(BPSG)、アンドープシリケートガラス(USG)などがあります。任意の許容可能なプロセスによって形成された他の絶縁材料を使用することができる。いくつかの実施形態では、コンタクトエッチング停止層(CESL)95は、第1ILD96とエピタキシャルソース/ドレイン領域92、マスク78および第1スペーサ81との間に配置され、CESL95は、上に重なる第1ILD96の材料と異なるエッチングレートを有する窒化ケイ素、酸化ケイ素、酸窒化ケイ素などの誘電体材料を含むことができる。
図22A~Bにおいて、第1のILD96の上面をダミーゲート76又はマスク78の上面で平坦化するために、CMP等の平坦化処理を行ってもよい。また、ダミーゲート76上のマスク78、及びマスク78の側壁に沿った第1のスペーサ81の一部を除去してもよい。平坦化処理後、ダミーゲート76、第1のスペーサ81及び第1のILD96の上面は、プロセスばらつきの範囲内で平坦化される。これにより、ダミーゲート76の上面が第1のILD96から露出する。マスク78が残存している場合には、平坦化処理により、第1のILD96の上面と、マスク78の上面および第1のスペーサ81とが平坦化される。
図23A及び図23Bにおいて、ダミーゲート76及びマスク78が存在する場合には、1回以上のエッチング工程で除去され、第2の凹部98が形成される。また、第2の凹部98内のダミー絶縁層60も除去される。ダミーゲート76及びダミー絶縁層60は、異方性ドライエッチングにより除去される。例えば、エッチング工程は、第1のILD96や第1のスペーサ81よりも速い速度でダミーゲート76を選択的にエッチングする反応ガスを用いたドライエッチング工程を含んでいてもよい。第2の凹部98は、後に形成されるナノFETにおいてチャネル領域となるナノ構造体55の一部を露出させる。ナノ構造体55のチャネル領域となる部分は、隣接するエピタキシャルソース/ドレイン領域92の間に配置されている。このとき、ダミー絶縁層60は、ダミーゲート76をエッチングする際のエッチストップ層として用いることができる。そして、ダミーゲート76を除去した後に、ダミー絶縁層60を除去してもよい。
図24A及び図24Bにおいて、n形領域50nの第1のナノ構造体52及びp形領域50pの第2のナノ構造体54が除去されて、第2の凹部98を延在させる。第1のナノ構造体52は、p型領域50p上にマスク(図示せず)を形成し、第1のナノ構造体52の材料に選択的なエッチング液を用いてウェットエッチング等の等方性エッチング処理を行うことにより除去することができ、第2のナノ構造体54、基板50、STI領域68は、第1のナノ構造体52と比較して相対的にエッチングされない。第1のナノ構造体52が、例えば、SiGeを含み、第2のナノ構造体54A~54Cが、例えば、Si又はSiCを含む実施形態においては、n型領域50nにおいて、第1のナノ構造体52を除去するために、水酸化テトラメチルアンモニウム(TMAH)又は水酸化アンモニウム(NH4OH)等を用いてもよい。
p型領域50pの第2のナノ構造体54は、n型領域50n上にマスク(図示せず)を形成し、第2のナノ構造体54の材料に対して選択的なエッチング液を用いてウェットエッチング等の等方性エッチング処理を行うことにより除去され、第2のナノ構造体54に比べて、第1のナノ構造体52、基板50、STI領域68は相対的にエッチングされない。第2のナノ構造体54が、例えば、SiGeを含み、第1のナノ構造体52が、例えば、Si又はSiCを含む実施形態においては、フッ化水素、その他のフッ素系のエッチング剤等を用いて、p型領域50pの第2のナノ構造体54を除去してもよい。
図25A及び25Bにおいて、ゲートを置換するためにゲート誘電体100及びゲート電極102が形成されている。ゲート誘電体100は、第2の凹部98内にコンフォーマルに堆積される。n型領域50nでは、基板50の上面及び側壁、並びに、第2のナノ構造体54の上面、側壁及び底面にゲート誘電体層100が形成され、p型領域50pでは、基板50の上面及び側壁、並びに、第1のナノ構造体52の上面、側壁及び底面にゲート誘電体層100が形成されてもよい。ゲート誘電体100は、第1のILD96、CESL95、第1のスペーサ81及びSTI領域68の上面に堆積されてもよい。
いくつかの実施形態によれば、ゲート誘電体層100は、酸化物、金属酸化物など、またはそれらの組み合わせなどである1つまたは複数の誘電体層を含む。例えば、ゲート誘電体は、シリコン酸化膜と、シリコン酸化膜上の金属酸化膜とから構成されていてもよい。幾つかの実施形態では、ゲート誘電体層100は、高誘電体材料を含み、これらの実施形態では、ゲート誘電体層100は、k値が7.0よりも大きく、ハフニウム、アルミニウム、ジルコニウム、ランタン、マンガン、バリウム、チタン、鉛及びこれらの組み合わせの金属酸化物又はシリケートを含んでいてもよい。ゲート誘電体100の形成方法としては、分子線堆積法(MBD)、ALD法、PECVD法等が挙げられる。
ゲート電極102は、ゲート誘電体100上に堆積され、第2の凹部98の残りの部分を埋め込む。ゲート電極102は、窒化チタン、酸化チタン、窒化タンタル、炭化タンタル、コバルト、ルテニウム、アルミニウム、タングステン、またはこれらの組み合わせ、またはこれらの多層などの金属含有材料を含んでいてもよい。例えば、図25A及び図25Bでは、単層のゲート電極102を示しているが、ゲート電極102は、任意の層数のライナー層、任意の層数の仕事関数調整層、及びフィル材を備えていてもよい。ゲート電極102を構成する層の任意の組み合わせは、n型領域50nおいては、隣り合う第2のナノ構造体54の間及び第2のナノ構造体54Aと基板50との間に堆積されてもよいし、p型領域50pにおいては、隣り合う第1のナノ構造体52の間に堆積されてもよい。
n型領域50n及びp型領域50pにおけるゲート誘電体層100の形成と、各領域におけるゲート誘電体層100の形成と、各領域におけるゲート電極102の形成と、が同時に行われ、各領域におけるゲート電極102の形成が同時に行われてもよい。また、各領域のゲート誘電体層100を別々の工程で形成してもよく、ゲート誘電体層100を異なる材料及び/又は異なる層数で形成してもよく、各領域のゲート電極102を別々の工程で形成してもよく、ゲート電極102を異なる材料及び/又は異なる層数で形成してもよい。また、異なる工程を用いる場合には、マスク工程を種々変更して適切な領域をマスクして露光してもよい。
第2の凹部98を充填した後、CMP等の平坦化処理を行い、第1のILD96の上面上の余剰部分のゲート誘電体100及びゲート電極102の材料を除去してもよい。このようにして、ゲート電極102及びゲート誘電体100の材料の残りの部分が、形成されたナノFETの置換ゲート構造となる。ゲート電極102及びゲート誘電体100を総称して「ゲート構造」と呼ぶことがある。
図26A~図26Cに示すように、ゲート構造(ゲート誘電体100及びその上のゲート電極102を含む)をリセスすることにより、ゲート構造の直上及び第1のスペーサ81の対向部間にリセスを形成する。この凹部に、窒化シリコン、酸窒化シリコン等の誘電体材料からなるゲートマスク104を1層以上充填し、平坦化処理を行うことにより、第1のILD96上に延在する余分な誘電体材料を除去する。続いて、形成されたゲートコンタクト(図27A~28Cを組み合わせて後述するゲートコンタクト114など)がゲートマスク104を貫通して凹状ゲート電極102の上面に接触する。
さらに図26A~Cに示すように、第1のILD96上およびゲートマスク104上に、第2のILD106を堆積する。いくつかの実施形態では、第2のILD106は、FCVDによって形成された流動性膜である。第2のILD106は、例えば、PSG、BSG、BPSG、USG等の誘電体材料で形成され、CVD、PECVD等の任意の方法で堆積することができる。
図27A~Cに示すように、第2のILD106、第1のILD96、CESL95及びゲートマスク104をエッチングして、エピタキシャルソース/ドレイン領域92及び/又はゲート構造の表面を露出させる第3の凹部108を形成する。第3の凹部108は、RIE、NBE等の異方性エッチングプロセスを用いたエッチングにより形成することができる。第3の凹部108は、第2のILD106および第1のILD96を介して第1のエッチング工程でエッチングされ、ゲートマスク104を介して第2のエッチング工程でエッチングされ、その後、CESL95を介して第3のエッチング工程でエッチングされてもよい。第1のエッチング工程および第2のエッチング工程により、第2のILD106上にフォトレジスト等のマスクを形成し、パターニングすることにより、第2のILD106の一部をマスクしてもよい。本実施形態では、エッチング工程がオーバーエッチングされるため、第3の凹部108は、エピタキシャルソース・ドレイン領域92及び/又はゲート構造内にまで延びており、第3の凹部108の底部は、エピタキシャルソース・ドレイン領域92及び/又はゲート構造よりも下側(基板側)に位置している。また、図27Bでは、第3の凹部108は、エピタキシャルソース・ドレイン領域92とゲート構造とを同一の断面で露出させているが、種々の実施形態において、エピタキシャルソース・ドレイン領域92とゲート構造とを異なる断面で露出させることにより、その後に形成されるコンタクト同士がショートするおそれを低減することができる。第3の凹部108を形成した後、エピタキシャルソース・ドレイン領域92上にシリサイド領域110を形成する。シリサイド領域110は、例えば、下地のエピタキシャルソースドレイン領域92の半導体材料(例えば、シリコン、シリコンゲルマニウム、ゲルマニウム)と反応してシリサイドまたはジャーマニド領域を形成する金属(図示せず)を、エピタキシャルソースドレイン領域92の露出部分に堆積した後、熱アニール処理を行ってシリサイド領域110を形成することにより形成される。その後、堆積した金属の未反応部分を、例えばエッチング処理により除去する。シリサイド領域110をシリサイド領域と呼ぶが、シリサイド領域110は、ジャーマニド領域であってもよいし、シリコンジャーマニド領域(例えば、シリサイドとジャーマニドとからなる領域)であってもよい。一実施形態では、シリサイド領域110は、TiSiを含み、約2nm~約10nmの範囲の厚さを有している。
次に、図28A~図28Cに示すように、第3の凹部108内にコンタクト112、114(コンタクトプラグともいう)を形成する。コンタクト112、114は、バリア層、拡散層、充填材などの層を1層以上有していてもよい。例えば、コンタクト112及び114は、バリア層と導電材料(図示せず)とを含み、下地の導電性材料(図示した実施形態では、ゲート電極102及び/又はシリサイド領域110)と電気的に接続されている。コンタクト114は、ゲート構造(例えば、ゲート電極102)に電気的に接続され、ゲートコンタクトと呼ばれてもよいし、コンタクト112は、シリサイド領域110に電気的に接続され、ソース/ドレインコンタクトと呼ばれてもよい。バリア層は、チタン、窒化チタン、タンタル、窒化タンタル等を含んでいてもよい。導電性材料118としては、銅、銅合金、銀、金、タングステン、コバルト、アルミニウム、ニッケル等を用いることができる。なお、第2のILD106の表面から余分な材料を除去するために、CMP等の平坦化処理を行ってもよい。
図28A~図28Cでは、各エピタキシャルソース・ドレイン領域92に達するコンタクト112を示しているが、一部のエピタキシャルソース・ドレイン領域92からコンタクト112を省略してもよい。例えば、特に図示しないが、1つ以上のエピタキシャルソース/ドレイン領域92の裏面から導電性部材(例えば、パワーレール)を後付けしてもよい。これらの特定のエピタキシャルソース/ドレイン領域92については、ソース/ドレインコンタクト112を省略してもよいし、上層の導電線と電気的に接続されていないダミーコンタクト(図示せず)であってもよい。
図29A~図37Cにおいて、多層pマスク層200は、図11A~図11Bに示した構造の図29A~図29Bの他の方法で形成されてもよい。例えば、第1のpマスク層204を形成し、上部を改質して第2のpマスク層208を形成することにより、多層pマスク層200を形成してもよい。また、多層nマスク層300も同様に形成することができる。いずれの場合においても、以下に詳述する方法は、多層pマスク層200および多層nマスク層300について上述した効果と同様の効果を奏する。
図30A~図30Bにおいて、第1のpマスク層204は、n型領域50n及びp型領域50pの構造上に形成されていてもよい。第1のpマスク層204(例えば、酸化アルミニウム)は、図12A~図12Bを組み合わせて前述したいずれかの方法を用いて形成することができる。
上述したように、第1のpマスク層204を形成した後、第1のpマスク層204の露出面は実質的に平滑であり、第1のpマスク層204の表面は実質的に非晶質であってもよい。また、第1のpマスク層204は、厚さT1(図31C~31D参照)が0.1nm~1000nm、密度が1.2g/Cm3~4g/Cm3、表面粗さが0.05nm~5nmとなるように堆積することができる。
図31A~図31Dにおいて、第2のpマスク層208は、第1のpマスク層204上に他の層を堆積するのではなく、第1のpマスク層204の上部を改質することにより形成されてもよい。以下で詳しく説明するいくつかの実施形態(図31A~31Cを参照)では、改質は、第1のpマスク層204の処理210を含むことができる。より詳細に後述する他の実施形態(図31A、31B及び31Dを参照)では、改質は、第1のpマスク層204のドーパント注入220を含むことができる。
具体的には、図31A~図31Cに示すように、第2のpマスク層208を形成する処理210は、イオン処理(例えば、プラズマ処理)であってもよいし、アソーク処理であってもよい。例えば、プラズマ処理の場合、プラズマ前駆体はプラズマイオンに変換され、第1のpマスク層204上を流れる。ソーク処理の場合には、第1のpマスク層204上に前駆体ガスを流す。いずれの場合も、プラズマイオンまたは前駆体ガスは、第1のpマスク層204の露出面と反応してもよい。プラズマイオンや前駆体ガスは、第1のpマスク層204にさらに拡散及び/又は反応し、図31Cに示すように、第2のpマスク層208の全体に不純物212の濃度勾配(例えば、直線的な勾配)が生じることがある。処理210は、さらに第1のpマスク層204に変化してもよい。例えば、第1のpマスク層204は、処理210に続いて、密度が増加または減少してもよいし、厚さt2が増加または減少してもよい。このようにして形成された第2のpマスク層208は、第1のpマスク層204の一部または全部の上に形成され、拡散して第1のpマスク層204の上部を第2のpマスク層208の一部に変換する。
図31Cは、図31Bの領域202を拡大して示している。なお、図示された領域202は、多層pマスク層200のうち、構造体上に配置された多層pマスク層200の一部または全部を代表して示している。このように、不純物212が第2のpマスク層208を介して濃度勾配(例えば、線形勾配)を有するように処理210を行ってもよい(例えば、第2のpマスク層の一部が第1のpマスク層204の上部であってもよい)。例えば、第2のpマスク層208の最上層の領域の方が不純物212の総濃度が高く、第2のpマスク層208の最下層の領域又はバルクの領域(例えば、最上層の領域よりも第1のpマスク層204に近い領域又は近い領域)の方が不純物212の総濃度が低くてもよい。場合によっては、第1のpマスク層204と第2のpマスク層208との間に区別できない境界があってもよい。例えば、処理210に続いて、第1のpマスク層204の厚さT2を0.5nm~8nm程度とし、第2のpマスク層208の厚さT3を0.5nm~8nm程度とすることができる。種々の実施形態において、厚さT2と厚さT3との和は、厚さT1程度であってもよいし、厚さT1未満であってもよいし、厚さT1より大きくてもよい。また、第2のpマスク層208は、密度が1.2g/Cm3~4g/Cm3、表面粗さが0.05nm~5nmであってもよい。
第2のpマスク層208は、第1のpマスク層204上に窒素ガスから生成される副イオンを流した窒素を処理210に用いることにより形成される。例えば、20sCCm~2slm程度の流量で窒素イオンを流してもよい。処理210は、50~1200℃程度の温度で、0.5Torr~100Torr程度の圧力で行うことができる。このように、第2のpマスク層208は、上部の窒素濃度が約1x1015Atoms/Cm3~約1x1022Atoms/Cm3であり、バルク部の窒素濃度が約1x1010Atoms/Cm3~約1x1017Atoms/Cm3であってもよい。
または、第1のpマスク層204上にアンモニアからなるイオンを流すアンモニアを用いた第2のpマスク層208を形成する。アンモニアイオンは、第1のpマスク層204に実質的に拡散しないことが好ましい。これにより、第2のpマスク層208は、第1のpマスク層204の露出面に結合した-NH2官能基の単層で構成することができる。この第1のpマスク層204の表面における結合の変化は、多くの場合、第1のpマスク層204内の-NH2官能基近傍の他の結合に影響を及ぼし、厚さT2が厚さT1と異なる場合がある。例えば、アンモニアイオンは、20sCCm~2slm程度の流量で流すことができる。処理210は、約50~約650℃の温度で、約0.5Torr~約100Torrの圧力で行うことができる。このように、第2のpマスク層208は、上部の窒素濃度(アンモニア反応および結合からの)が約1x1015Atoms/Cm3~約1x1022Atoms/Cm3であり、バルク部の窒素濃度が約1x1010Atoms/Cm3~約1x1017Atoms/Cm3であってもよい。
アンモニアを用いた処理210を用いた異なる実施形態では、アンモニアイオンが第1のpマスク層204に拡散する。例えば、アンモニアイオンは、20sCCm~2slm程度の流量で流すことができる。処理210は、50~1200℃程度の温度で、0.5Torr~100Torr程度の圧力で行うことができる。このように、第2のpマスク層208は、上部の窒素濃度(アンモニア反応および結合からの)が約1x1015Atoms/Cm3~約1x1022Atoms/Cm3であり、バルク部の窒素濃度が約1x1010Atoms/Cm3~約1x1017Atoms/Cm3であってもよい。
さらに他の実施形態では、シリコンを用いた処理210を用いて第2のpマスク層208を形成し、シリコン前駆体を第1のpマスク層204上に流す(例えば、ソーク処理)。シリコン前駆体は、シラン(SiH4)、ジクロロシラン(SiH2Cl2)、ジヨードシラン(SiH2I2)、SiH2I2、SiCl4等を含んでいてもよく、これらの任意の組み合わせを含んでいてもよい。例えば、20sCCm~1000sCCmの流量でシリコン前駆体を流してもよい。処理210は、例えば、50~650℃程度の温度で、0.5Torr~10Torr程度の圧力で行うことができる。このように、第2のpマスク層208は、上部のシリコン濃度が約1x1015Atoms/Cm3~約1x1022Atoms/Cm3であり、バルク部のシリコン濃度が約1x1010Atoms/Cm3~約1x1017Atoms/Cm3であってもよい。
処理210を用いて第2のpマスク層208の形成する代わりに、31A、1Bおよび31Dは、第1のpマスク層204でドーパント222を利用してエクスサイチュドーピングする場合、ドーパント注入220を使用して、第2のpマスク層208を形成することを示している。ドーパント222は、第1のpマスク層204の露出した表面(例えば、上面)に衝突し、その下の所定の深さに達するように注入されることができ、その結果、図31Dに示すように、第2のpマスク層208全体にわたってドーパント222の実質的に一貫した濃度が得られる。ドーパント注入220は、さらに、第1のpマスク層204に変化をもたらすことができる。例えば、第1のpマスク層204は、ドーパント注入220後、増加または減少する密度および/または増加または減少する厚さT2を有することができる。得られた第2のpマスク層208は、第1のpマスク層204の一部または全部の上に形成され、第1のpマスク層204の上部を第2のpマスク層208の一部に変換する。
本実施形態において、ドーパント注入220は、第2のpマスク層208を貫通してドーパント222の濃度が実質的に均一となるように(例えば、第2のpマスク層の一部が第1のpマスク層204の上部となるように)行われてもよい。すなわち、第2のpマスク層208の全体の厚さT2は、同じ濃度のドーパント222(例えば、ドーパント222の元素)を含んでいてもよい。例えば、処理210に続いて、第1のpマスク層204の厚さT2を0.5nm~8nm程度とし、第2のpマスク層208の厚さT3を0.5nm~8nm程度とすることができる。種々の実施形態において、厚さT2と厚さT3との和は、厚さT1程度であってもよいし、厚さT1未満であってもよいし、厚さT1より大きくてもよい。また、第2のpマスク層208は、密度が1.2g/Cm3~4g/Cm3であり、表面粗さが0.5nm~5nmであることが好ましい。
窒化シリコン系ドーパントのドーパント注入220を用いて第2のpマスク層208を形成することにより、第1のpマスク層204の上面に高エネルギーでシリコンイオン及び窒素イオンを注入する。例えば、シリコンイオンを1eV~10eV程度のエネルギーで注入し、窒素イオンを1eV~10eV程度のエネルギーで注入してもよい。注入220のシリコンイオン注入及び窒素イオン注入は、任意の順序で行ってもよいし、同時に行ってもよい。ドーパント注入220は、約50度の温度で、約1×10―9Torr~約1×10―5Torrの圧力で約500度の間に行うことができる。第2のpマスク層208は、シリコン濃度が約1x1015Atoms/Cm3~約1x1022Atoms/Cm3であり、窒素濃度が約1x1015Atoms/Cm3~約1x1022Atoms/Cm3であってもよい。
または、シリコンゲルマニウムのドーパント注入220を用いて、シリコンイオンおよびゲルマニウムイオンを第1のpマスク層204の上面に高エネルギーで注入することにより、第2のpマスク層208を形成する。例えば、シリコンイオンを1eV~10eV程度のエネルギーで注入し、ゲルマニウムイオンを1eV~10eV程度のエネルギーで注入してもよい。シリコンイオン注入とゲルマニウムイオン注入は、任意の順序で行ってもよいし、同時に行ってもよい。ドーパント注入220は、約50度の温度で、約1×10―9Torr~約1×10―5Torrの圧力で約500度の間に行うことができる。第2のpマスク層208は、シリコン濃度が約1x1017Atoms/Cm3~約1x1022Atoms/Cm3であり、ゲルマニウム濃度が約1x1017Atoms/Cm3~約1x1022Atoms/Cm3であってもよい。
他の実施形態では、第2のpマスク層208は、ゲルマニウムイオンのみを第1のpマスク層204の上面に高エネルギーで注入するゲルマニウムドーパント注入220を用いて形成される。ゲルマニウムイオンは、例えば、1eV~10eV程度のエネルギーで注入することができる。ドーパント注入220は、約50度の温度で、約1×10―9Torr~約1×10―6の圧力で約500度の間に行うことができる。第2のpマスク層208のゲルマニウム濃度は、1x1017Atoms/Cm3~約1x1022Atoms/Cm3程度とすることができる。
図32A~図32Bでは、図14A~図14Bで説明したように、n型領域50nから多層pマスク層200が除去されている。図33A~図33Cでは、図15A~図15Cで説明したように、n型領域50n上にソース・ドレインエピタキシャル領域92が形成され、p型領域50p内の多層pマスク層200上にノジュール92Nが形成されている。図34A~図34Cでは、、図16A~図16Cで説明したように、p型領域50pから多層pマスク層200及びノジュール92Nが除去されn型領域50n及びp型領域50pの構造上に第1のnマスク層304(例えば、酸化アルミニウム)が形成されている。
第1のnマスク層304を形成した後、第1のnマスク層304の露出面は、実質的に平滑であり、実質的に又は全体が非晶質であってもよい。例えば、第1のnマスク層304は、厚さT4(図35D~図35E参照)が0.5nm~10nm、密度が1.2g/Cm3~4g/Cm3、表面粗さが0.5nm~5nmとなるように堆積することが好ましい。
図35A~図35Eにおいて、第2のnマスク層308は、第1のnマスク層304を、図31A~図31Dの第2のpマスク層208の形成(例えば、処理210、またはドーパント注入220)と同様の方法、材料、仕様に変更することにより形成することができる。
例えば、図35A~図35Dを参照して、いくつかの実施形態によると、第2のnマスク層308は、上述したように、第1のnマスク層304上に、イオン処理(例えば、プラズマ処理)やソーク処理などの処理310を用いて形成されてもよい。上述したように、プラズマイオンや前駆体ガスは第1のnマスク層304に拡散して反応し、図35Dに示すような不純物312の濃度勾配が生じる場合がある。
あるいは、図35A~Cおよび図35Eに示すように、第1のnマスク層304上にドーパント注入320を用いて第2のnマスク層308を形成してもよい。また、上述したように、第1のnマスク層304にドーパント322が高エネルギーで導入されることにより、図35Eに示すように、ドーパント322の濃度がほぼ一定となる。
図36A~図36Cにおいて、図18A~図18Cで説明したように、p型領域50pから多層nマスク層300を除去する。図37A~図37Cでは、図19A~図19Cで説明したように、p型領域50p上にソース・ドレインエピタキシャル領域92が形成され、n型領域50n内の多層nマスク層300上にノジュール92Nが形成されている。また、図20A~図20Dに示すように、n型領域50nから多層nマスク層300及びノジュール92Nを除去する。以上のようにして、図21~図28に示すように、半導体装置の製造を進めることができる。
なお、多層nマスク層300の形成には、上述した多層pマスク層200の形成方法のいずれを用いてもよい。多層nマスク層300を形成する工程は、多層pマスク層200を形成する工程と同一または同様の工程であってもよいし、異なる工程であってもよい。また、多層のnマスク層300(及びp型領域50pのエピタキシャルソース/ドレイン領域92)は、多層のpマスク層200(及びn型領域50nのエピタキシャルソース/ドレイン領域92)を形成する前に形成してもよいことは、当業者には理解されるところである。
上述したように、多層pマスク層200は、第1のpマスク層204(例えば、ALD法、CVD法)と第2のpマスク層208(例えば、CVD法、積層法)とで使用される異なる堆積工程で形成されてもよい。あるいは、第1のpマスク層204を形成した後、第1のpマスク層204の上部を、例えば、プラズマ処理やソーク処理などの処理やドーパント注入などを用いて改質することにより、多層のpマスク層200を形成してもよい。また、多層nマスク層300は、多層pマスク層200と同じ方法で形成してもよいし、異なる方法で形成してもよい。
例えば、多層pマスク層200は、第1のpマスク層204と第2のpマスク層208とで使用される異なる堆積工程で形成され、多層nマスク層300は、第1のnマスク層304をエクスサイチュドーピングすることで形成されてもよい。他の実施形態では、多層pマスク層200は、第1のpマスク層204をエクスサイチュドーピングして形成し、多層nマスク層300は、第1のnマスク層304と第2のnマスク層308とで使用される異なる堆積工程で形成してもよい。
実施形態によれば、効果が得られる。例えば、ここに開示される実施形態によれば、エピタキシャルソース・ドレイン領域92の形成における歩留まり及び有効性を向上させることができる。特に、金属酸化物からなる第1のマスク層(例えば、第1のpマスク層204および第1のnマスク層304)を形成することにより、技術の進展に伴って微細化しつつある限界寸法を超えて完全な保護層を形成するマスク層を薄くすることができる。また、第1のマスク層の上に第2のマスク層(例えば、第2のpマスク層208、第2のnマスク層308)を形成することにより、上述したように、第2のマスク層を、誘電体及び/又は所定の半導体材料を用いた堆積又は処理により形成することができる。まず、第2のマスク層を形成することにより、第1のマスク層の平滑な露出面を所定の化学組成の粗な露出面に変換して状態利益を得ることができる。このようにして露出した多層pマスク層200および多層nマスク層300の表面は、第1のpマスク層204および第1のnマスク層304のみの表面と比較して、エピタキシャルソース・ドレイン領域92を形成する際のエピタキシャル成長の選択性が低い。次に、エピタキシャル成長の選択比が低いほど、エピタキシャル成長に伴うエピタキシャルエッチング工程の回数や時間を最小限に抑えることで、線幅制御性を向上させることができる。最後に、多層マスク層上へのエピタキシャル成長が抑制されることにより、マスク層上に配置された大きなエピタキシャル材料のノジュール92Nや多数のエピタキシャル材料のノジュール92Nに阻害されることなく、より容易に(例えば、等方性のウェットエッチングにより)多層マスク層を除去することができる。
基板上に半導体層を形成する工程と、前記半導体層の一部をエッチングして第1の凹部及び第2の凹部を形成する工程と、前記半導体層の上に第1のマスク層を形成する工程と、前記第1のマスク層の上に第1のマスク層を形成する工程と、前記第2のマスク層を形成した後、前記第1のマスク層の一部の上に前記第2のマスク層を形成する工程と、前記第1のマスク層をエッチングして前記半導体層を露出させる工程と、前記第1の凹部に第1の半導体材料を形成する工程と、前記第1のマスク層を除去する工程と、を含む方法。別の実施形態では、第1のマスク層は、酸化アルミニウムを含む。別の実施形態では、第2のマスク層を形成する工程は、第1のマスク層上に新たな材料層を堆積する工程を含む。別の実施形態では、第2のマスク層を形成する工程は、第1のマスク層を第1の薬液で改質して、第1のマスク層の上部を第2のマスク層に変換する工程を含む。別の実施形態では、第1のマスク層を改質した後、第2のマスク層は、第1の薬液の元素の濃度勾配を含む。別の実施形態では、第1のマスク層を改質した後、第2のマスク層の全体の厚さは、第1の薬液の元素の濃度が同じである。別の実施形態では、半導体層上に第2のマスク層を形成する工程と、第2のマスク層をエッチングして半導体層を露出させる工程と、第2の凹部内に第2の半導体材料を形成する工程と、をさらに含む。別の実施形態では、第1のマスク層を形成する工程は、原子層堆積法により第1のマスク層を堆積する工程を含む。別の実施形態では、第2のマスク層を形成する工程は、第1のマスク層にドーパントを注入する工程を含む。
第1の基板上に半導体層を形成する工程と、前記半導体層をエッチングして、第1の領域に第1の凹部を形成し、第2の領域に第2の凹部を形成する工程と、前記第1の領域及び前記第2の領域上に第1のマスク層の第1の部分を堆積する工程と、前記第1のマスク層の前記第1の部分上に第2のマスク層を形成する工程と、前記第2の領域上から前記第1のマスク層及び前記第2のマスク層を除去する工程と、前記第2の凹部内に第1のエピタキシャルソースドレイン領域を形成する工程と、前記第1のマスク層の残部及び前記第2のマスク層の残部を除去する工程と、前記第1の領域及び前記第2の領域上に第3のマスク層の第1の部分を堆積する工程と、前記第3のマスク層の前記第1の部分上に第4のマスク層を形成する工程と、前記第1の領域上から前記第3のマスク層及び前記第4のマスク層を除去する工程と、前記第1の凹部内に第2のエピタキシャルソースドレイン領域を形成する工程と、前記第3のマスク層の残部及び前記第4のマスク層の残部を除去する工程と、前記半導体層上にゲート構造を形成する工程と、を有する。本発明の好ましい態様は、前記第1のマスク層の前記第1の部分上に、前記第1のマスク層の前記第2の部分を形成する工程をさらに含み、前記第2のマスク層を形成する工程は、前記第1のマスク層の前記第2の部分を前記第2のマスク層に変換する工程を含むことを特徴とする。別の実施形態では、第1のマスク層の第2の部分を第2のマスク層に変換した後、第2のマスク層は、不純物の濃度勾配を直線状に含む。別の実施形態では、第1のマスク層の第2の部分を第2のマスク層に変換した後、第2のマスク層は、全体に亘って同じ濃度を含む。別の実施形態においては、第1のマスク層は、金属酸化物を含み、第2のマスク層は、シリコン、ゲルマニウムおよび窒素のうちの少なくとも1つを含む。
一実施形態において、基板上に、第1の厚さを有する第1のマスク層を堆積する工程と、前記第1のマスク層上に、前記第2のマスク層を形成した後、前記第1の厚さとは異なる第2の厚さを有し、前記第2のマスク層を第3の厚さとする第2のマスク層を形成する工程と、前記第1のマスク層及び前記第2のマスク層を異方性エッチングして前記基板の一部を露出させる工程と、前記第2のマスク層上に、第1の半導体材料及び前記基板の一部上に、第2の半導体材料を成長させる工程と、前記第1のマスク層及び前記第2のマスク層を等方性エッチングして除去する工程と、を含む方法。別の実施形態においては、第1の半導体材料は不連続なノジュールを含み、第2の半導体材料は連続している。別の実施形態においては、第2の厚さと第3の厚さとの和は、第1の厚さよりも大きい。別の実施形態においては、第2の厚さと第3の厚さとの和は、第1の厚さと同程度である。別の実施形態では、第2のマスク層を形成する工程は、第1のマスク層の上部を処理する工程を含む。別の実施形態では、第2のマスク層を形成する工程は、露出した第1のマスク層の上面に官能基を付与する工程を含む。
上述したいくつかの実施形態の概要は、当業者が本開示の態様をよりよく理解するために詳細に説明したものである。当業者であれば、本明細書に記載された実施形態と同様の目的を達成するために、他の工程や構造を設計、変更すること、および/または同一の効果を達成することは容易であることを理解されるべきである。当業者であれば、これらと均等な構成については、本発明の趣旨及び範囲から逸脱することなく、種々の変更、置換及び変更を加えることが可能であることを認識すべきである。

Claims (20)

  1. 基板上に半導体層を形成する工程と、
    前記半導体層の一部をエッチングして第1の凹部及び第2の凹部を形成する工程と、
    半導体層上に第1のタイプのマスク層を形成する工程と、
    前記第1のタイプのマスク層をエッチングして半導体層を露出させる工程と、
    前記第1の凹部に第1の半導体材料を形成する工程と、
    前記第1のタイプのマスク層を除去する工程と、
    を含み、
    半導体層上に第1のタイプのマスク層を形成する工程は、
    半導体層上に第1のマスク層を形成する工程と、
    第2のマスク層を形成する工程とを含み、
    前記第2のマスク層を形成した後、前記第2のマスク層を前記第1のマスク層の一部の上に位置させる方法。
  2. 前記第1のマスク層は、酸化アルミニウムを含むことを特徴とする請求項1に記載の方法。
  3. 前記第2のマスク層を形成する工程は、前記第1のマスク層上に新たな材料層を堆積する工程を含む請求項1に記載の方法。
  4. 前記第2のマスク層を形成する工程は、前記第1のマスク層を第1の化学物質で修飾することにより、前記第1のマスク層の上部を前記第2のマスク層に変換する工程を含む、請求項1に記載の方法。
  5. 前記第1のマスク層を改質した後、前記第1の化学物質の元素は、前記第2のマスク層において濃度勾配を有することを特徴とする請求項4に記載の方法。
  6. 前記第1のマスク層を改質した後、前記第1の化学物質の元素は、前記第2のマスク層の全体の厚さにおいて濃度が同じであることを特徴とする請求項4に記載の方法。
  7. 半導体層上に第2のマスク層を形成する工程と、
    前記第2のマスク層をエッチングして半導体層を露出させる工程と、
    前記第2の凹部内に第2の半導体材料を形成する工程と、
    をさらに含む請求項1に記載の方法。
  8. 前記第1のマスク層を形成する工程は、原子層堆積法により前記第1のマスク層を堆積する工程を含む請求項1に記載の方法。
  9. 前記第2のマスク層を形成する工程は、前記第1のマスク層に対してドーパント注入を行う工程を含む請求項1に記載の方法。
  10. 第1の基板上に半導体層を形成する工程と、
    前記半導体層をエッチングして、第1の領域に第1の凹部を形成し、第2の領域に第2の凹部を形成し、
    前記第1の領域及び前記第2の領域の上に、第1のマスク層の第1の部分を堆積させる工程と、
    前記第1のマスク層の前記第1の部分に第2のマスク層を形成する工程と、
    前記第2の領域から前記第1のマスク層および前記第2のマスク層を除去する工程と、
    前記第2の凹部内に第1のエピタキシャルソース・ドレイン領域を形成する工程と、
    前記第1のマスク層の残部および前記第2のマスク層の残部を除去する工程と、
    前記第1の領域及び前記第2の領域の上に、第3のマスク層の第1の部分を堆積する工程と、
    前記第3のマスク層の前記第1の部分に第4のマスク層を形成する工程と、
    前記第1の領域から前記第3のマスク層および前記第4のマスク層を除去する工程と、
    前記第1の凹部内に第2のエピタキシャルソース・ドレイン領域を形成する工程と、
    前記第3のマスク層の残部および前記第4のマスク層の残部を除去する工程と、
    前記半導体層上にゲート構造を形成する工程と、
    を含む方法。
  11. 前記第1のマスク層の前記第1の部分に前記第1のマスク層の第2の部分を形成する工程をさらに含み、
    前記第2のマスク層を形成する工程は、前記第1のマスク層の前記第2の部分を前記第2のマスク層に変換する工程を含む、
    請求項10に記載の方法。
  12. 前記第1のマスク層の前記第2の部分を前記第2のマスク層に変換した後、前記第2のマスク層に含まれる不純物は線状の濃度勾配を有する、
    請求項11に記載の方法。
  13. 前記第1のマスク層の前記第2の部分を前記第2のマスク層に変換した後、前記第2のマスク層は、全体に亘って同じ濃度を有する請求項11に記載の方法。
  14. 前記第1のマスク層は、金属酸化物を含み、
    前記第2のマスク層はシリコン、ゲルマニウムおよび窒素のうちの1種または2種以上を含む、請求項10に記載の方法。
  15. 基板上に第1の厚さを有する第1のマスク層を堆積する工程と、
    前記第1のマスク層上に第2のマスク層を形成する工程であって、前記第2のマスク層を形成した後、前記第1のマスク層の厚さが前記第1の厚さとは異なる第2の厚さになり、前記第2のマスク層が第3の厚さを有する工程と、
    前記第1のマスク層および前記第2のマスク層をエッチングして前記基材の一部を露出させる工程と、
    前記第2のマスク層上に第1の半導体材料を成長させ、前記基板の一部上に第2の半導体材料を成長させる工程と、
    前記第1のマスク層及び前記第2のマスク層をエッチングして除去する工程と、を含む方法。
  16. 前記第1の半導体材料は不連続なノジュールを含み、前記第2の半導体材料は連続していることを含む請求項15に記載の方法。
  17. 前記第2の厚さと前記第3の厚さとの和は、前記第1の厚さよりも大きい請求項15に記載の方法。
  18. 前記第2の厚さと前記第3の厚さとの和は、前記第1の厚さと同程度である請求項15に記載の方法。
  19. 前記第2のマスク層を形成する工程は、前記第1のマスク層の上部を処理する工程を含む、請求項15に記載の方法。
  20. 前記第2のマスク層を形成する工程は、前記第1のマスク層の露出した上面に官能基を付着させる工程である請求項15に記載の方法。

JP2021116900A 2020-07-16 2021-07-15 多層マスク層及びその形成方法 Pending JP2022019659A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063052604P 2020-07-16 2020-07-16
US63/052,604 2020-07-16
US17/198,133 2021-03-10
US17/198,133 US11855185B2 (en) 2020-07-16 2021-03-10 Multilayer masking layer and method of forming same

Publications (1)

Publication Number Publication Date
JP2022019659A true JP2022019659A (ja) 2022-01-27

Family

ID=76942860

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021116900A Pending JP2022019659A (ja) 2020-07-16 2021-07-15 多層マスク層及びその形成方法

Country Status (7)

Country Link
US (2) US11855185B2 (ja)
EP (1) EP3940762A1 (ja)
JP (1) JP2022019659A (ja)
KR (1) KR20220009858A (ja)
CN (1) CN113488387A (ja)
DE (1) DE102021106776A1 (ja)
TW (1) TWI793622B (ja)

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0154670B1 (en) * 1978-06-14 1991-05-08 Fujitsu Limited Process for producing a semiconductor device having insulating film
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9093555B2 (en) * 2013-07-25 2015-07-28 Texas Instruments Incorporated Method of CMOS manufacturing utilizing multi-layer epitaxial hardmask films for improved EPI profile
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
EP3127162B1 (en) * 2014-03-27 2021-01-20 Intel Corporation Germanium tin channel transistors
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
CN107924944B (zh) * 2015-09-11 2021-03-30 英特尔公司 磷化铝铟子鳍状物锗沟道晶体管
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10468412B2 (en) * 2016-06-28 2019-11-05 International Business Machines Corporation Formation of a semiconductor device with selective nitride grown on conductor
US10050107B1 (en) * 2017-02-13 2018-08-14 International Business Machines Corporation Nanosheet transistors on bulk material
US10008583B1 (en) 2017-05-08 2018-06-26 Samsung Electronics Co., Ltd. Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same
WO2019055271A1 (en) * 2017-09-15 2019-03-21 Glo Ab OPTICAL EXTENSION IMPROVEMENT OF LIGHT-EMITTING DIODE SUB-PIXELS
US11398476B2 (en) * 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US11342411B2 (en) 2018-06-29 2022-05-24 Intel Corporation Cavity spacer for nanowire transistors
CN110729245A (zh) 2018-07-16 2020-01-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US11430892B2 (en) * 2019-05-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around transistors
US11430891B2 (en) * 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around structure with additional silicon layer and method for forming the same

Also Published As

Publication number Publication date
DE102021106776A1 (de) 2022-01-20
CN113488387A (zh) 2021-10-08
US20220020865A1 (en) 2022-01-20
EP3940762A1 (en) 2022-01-19
US20230395702A1 (en) 2023-12-07
US11855185B2 (en) 2023-12-26
KR20220009858A (ko) 2022-01-25
TW202217917A (zh) 2022-05-01
TWI793622B (zh) 2023-02-21

Similar Documents

Publication Publication Date Title
TWI771878B (zh) 製造電晶體的方法
US20220052173A1 (en) Semiconductor Device and Method
TW202139272A (zh) 半導體裝置的形成方法
US20220384593A1 (en) Inter-Layer Dielectrics and Etch Stop Layers for Transistor Source/Drain Regions
US20220328319A1 (en) Transistor Gate Structure and Method of Forming
US20220238649A1 (en) Transistor Gate Structures and Methods of Forming the Same
US20220344516A1 (en) Low ge isolated epitaxial layer growth over nano-sheet architecture design for rp reduction
US11302567B2 (en) Shallow trench isolation forming method and structures resulting therefrom
JP2022019659A (ja) 多層マスク層及びその形成方法
KR102447135B1 (ko) 트랜지스터 내의 규화물 구조물 및 형성하는 방법
US20230155002A1 (en) Metal gate fin electrode structure and method
TWI815623B (zh) 奈米結構場效電晶體裝置及其形成方法
TWI832437B (zh) 半導體裝置及其形成方法
TWI839099B (zh) 半導體元件及其形成方法
US20220352348A1 (en) Etch selective bottom-up dielectric film
KR20230052199A (ko) 트랜지스터 게이트 구조물들 및 그 형성 방법들
TW202416360A (zh) 半導體裝置及其形成方法
TW202335289A (zh) 半導體元件及其形成方法
TW202345238A (zh) 半導體裝置及方法
TW202347455A (zh) 半導體裝置以及其形成之方法