TW202416360A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202416360A
TW202416360A TW112120382A TW112120382A TW202416360A TW 202416360 A TW202416360 A TW 202416360A TW 112120382 A TW112120382 A TW 112120382A TW 112120382 A TW112120382 A TW 112120382A TW 202416360 A TW202416360 A TW 202416360A
Authority
TW
Taiwan
Prior art keywords
region
gate
layer
nitrogen
mask
Prior art date
Application number
TW112120382A
Other languages
English (en)
Inventor
蘇恆佳
林立峰
吳振誠
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202416360A publication Critical patent/TW202416360A/zh

Links

Images

Abstract

將部分的介電質填充材料轉變為硬遮罩的製程包含使用氮氣處理或氮氣電漿將部分的介電質填充材料轉變為類氮層,以作為硬遮罩,以透過蝕刻製程形成裝置晶片的邊緣區域。在形成邊緣區域之後,在邊緣區域中提供另一種介電質填充材料。在完成的裝置中,閘極切割區域可以在閘極切割區域之閘極切割介電質的上部具有氮氣濃度梯度。

Description

閘極切割製程的薄膜修改
半導體裝置被用於各種電子裝置(例如,個人電腦、手機、數位相機和其他電子設備)。半導體裝置的製造通常透過在半導體基材上依序地沉積絕緣或介電層、導電層和半導體層的材料,並使用微影術圖案化各種材料層以在其上形成電路組件和元件。
半導體工業透過不斷地減小最小特徵尺寸來繼續提高各種電子元件(例如,電晶體、二極體、電阻器、電容器等)的集積密度,這允許將更多元件集積到給定的區域中。然而,隨著最小特徵尺寸的減小,出現了應該解決的其他問題。
以下公開提供了用於實現本揭露之不同特徵的許多不同的實施例或示例。以下描述元件和配置的特定示例以簡化本揭露。當然,這些僅是示例,並不旨在進行限制。例如,在下面的描述中,在第二特徵之上或上方形成第一特徵可以包含第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包含在第一特徵和第二特徵之間形成附加的特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本揭露可以在各個示例中重複參考數字和/或文字。此重複是出於簡單和清楚的目的,並且其本身並不指示所討論的各種實施例和/或配置之間的關係。
更甚者,空間相對的詞彙(例如,「低於」、「下方」、「之下」、「上方」、「之上」等相關詞彙)於此用以簡單描述如圖所示之元件或特徵與另一元件或特徵的關係。在使用或操作時,除了圖中所繪示的轉向之外,這些空間相對的詞彙涵蓋裝置的不同轉向。再者,這些裝置可旋轉(旋轉90度或其他角度),且在此使用之空間相對的描述語可作對應的解讀。
如以下更詳細地討論的,本揭露中所示的實施例提供具有邊緣隔離區域的半導體裝置。邊緣隔離區域可用於在單片(single wafer)上形成的相鄰裝置之間提供隔離。當圖案化半導體晶片時,可以均勻地進行圖案化以避免圖案化缺陷。隨後可以透過閘極或鰭片切割製程調整圖案,以形成所需的裝置配置。在部分實施例中,在裝置的邊緣處去除多個閘極結構和/或鰭片結構以提供裝置之間的隔離。本揭露的實施例不是為邊緣蝕刻形成單獨的遮罩,而是提供處理製程,其將一部分的介電質再填充層(例如,在切割金屬閘極之後使用)轉變為硬遮罩以保護裝置的區域在蝕刻邊緣部分期間免於被蝕刻。將介電質填充材料轉變為硬遮罩節省了必須去除部分介電質填充材料以及在裝置上方形成一系列遮罩以保護保留的裝置區域的步驟。
將於下面在特定上下文中描述本揭露的實施例(例如,包含奈米結構場效應電晶體的晶片)。然而,其它實施例亦可應用包含其他類型的電晶體(例如,鰭式場效應電晶體(FinFET)、平面電晶體等)的晶片,以代替奈米結構場效應電晶體或與奈米結構場效應電晶體組合。下面也描述了一些這樣的實施例。
第1圖是根據部分實施例以三維視圖繪示奈米結構場效應電晶體(例如,奈米線場效應電晶體、奈米片場效應電晶體等)的示例。奈米結構場效應電晶體包含在基材50(例如,半導體基材)上的鰭片66上方的奈米結構55(例如,奈米片、奈米線等),其中奈米結構55作為奈米結構場效應電晶體的通道區域。奈米結構55可以包含p型奈米結構、n型奈米結構或其組合。隔離區域68設置在相鄰的鰭片66之間,鰭片66可以在相鄰的隔離區域68上方和之間突出。儘管將隔離區域68描述/繪示為與基材50分離,但是如本文所用,術語「基材」可以指代單獨的半導體基材或半導體基材和隔離區域的組合。此外,雖然將鰭片66的底部繪示為與基材50為單一的、連續的材料,但鰭片66的底部和/或基材50可包含單一材料或多種材料。在本文中,鰭片66指的是在相鄰的隔離區域68之間延伸的部分。
閘極介電層100在鰭片66的頂表面上方並沿著奈米結構55的頂表面、側壁和底表面。閘極102在閘極介電層100上方。磊晶源極/汲極區域92設置在閘極介電層100和閘極102之相對側上的鰭片66上。源極/汲極區域92可以根據上下文單獨地或共同地指代源極或汲極。
第1圖進一步說明了在後面圖式中使用的參考橫截面。橫截面A-A'沿著閘極102的縱軸並且在例如垂直於奈米結構場效應電晶體的磊晶源極/汲極區域92之間的電流方向的方向上。橫截面B-B'垂直於橫截面A-A'並且平行於第一臨界區域(threshold region)中的奈米結構場效應電晶體的鰭片66的縱軸並且例如在第一臨界區域中的奈米結構場效應電晶體的磊晶源極/汲極區域92之間的電流流動的方向上。橫截面C-C'平行於橫截面B-B'並且平行於第二臨界區域中的奈米結構場效應電晶體的鰭片66的縱軸並且例如在第二臨界區域中的奈米結構場效應電晶體的磊晶源極/汲極區域92之間的電流流動的方向上。為清楚起見,後續圖參考這些參考橫截面。橫截面D-D'與橫截面A-A'平行,並延伸穿過奈米結構場效應電晶體的磊晶源極/汲極區域。為清楚起見,後續圖參考這些參考橫截面。
本文討論的部分實施例是在使用後閘極製程(gate-last process)形成的奈米結構場效應電晶體的背景下討論的。在其他實施例中,可以使用先閘極製程(gate-first process)。此外,部分實施例考慮在平面裝置(例如,平面場效應電晶體或鰭式場效應電晶體(FinFET))中使用的方面。
第2圖至第26C圖是根據部分實施例之奈米結構場效應電晶體製造中的中間階段的橫截面圖。第2圖至第5圖、第6A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19圖、第20圖、第21圖、第22A圖、第22B圖、第22C圖、第22D圖、第22E圖、第22F圖、第23A圖、第23B圖、第23C圖、第23D圖、第23E圖、第23F圖、第24A圖、第25A圖、第26A圖和第27A圖繪示第1圖中所示的參考橫截面A-A'。第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第11B圖、第11C圖、第12B圖、第12D圖、第13B圖、第14B圖、第15B圖、第16B圖、第17B圖、第18B圖、第24B圖、第25B圖和第26B圖繪示第1圖中所示的參考橫截面B-B'。第24C圖和24D圖繪示第1圖中所示的參考橫截面C-C'。第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第12C圖、第13C圖、第17C圖、第18C圖、第24E圖、第25C圖和第26C圖繪示第1圖中所示的參考橫截面D-D'。
在第2圖中,提供了用於形成奈米結構場效應電晶體的基材50。基材50可以是半導體基材(例如,體半導體(bulk semiconductor)、絕緣體上半導體(semiconductor-on-insulator, SOI)基材等,其可以被摻雜(例如,用p型或n型摻雜劑)或未摻雜)。基材50可為晶圓(例如,矽晶圓)。通常,絕緣體上半導體基材是形成在絕緣體層上的一層半導體材料。絕緣體層可以是諸如埋入氧化物(buried oxide, BOX)層、氧化矽層等。絕緣體層設置在基材(通常是矽或玻璃基材)上。亦可以使用其他基材(例如,多層或梯度基底)。在部分實施例中,基材50的半導體材料可以包含矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包含矽鍺、磷化砷鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦和/或磷化砷化鎵銦;或其組合。
基材50包含第一區域50A和第二區域50B。在部分實施例中,第一區域50A和第二區域50B用於形成不同的裝置。例如,第一區域50A可以是邏輯裝置區域,而第二區域50B可以是輸入/輸出(I/O)裝置區域。可選地,第一區域50A和第二區域50B為具有不同功能電路的邏輯裝置區域或輸入/輸出區域。在部分實施例中,第一區域50A和第二區域50B可以用於形成相同導電類型或不同導電類型的裝置。例如,在一個實施例中,第一區域50A和第二區域50B均用於形成n型裝置(例如,n型金屬氧化物半導體電晶體(例如,n型奈米結構場效應電晶體))或p型裝置(例如,p型金屬氧化物半導體電晶體(例如,p型奈米結構場效應電晶體))。在部分實施例中,第一區域50A可以用於形成n型裝置(例如,用於形成n型金屬氧化物半導體電晶體(例如,n型奈米結構場效應電晶體)的n型金屬氧化物半導體裝置區域),而第二區域50B可以用於形成p型裝置(例如,用於形成p型金屬氧化物半導體電晶體(例如,p型奈米結構場效應電晶體)的p型金屬氧化物半導體裝置區域)。第一區域50A可以與第二區域50B物理地分離(如分隔物20所示),並且可以在第一區域50A和第二區域50B之間設置任何數量的裝置特徵(例如,其他主動裝置、摻雜區域、隔離結構等)。儘管只繪示一個第一區域50A和一個第二區域50B,然而可以提供任意數量的第一區域50A和第二區域50B。為了簡單起見,在隨後的附圖中僅繪示一個區域,然而,應當理解,可以在預期的任何區域中使用下文描述的製程。下面提供了用於處理多個區域的描述,包含例如在對其他一個或多個區域執行處理的同時遮蔽一個或多個區域。在不同區域可能使用不同製程或材料的情況下,將於討論的上下文中註明。
此外在第2圖中,在基材50上方形成多層堆疊64。多層堆疊64包含第一半導體層51A/51B/51C(統稱為第一半導體層51)和第二半導體層53A/53B/53C(統稱為第二半導體層53)的交替層。第一半導體材料和第二半導體材料可以是彼此具有高蝕刻選擇性的材料。如此,可以去除第一半導體材料的第一半導體層51而不會顯著地去除第二半導體材料的第二半導體層53。類似地,可以去除第二半導體材料的第二半導體層53而不會顯著地去除第一半導體層51。為了說明的目的並且如下文更詳細地討論的,將去除第一半導體層51,並且第二半導體層53將被圖案化以在第一區域50A和第二區域50B中形成奈米結構場效應電晶體的通道區域。然而,在部分實施例中,將去除第二半導體層53,並且可以圖案化第一半導體層51以形成奈米結構場效應電晶體的通道區域。在這樣的實施例中,第一區域50A和第二區域50B中的通道區域可以具有相同的材料成分(例如,矽或其他合適的半導體材料)並且同時被形成。
在其他實施例中,將去除第一區域50A中的第一半導體層51,並且將圖案化第二半導體層53以在第一區域50A中形成奈米結構場效應電晶體的通道區域。此外,第二半導體層53將被移除,並且第一半導體層51將被圖案化以在第二區域50B中形成奈米結構場效應電晶體的通道區域。然而,在部分實施例中,可以去除第二半導體層53,並且可以圖案化第一半導體層51以在第一區域50A中形成奈米結構場效應電晶體的通道區域,並且可以去除第一半導體層51,並且可以圖案化第二半導體層53以在第二區域50B中形成奈米結構場效應電晶體的通道區域。在這樣的實施例中,第一區域50A和第二區域50B中的通道區域具有不同的材料成分(例如,一個是矽、碳化矽等,另一個是矽鍺或另一種半導體材料)。第26A圖、第26B圖和第26C圖說明了其中第一區域50A中的通道區域由諸如矽或碳化矽的半導體材料形成,而第二區域50B中的通道區域由諸如矽鍺的另一種半導體材料形成所產生的結構的實施例。
為了說明的目的,將多層堆疊64繪示為包含三層第一半導體層51和三層第二半導體層53。在部分實施例中,多層堆疊64可以包含任意數量的第一半導體層51和第二半導體層53。多層堆疊64的每一層可以使用諸如化學氣相沉積(chemical vapor deposition, CVD)、原子層沉積(atomic layer deposition, ALD)、氣相磊晶(vapor phase epitaxy, VPE)、分子束磊晶(molecular beam epitaxy, MBE)等的製程磊晶生長。
參照第3圖,根據部分實施例,在基材50中形成鰭片66,並且在多層堆疊64中形成奈米結構55。在部分實施例中,透過在多層堆疊64和基材50中蝕刻溝槽,可以分別在多層堆疊64和基材50中形成奈米結構55和鰭片66。蝕刻可以是任何可接受的蝕刻製程(例如,反應離子蝕刻(reactive ion etch, RIE)、中性束蝕刻(neutral beam etch, NBE)等或其組合)。蝕刻可以是各向異性的。透過蝕刻多層堆疊64形成奈米結構55可以進一步從第一半導體層51界定第一奈米結構52A/52B/52C(統稱為第一奈米結構52)並從第二半導體層53界定第二奈米結構54A/54B/54C(統稱為第二奈米結構54)。第一奈米結構52和第二奈米結構54還可以統稱為奈米結構55。
可以透過任何合適的方法圖案化鰭片66和奈米結構55。例如,可以使用一種或多種光刻製程(包含雙重圖案化或多重圖案化製程)來圖案化鰭片66和奈米結構55。通常,雙重圖案化或多重圖案化製程結合了光刻和自對準製程,以允許創建具有例如比使用單一、直接光刻製程可獲得的間距更小的間距的圖案。例如,在一個實施例中,在基材上方形成犧牲層並使用光刻製程將其圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。接著去除犧牲層,然後可以使用剩餘的間隔物來圖案化鰭片66。這樣的製程繪示於第8A圖至第8B圖,並將在下面進一步詳細地討論。
第3圖出於說明目的將鰭片66繪示為具有實質上相等的寬度。在部分實施例中,第一區域50A中的鰭片66的寬度可以比第二區域50B中的鰭片66的寬度更寬或更窄。此外,雖然將每個鰭片66和奈米結構55繪示為始終具有一致的寬度,但在部分實施例中,鰭片66和/或奈米結構55可以具有錐形側壁,使得每個鰭片66和/或奈米結構55的寬度在朝向基材50的方向上連續地增加。在這樣的實施例中,每個奈米結構55可以具有不同的寬度並且在形狀上是梯形的。
在第4圖中,鄰近鰭片66形成淺溝槽隔離(shallow trench isolation, STI)區域68。淺溝槽隔離區域68可以透過在基材50、鰭片66和奈米結構55上以及相鄰的鰭片之間沉積絕緣材料來形成。絕緣材料可以是氧化物(例如,氧化矽、氮化物等或者它們的組合),並且可以透過高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition, HDP-CVD)、可流動化學氣相沉積(flowable chemical vapor deposition, FCVD)等或它們的組合形成。可以使用透過任何可接受的製程形成的其他絕緣材料。在所示實施例中,絕緣材料是透過可流動化學氣相沉積製程形成的氧化矽。一旦形成絕緣材料,就可以執行退火製程。在一個實施例中,形成絕緣材料以使得多餘的絕緣材料覆蓋奈米結構55。雖然在此將絕緣材料繪示為單層,然而在其他實施例中其可以是多層。例如,可以先沿著基材50、鰭片66和奈米結構55的表面形成襯墊(未單獨繪示出)。隨後,可以在襯墊上方形成填充材料(例如,上面討論的那些)。
然後對絕緣材料執行去除製程以去除奈米結構55上方多餘的絕緣材料。在部分實施例中,可以使用平坦化製程(例如,化學機械研磨(chemical mechanical polish, CMP)、回蝕刻製程、它們的組合或類似的製程)。平坦化製程暴露奈米結構55,使得在平坦化製程完成之後奈米結構55和絕緣材料的頂表面是水平的。
然後使絕緣材料凹陷以形成淺溝槽隔離區域68。使絕緣材料凹陷,以使得鰭片66的上部從相鄰的淺溝槽隔離區域68之間突出。此外,淺溝槽隔離區域68的頂表面可以具有如圖所示之平坦的表面、凸的表面、凹的表面(例如,碟形)或其組合。淺溝槽隔離區域68的頂表面可以透過適當的蝕刻形成平坦的表面、凸的表面和/或凹的表面。淺溝槽隔離區域68的凹陷可以使用可接受的蝕刻製程(例如,對絕緣材料的材料具有選擇性的蝕刻製程(例如,以比蝕刻鰭片66和奈米結構55的材料更快的速率蝕刻絕緣材料的材料))。例如,可以使用諸如使用稀氫氟酸(dilute hydrofluoric, dHF)的氧化物去除。
以上關於第2圖至第4圖所描述的製程只是可以如何形成鰭片66和奈米結構55的一種實施例。在部分實施例中,鰭片66和/或奈米結構55可以使用遮罩和磊晶生長製程形成。例如,可以在基材50的頂表面上形成介電層,並且可以穿過介電層蝕刻溝槽以暴露下面的基材50。可以在溝槽中磊晶生長磊晶結構,並且可以使介電層凹陷以使得磊晶結構可從介電層突出以形成鰭片66和/或奈米結構55。磊晶結構可以包含上面討論之交替的半導體材料(例如,第一半導體材料和第二半導體材料)。在磊晶生長磊晶結構的部分實施例中,儘管可以一起使用原位和佈植摻雜,磊晶生長的材料可以在生長期間被原位摻雜(然而這可能會消除之前和/或隨後的佈植)。
此外在第4圖中,可以在鰭片66和奈米結構55和/或基材50中形成適當的井(well)(未單獨示出)。井的導電類型可以與源極/汲極區域(隨後將在區域50A和50B等中的每一個中形成)的導電類型相反。例如,在部分實施例中,在n型區域中形成p型井,在p型區域中形成n型井。在部分實施例中,在n型區域和p型區域中均形成p型井或n型井。n型井可以透過執行n型雜質佈植來形成。n型雜質可以是佈植到區域中濃度在10 13公分 -3至10 14公分 -3範圍內的磷、砷、銻等。p型井可以透過執行p型雜質佈植來形成。p型雜質可以是佈植到區域中濃度在10 13公分 -3至10 14公分 -3範圍內的硼、氟化硼、銦等。在佈植雜質之後,可以執行退火製程以修復損傷並活化佈植的p型和/或n型雜質。在針對鰭片66和奈米結構55磊晶生長磊晶結構的部分實施例中,儘管可以一起使用原位和佈植摻雜,磊晶生長的材料可以在生長期間被原位摻雜(然而這可能會消除之前和/或隨後的佈植)。
在第5圖中,在鰭片66和/或奈米結構55上形成虛設介電層70。虛設介電層70可以是例如氧化矽、氮化矽、它們的組合等,並且可以根據可接受的技術進行沉積或熱生長。虛設閘極層72形成於虛設介電層70之上,並且遮罩層74形成於虛設閘極層72之上。虛設閘極層72可沉積於虛設介電層70之上,然後被平坦化(例如,透過化學機械研磨)。遮罩層74可以沉積在虛設閘極層72之上。虛設閘極層72可以是導電或非導電材料並且可以選自包含非晶矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物和金屬。可以透過物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積、濺射沉積或用於沉積所選材料的其他技術來沉積虛設閘極層72。虛設閘極層72可以由對隔離區域的刻蝕具有高刻蝕選擇性的其他材料製成。遮罩層74可以包含例如氮化矽、氮氧化矽等。在部分實施例中,遮罩層74可以包含氧化物層和氮化物層兩者(例如,氧化矽和氮化矽)。在部分實施例中,橫跨第一區域50A和第二區域50B形成相同的虛設閘極層72和遮罩層74。應理解,僅為了說明目的,將虛設介電層70繪示為僅覆蓋鰭片66和奈米結構55。在部分實施例中,可以沉積虛設介電層70使得虛設介電層70覆蓋淺溝槽隔離區域68,從而使虛設介電層70在虛設閘極層72和淺溝槽隔離區域68之間延伸。
第6A圖到第26C圖繪示實施例裝置之製造中的各種附加步驟。第1圖至第16A圖和第16B圖、第17B圖、第17C圖、第17D圖、第17E圖、第18B圖、第18C圖、第24E圖、第25C圖和第26C圖繪示第一區域50A或第二區域50B中的特徵。
在第6A圖和第6B圖中,可以使用可接受的光刻和蝕刻技術將遮罩層74(參見第5圖)圖案化以形成遮罩78。然後可以將遮罩78的圖案轉移到虛設閘極層72和虛設介電層70,以分別形成虛設閘極76和虛設閘極介電質71。虛設閘極76覆蓋鰭片66之相應的通道區域。遮罩78的圖案可用於將每個虛設閘極76與相鄰的虛設閘極76物理地分離。虛設閘極76的縱向也可實質上垂直於相應鰭片66的縱向。
在第7A圖和第7B圖中,第一間隔物層80和第二間隔物層82分別形成在第6A圖和第6B圖所示的結構之上。隨後將第一間隔物層80和第二間隔物層82圖案化以作為用於形成自對準源極/汲極區域的間隔物。在第7A圖和第7B圖中,第一間隔物層80形成在淺溝槽隔離區域68的頂表面;鰭片66、奈米結構55和遮罩78的頂表面和側壁;虛設閘極76和虛設閘極介電質71的側壁上。第二間隔物層82沉積在第一間隔物層80上。第一間隔物層80可以由氧化矽、氮化矽、氮氧化矽等形成,使用諸如熱氧化或透過化學氣相沉積、原子層沉積等沉積的技術形成。第二間隔物層82可以由與第一間隔物層80的材料具有不同蝕刻速率的材料(例如,氧化矽、氮化矽、氮氧化矽等)形成,並且可以透過化學氣相沉積、原子層沉積等沉積。
在形成第一間隔物層80之後並且在形成第二間隔物層82之前,可以執行用於輕摻雜源極/汲極(lightly doped source/drain, LDD)區域(未單獨繪示)的佈植。可將適當類型的雜質(例如,n型或p型)佈植到鰭片66和/或奈米結構55中。n型雜質可以是先前描述的任何n型雜質,而p型雜質可以是先前描述的任何p型雜質。輕摻雜源極/汲極區域的雜質濃度可能在10 15公分 -3到10 19公分 -3的範圍內。退火製程可用於修復佈植損壞並活化佈植的雜質。
在第8A圖和第8B圖中,蝕刻第一間隔物層80和第二間隔物層82以形成第一間隔物81和第二間隔物83。如下文將更詳細地討論的,第一間隔物81和第二間隔物83用於自對準隨後形成的源極/汲極區域,以及在後續處理期間保護鰭片66和/或奈米結構55的側壁。可以使用合適的蝕刻製程(例如,各向同性蝕刻製程(例如,濕式蝕刻製程)、各向異性蝕刻製程(例如,乾式蝕刻製程)等)來蝕刻第一間隔物層80和第二間隔物層82。在部分實施例中,第二間隔物層82的材料具有與第一間隔物層80的材料不同的蝕刻速率,使得在圖案化第二間隔物層82時,第一間隔物層80可以作為蝕刻停止層,並使得圖案化第一間隔物層80時,第二間隔物層82可以作為遮罩。例如,可以使用各向異性蝕刻製程來蝕刻第二間隔物層82,其中第一間隔物層80作為蝕刻停止層,其中第二間隔物層82之剩餘的部分形成第二間隔物83(如第8A圖所示)。隨後,在蝕刻第一間隔物層80的暴露部分時,第二間隔物83作為遮罩,從而形成第一間隔物81(如第8A圖所示)。
如第8A圖所示,第一間隔物81和第二間隔物83設置在鰭片66和/或奈米結構55的側壁上。如第8B圖所示,在部分實施例中,可以從鄰近遮罩78、虛設閘極76和虛設閘極介電質71的第一間隔物層80上方去除第二間隔物層82,第一間隔物81設置在遮罩78、虛設閘極76和虛設閘極介電質71的側壁上。在其他實施例中,一部分的第二間隔物層82可以保留在鄰近遮罩78、虛設閘極76和虛設閘極介電質71的第一間隔物層80上方。
應理解,以上公開大體上描述了形成間隔物和輕摻雜源極/汲極區域的製程。可以使用其他製程和順序。例如,可以使用更少或額外的間隔物,可以使用不同的步驟順序(例如,可以在沉積第二間隔物層82之前圖案化第一間隔物81),可以形成和去除額外的間隔物,和/或類似的步驟。此外,n型和p型裝置可以使用不同的結構和步驟形成,例如透過遮蔽第一區域50A以在第二區域50B中形成p型裝置以及透過遮蔽第二區域50B以在第一區域50A中形成n型裝置,反之亦然。
在第9A圖和第9B圖中,根據部分實施例,第一凹槽86形成在鰭片66、奈米結構55和基材50中。隨後將在第一凹槽86中形成磊晶源極/汲極區域。第一凹槽86可以延伸穿過第一奈米結構52和第二奈米結構54,並進入基材50。如第9A圖所示,淺溝槽隔離區域68的頂表面可以與第一凹槽86的底表面齊平。在其他實施例中,鰭片66可以被蝕刻使得第一凹槽86的底表面設置在淺溝槽隔離區域68的頂表面之下。第一凹槽86可以是透過使用諸如反應離子蝕刻、中性束蝕刻等的各向異性蝕刻製程蝕刻鰭片66、奈米結構55和基材50而形成。第一間隔物81、第二間隔物83和遮罩78在用於形成第一凹槽86的蝕刻製程期間遮蔽部分的鰭片66、奈米結構55和基材50。單個蝕刻製程或多個蝕刻製程可以用於蝕刻奈米結構55和/或鰭片66的每一層。可使用定時蝕刻製程(timed etch process)以在第一凹槽86達到期望深度之後停止第一凹槽86的蝕刻。
在第10A圖和第10B圖中,蝕刻由第一凹槽86暴露之第一半導體材料(例如,第一奈米結構52)形成的多層堆疊64之層的側壁部分,以在第一區域50A和第二區域50B中形成側壁凹槽88。儘管在第10B圖中將側壁凹槽88中第一奈米結構52的側壁繪示為直的,但是這些側壁可以是凹的或凸的。可以使用各向同性蝕刻製程(例如,濕式蝕刻等)來蝕刻這些側壁。
在第11A圖、第11B圖和第11C圖中,在側壁凹槽88中形成第一內間隔物90。可以透過在第10A圖和第10B圖所示的結構上沉積內間隔物層來形成第一內間隔物90。第一內間隔物90作為隨後形成的源極/汲極區域和閘極結構之間的隔離特徵。如下面將更詳細地討論的,源極/汲極區域將形成在第一凹槽86中,而第一區域50A和第二區域50B中的第一奈米結構52將被相應的閘極結構代替。
內間隔物層可以透過保形沉積製程(conformal deposition process)(例如,化學氣相沉積、原子層沉積等)沉積。內間隔物層可包含諸如氮化矽或氮氧化矽的材料,然而亦可使用任何合適的材料(例如,具有介電常數值小於約3.5的低介電常數材料)。然後可以各向異性蝕刻內間隔物層以形成第一內間隔物90。雖然第一內間隔物90的外側壁被繪示為與第一區域50A和第二區域50B中的第二奈米結構54的側壁齊平,但是第一內間隔物90的外側壁可以延伸超過第二奈米結構54的側壁或從第二奈米結構54的側壁凹陷。
此外,雖然在第11B圖中將第一內間隔物90的外側壁繪示為直的,但是第一內間隔物90的外側壁可以是凹的或凸的。作為示例,第11C圖繪示第一奈米結構52的側壁是凹的,第一內間隔物90的外側壁是凹的,並且第一內間隔物從第一奈米結構52的側壁凹陷的實施例。內間隔物層可以透過諸如反應離子蝕刻、中性束蝕刻等的各向異性蝕刻製程來蝕刻。第一內間隔物90可用於防止後續蝕刻製程(例如,用於形成閘極結構的蝕刻製程)對後續形成的源極/汲極區域(例如,磊晶源極/汲極區域92,其將於以下第12A圖、第12B圖、第12C圖和第12D圖中進行討論)的損壞。
在第12A圖、第12B圖、第12C圖和第12D圖中,在第一凹槽86中形成磊晶源極/汲極區域92。在部分實施例中,磊晶源極/汲極區域92可以對第一區域50A和第二區域50B中的第二奈米結構54施加應力,從而提高性能。如第12B圖中所示,磊晶源極/汲極區域92形成在第一凹槽86中,使得每個虛設閘極76設置在磊晶源極/汲極區域92的各相鄰對之間。在部分實施例中,第一間隔物81用於將磊晶源極/汲極區域92與虛設閘極76分開,而第一內間隔物90透過適當的橫向距離將磊晶源極/汲極區域92與奈米結構55分開,使得磊晶源極/汲極區域92不會與隨後形成的奈米場效應電晶體的閘極短路。
磊晶源極/汲極區域92可以包含任何可接受的材料,例如,適用於n型或p型裝置的材料(其取決於裝置是在第一區域50A還是第二區域50B中)。例如,當形成n型裝置時,磊晶源極/汲極區域92可以包含在通道區域施加拉應變的材料(例如,矽、碳化矽(SiC)、矽碳磷(SiCP)、磷化矽(SiP)等)。類似地,當形成p型裝置時,磊晶源極/汲極區域92可以包含在通道區域中施加壓應變的材料(例如,矽鍺(SiGe)、矽鍺硼(SiGeB)、鍺(Ge)、鍺錫(GeSn)等)。
磊晶源極/汲極區域92、第一奈米結構52、第二奈米結構54和/或基材50可以佈植摻雜劑以形成源極/汲極區域(類似於先前討論之用於形成輕摻雜的源極/汲極區域製程),然後進行退火製程。源極/汲極區域可具有介於約1x10 19原子/公分 3與約1x10 21原子/公分 3之間的雜質濃度。用於源極/汲極區域的n型和/或p型雜質可以是先前討論的任何雜質。在部分實施例中,磊晶源極/汲極區域92可以在生長期間被原位摻雜。
作為用於形成磊晶源極/汲極區域92的磊晶製程的結果,磊晶源極/汲極區域92的上表面具有橫向向外擴展超過奈米結構55的側壁的晶面(facet)。在部分實施例中,這些晶面使同一裝置之相鄰的磊晶源極/汲極區域92合併(如第12A圖所示)。在其他實施例中,相鄰的磊晶源極/汲極區域92在磊晶製程完成之後保持分離(如第12C圖所示)。在第12A圖和第12C圖所示的實施例中,第一間隔物81可以形成到淺溝槽隔離區域68的頂表面,從而阻擋磊晶生長。在部份其他實施例中,第一間隔物81可以覆蓋奈米結構55的部分側壁,進一步阻礙磊晶生長。在部份其他實施例中,可以調整用於形成第一間隔物81的間隔物蝕刻,以去除間隔物材料,以允許磊晶生長區域延伸至淺溝槽隔離區域68的表面。
磊晶源極/汲極區域92可以包含一個或多個半導體材料層。例如,磊晶源極/汲極區域92可以包含第一半導體材料層92A、第二半導體材料層92B和第三半導體材料層92C。任何數量的半導體材料層均可以用於磊晶源極/汲極區域92。第一半導體材料層92A、第二半導體材料層92B和第三半導體材料層92C中的每一個均可以由不同的半導體材料形成並且可以摻雜不同的摻雜劑濃度。在部分實施例中,第一半導體材料層92A可以具有小於第二半導體材料層92B並且大於第三半導體材料層92C的摻雜劑濃度。在磊晶源極/汲極區域92包含三個半導體材料層的實施例中,可以沉積第一半導體材料層92A,可以在第一半導體材料層92A之上沉積第二半導體材料層92B,並可以在第二半導體材料層92B之上沉積第三半導體材料層92C。
第12D圖繪示一個實施例,其中第一奈米結構52的側壁是凹的,第一內間隔物90的外側壁是凹的,並且第一內間隔物90從第二奈米結構54的側壁凹陷。如第12D圖所示,磊晶源極/汲極區域92可以形成為與第一內間隔物90接觸並且可以延伸超過第二奈米結構54的側壁。
在第13A圖、第13B圖和第13C圖中,第一層間介電質(interlayer dielectric, ILD)96分別沉積在第6A圖、第12B圖和第12A圖所示的結構上(第7A圖至第12D圖的製程不會改變第6A圖所示的橫截面)。第一層間介電質96可以由介電材料形成,並且可以透過任何合適的方法(例如,化學氣相沉積、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition, PECVD)或可流動化學氣相沉積)沉積。介電材料可包含磷矽酸鹽玻璃(phospho-silicate glass, PSG)、硼矽酸鹽玻璃(boro-silicate glass, BSG)、摻硼的磷矽酸鹽玻璃(boron-doped phosphor-silicate glass, BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass, USG)等。可以使用透過任何可接受的製程形成的其他絕緣材料。在部分實施例中,接觸蝕刻停止層(contact etch stop layer, CESL)94設置在第一層間介電質96和磊晶源極/汲極區域92、遮罩78和第一間隔物81之間。接觸蝕刻停止層94可以包含介電材料(例如,具有與第一層間介電質96的材料不同的蝕刻速率的氮化矽、氧化矽、氮氧化矽等)。
在第14A圖和第14B圖中,可以執行平坦化製程(例如,化學機械研磨),以使第一層間介電質96的頂表面與虛設閘極76或遮罩78的頂表面齊平。平坦化製程也可以移除虛設閘極76上的遮罩78,以及沿遮罩78側壁之部分的第一間隔物81。在平坦化製程之後,虛設閘極76、第一間隔物81和第一層間介電質96的頂表面在製程變化範圍內是齊平的。因此,虛設閘極76的頂表面透過第一層間介電質96暴露。在部分實施例中,可以保留遮罩78,在這種情況下,平坦化製程使第一層間介電質96的頂表面與遮罩78和第一間隔物81的頂表面齊平。
在第15A圖和第15B圖中,在一個或多個蝕刻步驟中去除虛設閘極76和遮罩78(如果存在的話),從而形成第二凹槽98。第二凹槽98中之部分的虛設閘極介電質71也會被去除。在部分實施例中,透過各向異性乾式蝕刻製程去除虛設閘極76和虛設閘極介電質71。例如,蝕刻製程可以包含使用反應氣體的乾式蝕刻製程,此反應氣體以比蝕刻第一層間介電質96或第一間隔物81更快的速率選擇性地蝕刻虛設閘極76。每個第二凹槽98暴露和/或覆蓋部分的奈米結構55(其在隨後完成的奈米場效應電晶體中作為通道區域)。作為通道區域之部分的奈米結構55設置在磊晶源極/汲極區域92的相鄰對之間。在去除製程中,虛設閘極介電質71可以作為刻蝕虛設閘極76時的刻蝕停止層。然後可以在去除虛設閘極76之後去除虛設閘極介電質71。
在第16A圖和第16B圖中,去除第一區域50A和第二區域50B中的第一奈米結構52,從而在第二奈米結構54和/或鰭片66之間形成開口99。第16A圖是在已經去除第一奈米結構52之後之第15A圖的結構的放大版本,以在下圖中繪示形成替代閘極之更詳細的細節。可以透過各向同性蝕刻製程(例如,濕式蝕刻等)去除第一奈米結構52,其使用對第一奈米結構52的材料具有選擇性的蝕刻劑,而與第一奈米結構52相比,第二奈米結構54、基材50、淺溝槽隔離區域68保持相對地未被蝕刻。在第一奈米結構52包含例如矽鍺(SiGe)而第二奈米結構54包含例如矽(Si)或碳化矽(SiC)的部分實施例中,可以使用四甲基氫氧化銨(tetramethylammonium hydroxide, TMAH)、氫氧化銨(NH 4OH)或類似的物質去除第一奈米結構52。在第一奈米結構52包含例如矽(Si)或矽碳(SiC)而第二奈米結構54包含例如矽鍺(SiGe)部分實施例中,可使用氟化氫、另一種氟基蝕刻劑或類似的物質去除第一奈米結構52。剩餘的第二奈米結構54的厚度可各自在約1奈米和10奈米之間並且在第16A圖中具有在約5奈米和100奈米之間的寬度。
在其他實施例中,第一區域50A和第二區域50B中的第一奈米結構52可以包含不同的材料並且可以被分別地去除,例如,透過在第一區域50A中形成遮罩並使用對第二奈米結構54的材料具有選擇性的蝕刻劑執行各向同性蝕刻製程(例如,濕式蝕刻等),而與第二奈米結構54相比,第一奈米結構52、基材50、淺溝槽隔離區域68保持相對地未被蝕刻。在第一區域50A中之第一奈米結構52的去除可以透過在第二區域50B中形成遮罩並使用對第一奈米結構52的材料具有選擇性的蝕刻劑執行各向同性蝕刻製程(例如,濕式蝕刻等),而與第一奈米結構52相比,第二奈米結構54、基材50、淺溝槽隔離區域68保持相對地未被蝕刻。在其他實施例中,可以先去除第一區域50A和/或第二區域50B中的第二奈米結構54,而第一奈米結構52可以被保留下來以用作相應電晶體的通道區域。第28A圖、第28B圖和第28C圖繪示由這樣的實施例產生的結構,其繪示例如由第一奈米結構52提供之在第一區域50A和第二區域50B中的通道區域。
在第17A圖、第17B圖、第17C圖、第17D圖和第17E圖中,形成閘極介電層100和閘極102以作為替換閘極。第17A圖已被放大以繪示比第16A圖更多的細節。第17A圖更包含第一區域50A和第二區域50B的圖式符號。第18B圖中呈現的圖示可以應用於第一區域50A和第二區域50B。第二區域50B將在後續製程中被去除。閘極介電層100共形地沉積在第二凹槽98和開口99中。閘極介電層100可以形成在基材50的頂表面和側壁上以及第二奈米結構54的頂表面、側壁和底表面上。在第一奈米結構52作為相應電晶體的通道區域的實施例中,閘極介電層100可以形成在基材50的頂表面和側壁上以及在第一奈米結構52的頂表面、側壁和底表面上。閘極介電層100也可以沉積在第一層間介電質96、接觸蝕刻停止層94、第一間隔物81和淺溝槽隔離區域68的頂表面上。
根據部分實施例,閘極介電層100包含一個或多個介電層(例如,氧化物、金屬氧化物等或其組合)。例如,在部分實施例中,閘極介電質可以包含氧化矽層和在氧化矽層上方的金屬氧化物層。在部分實施例中,閘極介電層100包含高介電常數介電材料,並且在這些實施例中,閘極介電層100可以具有大於約7.0的介電常數值,並且可以包含金屬氧化物或鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛及其組合的矽酸鹽。n型區域50N和p型區域50P的閘極介電層100的結構可以相同也可以不同。閘極介電層100的形成方法可以包含分子束沉積(molecular-beam deposition, MBD)、原子層沉積、電漿增強化學氣相沉積等。
閘極102分別沉積在閘極介電層100上,並填充第二凹槽98的剩餘部分。閘極102可以包含含金屬材料(例如,氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、它們的組合或它們的多層)。例如,儘管第17A圖和第17B圖中繪示單層的閘極102,然而閘極102可以包含任意數量的襯墊層、任意數量的功函數調整層和填充材料。構成閘極102之層的任何組合可以沉積在相鄰的第二奈米結構54之間以及在第二奈米結構54A和基材50之間。
第一區域50A和第二區域50B中之閘極介電層100的形成可以同時發生,使得每個區域中的閘極介電層100由相同的材料形成,並且閘極102的形成可以同時發生,使得每個區域中的閘極102由相同的材料形成。在部分實施例中,每個區域中的閘極介電層100可以透過不同的製程形成,使得閘極介電層100可以是不同的材料和/或具有不同的層數,和/或每個區域中的閘極102可以透過不同的製程形成,使得閘極102可以是不同的材料和/或具有不同數量的層。當使用不同的製程時,可以使用各種遮蔽步驟來遮蔽和暴露適當的區域。
在填充第二凹槽98和開口99之後,可以執行諸如化學機械研磨的平坦化製程以去除閘極介電層100和閘極102的材料的多餘部分(此多餘部分在第一層間介電質96的頂表面上)。閘極102和閘極介電層100的材料的剩餘部分因此形成所得奈米結構場效應電晶體的替代閘極結構。閘極102和閘極介電層100可以統稱為「閘極結構」。
第17D圖是沿第17B圖的參考線F17D至F17D的水平橫截面圖,而第17E圖是沿第17B圖的參考線F17E至F17E的水平橫截面圖。如第17D圖所示,對應於第二奈米結構54的通道區域在兩個相鄰的源極/汲極區域92之間延伸。閘極介電層100環繞第二奈米結構54並且閘極102填充開口99的剩餘部分。如第17E圖所示,第一內間隔物90防止源極/汲極區域92接觸閘極介電層100。
在第18A圖、第18B圖和第18C圖中,使閘極結構(包含閘極介電層100和對應的上覆閘極102)凹陷,使得凹槽形成在閘極結構的正上方和第一間隔物81的相對部分之間。將包含一層或多層介電材料(例如,氮化矽、氮氧化矽等)的閘極遮罩104填充在凹槽中,隨後進行平坦化製程以去除延伸超過第一層間介電質96之介電材料的多餘部分。隨後形成的閘極接點(例如,閘極接點124(將在下面關於第26A圖、第26B圖和第26C圖中討論))穿透閘極遮罩104以接觸凹陷之閘極102的頂表面。
如第18A圖、第18B圖和第18C圖進一步所示,第二層間介電質106沉積在第一層間介電質96和閘極遮罩104之上。在部分實施例中,第二層間介電質106是由可流動化學氣相沉積形成之可流動的薄膜。在部分實施例中,第二層間介電質106由諸如磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻硼的磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃等的介電材料形成,並且可以透過諸如化學氣相沉積、電漿增強化學氣相沉積等的任何合適的方法沉積。
第19圖、第20圖、第21圖、第22A圖、第22B圖、第22C圖、第22D圖、第22E圖、第22F圖、第23A圖、第23B圖、第23C圖、第23D圖、第23E圖、第23F圖、第24A圖、第24B圖、第24C圖、第24D圖和第24E圖說明了製程中在第二區域50B中切割閘極並去除閘極結構的中間步驟。這些圖是沿著如第1圖所示的參考橫截面A-A'截取的。具體而言,第19圖、第20圖和第21圖繪示沿著閘極102形成溝槽以將第一區域50A中的閘極102與第二區域50B中的閘極102分開的製程,接著是在溝槽中沉積絕緣材料的製程。第22A圖至22F圖繪示將絕緣材料的一部分轉變為蝕刻遮罩的第一製程中的中間步驟,隨後是去除第二區域50B中的閘極結構的製程。第23A圖至第23F圖繪示將絕緣材料的一部分轉變為蝕刻遮罩的第二製程中的中間步驟,隨後是去除第二區域50B中的閘極結構的製程。第24A圖至第24E圖繪示出如第22A圖至第22F圖或第23A圖至第23F圖所示之已完成的製程的各種視圖。儘管將這些製程繪示為在與源極/汲極區域92和/或閘極102(參見第26A圖、第26B圖和第26C圖)形成接點之前執行,然而應當理解,這些製程可以在與源極/汲極區域92和/或閘極102形成接點之後執行。
實施例在一組電晶體裝置的裝置邊緣處提供邊緣隔離區域。 為了形成邊緣隔離區域,從裝置去除一個或多個閘極結構和鰭片。為了以下的解釋,邊緣隔離區域將形成在第二區域50B中。不是形成用於移除閘極結構的單獨硬遮罩,實施例通過將切割閘極電介質的一部分轉化為硬遮罩來利用切割閘極電介質。這些製程描述如下。
在第19圖中,溝槽107形成在第一區域50A和第二區域50B之間。第一區域50A中的第二奈米結構54附加有「-1」,而第二區域50B中的第二奈米結構54附加有「-2」。可以透過可接受的光刻製程形成溝槽107。如第19圖所示,溝槽107可以延伸穿過第二層間介電質106,穿過閘極遮罩104,穿過閘極介電層100的一部分,並進入淺溝槽隔離區域68。在部分實施例中,溝槽107可以進一步完全地延伸穿過淺溝槽隔離區域68以暴露一部分的基材50。在部分實施例中,溝槽107可以繼續部分地延伸到基材50中。這樣的實施例透過使用虛線來說明。如第19圖所示,溝槽107在橫截面圖中可以具有錐形。在其他實施例中,溝槽107可以具有實質上平行之垂直的側壁。
在第20圖中,介電質襯墊層108沉積在第二層間介電質106的頂部上方。介電質襯墊層108可以透過任何合適的沉積製程(例如,原子層沉積、化學氣相沉積、電漿增強原子層沉積、電漿增強化學氣相沉積等或其組合)沉積。介電質襯墊層108可以包含氮化矽、替代氮化矽、氯氧化矽、碳化矽等或其組合。在部分實施例中,可將介電質襯墊層108沉積到約4奈米和8奈米之間的厚度(例如,約5奈米和6奈米之間的厚度)。
在第21圖中,切割閘極介電質109沉積在介電質襯墊層108上,填充至少一部分的溝槽107。在部分實施例中,部分的溝槽可以具有保留在溝槽107內的氣隙107'。在部分實施例中,可將溝槽填充到切割閘極介電質109的上表面延伸不低於閘極102的上表面的點。在部分實施例中,可將溝槽107填充到切割閘極介電質109的上表面延伸不低於閘極遮罩104的點。在部分實施例中,可將溝槽107填充到切割閘極介電質109的上表面延伸不低於第二層間介電質106的上表面的點。在部分實施例中,可將溝槽107填充切割閘極介電質109的上表面延伸低於閘極102的上表面的點。在部分實施例中,切割閘極介電質109是一個氧化物材料(例如,氧化矽),並且可以透過任何合適的製程沉積(例如,電漿增強化學氣相沉積、電漿增強原子層沉積、四乙氧基矽烷(TEOS)、旋塗等或其組合)。可將切割閘極介電質109沉積為在介電質襯墊層108上方具有約16奈米和25奈米之間(例如,約18奈米和22奈米之間)的厚度,並且在溝槽107中具有約1奈米和10奈米之間(例如,約2奈米和5奈米之間)的側壁厚度。在部分實施例中,沉積製程可以是共形製程,使得當切割閘極介電質109的膜的兩側彼此相遇時,氣隙107'形成在切割閘極介電質109內。在這樣的實施例中,溝槽107的錐形側壁會先在兩側匯合的底部被填充,但是在沉積之後可能會保留有部分的溝槽107(例如,上文所述和第21圖中所示)。
第22A圖至第22F圖繪示根據部分實施例將一部分的切割閘極介電質109轉變為硬遮罩112的處理製程111。在硬遮罩112的轉變之後,硬遮罩112用於保護要被保留之部分的閘極結構,而在第二區域50B之另一部分的閘極結構將透過蝕刻製程被去除。在第22A圖中,處理製程111可以是氨氣處理。將氣態氨提供到處理室(未繪示),在處理室中氣態氨被浸泡到切割閘極介電質109的上表面上。處理製程111的溫度可以在大約300°C和大約500°C之間,壓力可在約2至5托(torr)之間,氨氣的流速可在約200至800公分之間,氮氣的流速可在約10000至20000標準狀態立方公分每分鐘(sccm)之間。可以提供約1000至1500瓦的射頻功率(RF power)以增強處理製程。在處理製程111之後,透過將來自氨氣的氮氣結合到切割閘極介電質109中,氨氣中的氮氣會將切割閘極介電質109的上表面部分地轉變為硬遮罩112。所得的硬遮罩112包含混合成分膜,其可被認為是富氮區域或富氮層。所得硬遮罩112的混合膜可以包含氧化矽、氮化矽和/或氮氧化矽。所得硬遮罩112的厚度可介於約3奈米與7奈米之間(例如,約5奈米)。
在第22B圖中,透過蝕刻製程打開硬遮罩112以在硬遮罩112中產生開口114。可以在光蝕刻製程中使用合適的蝕刻劑來蝕刻硬遮罩112,例如,諸如適用於蝕刻氮化矽的蝕刻劑。硬遮罩112的剩餘部分覆蓋將要被保留之部分的閘極結構(其包含第一區域50A中的閘極結構的那些部分)。
在第22C圖中,開口114延伸穿過切割閘極介電質109、穿過介電質襯墊層108、穿過第二層間介電質106、穿過閘極遮罩104、穿過閘極102、穿過閘極介電層100、穿過第二奈米結構54-1(54A-1、54B-1和54C-1)、進入鰭片66,並進入淺溝槽隔離區域68。在部分實施例中,如第22C圖所示,開口114可以僅部分去除鰭片66和/或淺溝槽隔離區域68的暴露部分,留下鰭片殘餘物66R。在部分實施例中,淺溝槽隔離區域68的另一部分也可以保持圍繞鰭片殘餘物66R的基部。用於蝕刻各個層以延伸開口114的每個蝕刻製程可以使用適合於將要被蝕刻之材料的蝕刻劑來蝕刻。在部分實施例中,由於硬遮罩112具有與某些層相同或相似的材料組成,硬遮罩112可能會在蝕刻期間變薄。例如,介電質襯墊層108可以是氮化矽,其可以具有與硬遮罩112相似的蝕刻速率,因此在蝕刻介電質襯墊層108期間,硬遮罩112與介電質襯墊層108可能會被減薄相似的厚度。在部分實施例中,可以透過延伸開口114的製程去除硬遮罩112,並且使用其他層作為蝕刻遮罩,例如部分的切割閘極介電質109或部分的襯墊層108(用於蝕刻襯墊層108下方的層)等。
在第22D圖中,根據部分實施例,去除鰭片殘餘物66R以及淺溝槽隔離區域68的暴露部分,同時繼續延伸開口114。後續圖將基於第22D圖,但應該可以理解,後續製程亦可使用第22C圖中的結構替代。
第22E圖,邊緣隔離區域116沉積在開口114中。邊緣隔離區域116可以使用任何合適的沉積製程(例如,旋塗、可流動化學氣相沉積、化學氣相沉積、原子層沉積、物理氣相沉積等或其組合)沉積。在部分實施例中,邊緣隔離區域116可以是任何合適的隔離材料(例如,上面關於淺溝槽隔離區域68描述的那些)。在一個實施例中,邊緣隔離區域116的材料可以是聚醯亞胺材料、聚合物或相似物。
在第22F圖中,可以使用諸如化學機械研磨製程、研磨製程、蝕刻製程或其組合的平坦化製程來去除邊緣隔離區域116的上部,並且平坦化邊緣隔離區域116的上表面,使其與第二層間介電質106的上表面齊平。此製程還可以去除在第二層間介電質106的上表面上方水平延伸之部分的介電質襯墊層108、硬遮罩112和切割閘極介電質109。如第22F圖所示,這在第二區域50B中提供了邊緣隔離區域116。在部分實施例中,閘極102的部分102'可以保留在第二區域50B中,閘極102的部分102'不與任何剩餘的導電元件電連接。邊緣隔離區域116的材料的邊緣隔離製品(edge isolation artifact)116'也可以設置在硬遮罩112的相鄰腿部之間或切割閘極介電質109的相鄰腿部之間。此外,介電質襯墊層108、切割閘極介電質109、硬遮罩112和邊緣隔離製品116'可以各自具有與第二層間介電質106和邊緣隔離區域116的上表面齊平的上表面。
第23A圖至第23F圖繪示根據其他實施例之將部分的切割閘極介電質109轉變為硬遮罩112的另一處理製程111。在第23A圖至第23F圖的實施例中,將切割閘極介電質109沉積至約20奈米與約40奈米之間的厚度(例如,約25奈米與35奈米之間)(例如,約30奈米)。在將部分的切割的閘極介電質109轉變為硬遮罩112之後,硬遮罩112用於保護要被保留之部分的閘極結構,而在第二區域50B之另一部分的閘極結構將透過蝕刻製程被去除。
在第23A圖中,處理製程111可以是氮氣電漿。將氮氣提供至處理室(未繪示出)並使其點燃成電漿。用於點燃電漿的能量可以在1x10 -6托至約1x10 -7托之間的壓力下並在約0.3至1.0千電子伏特(kev)之間。氮氣(N 2)在此製程中被激發成氮離子,並被推向切割閘極介電質109,其中氮離子嵌入切割閘極介電質109內。由此產生的劑量可能在1x10 15到1x10 16個原子/平方公分之間。由於激發態,氮離子將集中在切割閘極介電質109表面下方的位置,在切割閘極介電質109表面下方約3奈米至約7奈米(例如,在切割閘極介電質109的表面下方約5奈米)。在佈植氮離子之後,可以使用合適的退火製程對切割閘極介電質109進行退火,從而使氮離子與切割閘極介電質109的材料反應。這發生在硬遮罩112內。部分的切割閘極介電質109(上部109b)保持相對不變,切割閘極介電質109的下部109a也是如此,因為氮離子沒有集中到足以導致部分109a和109b轉變為另一種材料。相反地,所得的硬遮罩112包含混合成分膜,其可被認為是富氮區域或富氮層。硬遮罩112所得的混合膜可以包含氧化矽、氮化矽和/或氮氧化矽。所得硬遮罩112的厚度可介於約3奈米與7奈米之間(例如,約5奈米)。
在第23B圖中,去除切割閘極介電質109的上部109B以暴露出硬遮罩112。可以使用任何合適的製程去除上部109B(例如,化學機械研磨製程、蝕刻製程、研磨製程等)。在部分實施例中,在去除上部109B以暴露出硬遮罩112之後,溝槽107可以繼續具有內襯溝槽107之部分的上部109B。
在第23C圖中,透過蝕刻製程打開硬遮罩112以在硬遮罩112中產生開口114。可以在光圖案化製程中使用合適的蝕刻劑來蝕刻硬遮罩112,例如,諸如適用於蝕刻氮化矽的蝕刻劑。硬遮罩112的剩餘部分覆蓋將要被保留之部分的閘極結構(其包含第一區域50A中的閘極結構的那些部分)。
在第23D圖中,開口114延伸穿過切割閘極介電質109的下部109a、穿過介電質襯墊層108、穿過第二層間介電質106、穿過閘極遮罩104、穿過閘極102、穿過閘極介電層100,透過第二奈米結構54-1(54A-1、54B-1和54C-1),進入鰭片66,並進入淺溝槽隔離區域68。蝕刻製程還可以去除溝槽107中切割閘極介電質109之剩餘的上部109b。在部分實施例中,例如第23D圖中所示,開口114可以僅部分地去除鰭片66和/或淺溝槽隔離區域68的暴露部分,留下鰭片殘餘物66R。在部分實施例中,淺溝槽隔離區域68的另一部分也可以保持圍繞鰭片殘餘物66R的基部。用於蝕刻各個層以延伸開口114的每個蝕刻製程可以使用適合於將要被蝕刻的材料的蝕刻劑來蝕刻。在部分實施例中,由於硬遮罩112具有與某些層相同或相似的材料組成,硬遮罩112可能會在蝕刻期間變薄。例如,介電質襯墊層108可以是氮化矽,其可具有與硬遮罩112相似的蝕刻速率,因此在蝕刻介電質襯墊層108期間,硬遮罩112與介電質襯墊層108可能會被減薄相似的厚度。在部分實施例中,可以透過延伸開口114的製程去除硬遮罩112,並且使用其他層作為蝕刻遮罩(例如,部分的切割閘極介電質109或部分的襯墊層108(用於蝕刻襯墊層108下方的層)等)。
在第23E圖中,根據部分實施例,去除鰭片殘餘物66R以及淺溝槽隔離區域68的暴露部分,同時繼續延伸開口114。後續圖將基於第23E圖,但是應該可以理解,後續製程亦可使用第23D圖中的結構替代。
第23F圖,邊緣隔離區域116沉積在開口114中。邊緣隔離區域116可以使用任何合適的沉積製程(例如,旋塗、可流動化學氣相沉積、化學氣相沉積、原子層沉積、物理氣相沉積等或者它們的組合)來沉積。在部分實施例中,邊緣隔離區域116可以是任何合適的隔離材料(例如,上面關於淺溝槽隔離區域68描述的那些)。在一個實施例中,邊緣隔離區域116的材料可以是聚醯亞胺材料、聚合物或相似物。
在第24A圖、第24B圖、第24C圖、第24D圖和第24E圖中,可以在第23F圖的結構上使用諸如化學機械研磨製程、研磨製程、蝕刻製程或其組合的平坦化製程以去除邊緣隔離區域116的上部,並平坦化邊緣隔離區域116的上表面,使其與第二層間介電質106的上表面齊平。此製程還可以去除在第二層間介電質106的上表面上方水平延伸之部分的介電質襯墊層108、硬遮罩112和切割閘極介電質109。例如,如第24A圖所示,這在第二區域50B中提供了邊緣隔離區域116。在部分實施例中,閘極102的一部分102'可以保留在第二區域50B中。在這樣的實施例中,閘極102的部分102'可以不電連接到任何剩餘的導電元件。邊緣隔離區域116的材料的邊緣隔離製品116'也可以設置在硬遮罩112的相鄰腿部之間或切割閘極介電質109的相鄰腿部之間。邊緣隔離製品的厚度116t'可以介於約20奈米至30奈米之間(例如,約25奈米)。邊緣隔離製品116'下方的硬遮罩112的厚度112t可以在大約3奈米至8奈米之間(例如,大約5奈米)。(相同的範圍也適用於第22F圖的邊緣隔離製品116t和硬遮罩112。)此外,介電質襯墊層108、切割閘極介電質109、硬遮罩112和邊緣隔離製品116'可以各自具有與第二層間介電質106和邊緣隔離區域116的上表面齊平的上表面。
第24B圖、第24C圖、第24D圖、第24E圖中所示的結構也適用於第24A圖和第22F圖中的結構。第24C圖繪示沿著第1圖的參考橫截面B-B'穿過第二區域50B的橫截面,其遵循留下鰭片殘餘物66R的製程(例如,上面關於第22C圖和第23D圖所說明的)。第24D圖繪示沿著第1圖的參考橫截面B-B'穿過第二區域50B的橫截面,其遵循將第二區域50B中的結構去除到基材50中的製程(例如,上面關於第22D圖和第23E圖所說明的)。
在第25A圖、第25B圖和第25C圖中,蝕刻第二層間介電質106、第一層間介電質96、接觸蝕刻停止層94和閘極遮罩104以形成暴露磊晶源極/汲極區域92和/或閘極結構的表面的第三凹槽120。第三凹槽120可以透過各向異性刻蝕製程(例如,反應離子蝕刻、中性束蝕刻等)刻蝕形成。在部分實施例中,第三凹槽120可以使用第一蝕刻製程蝕刻穿過第二層間介電質106和第一層間介電質96;可以使用第二蝕刻製程蝕刻穿過閘極遮罩104;然後可以使用第三蝕刻製程蝕刻穿過接觸蝕刻停止層94。可以在第二層間介電質106上方形成並圖案化諸如光阻劑的遮罩以在第一蝕刻製程和第二蝕刻製程時遮蔽部分的第二層間介電質106。在部分實施例中,刻蝕製程可能會過蝕刻,因此,第三凹槽120會延伸到磊晶源極/汲極區域92和/或閘極結構中,並且第三凹槽120的底部可以齊平(例如,在相同的水平上,或與基材具有相同的距離)於或低於(例如,更靠近基材)磊晶源極/汲極區域92和/或閘極結構。儘管第25B圖將第三凹槽120繪示為在同一橫截面中暴露磊晶源極/汲極區域92和閘極結構(例如,閘極102),但是在其它實施例中,磊晶源極/汲極區域92和閘極結構可以暴露在不同的橫截面中,從而降低隨後形成之接觸短路的風險。在形成第三凹槽120之後,在磊晶源極/汲極區域92上方形成矽化物區域122。在部分實施例中,透過先沉積能夠與下面的磊晶源極/汲極區域92的半導體材料(例如,矽、矽鍺、鍺)反應的金屬(未繪示出)(例如,鎳、鈷、鈦、鉭、鉑、鎢、其他貴重金屬、其他難熔金屬、稀土金屬或它們的合金),以在磊晶源極/汲極區域92的暴露部分上方形成矽化物或鍺化物區域,然後執行熱退火製程以形成矽化物區域122。然後例如透過蝕刻製程去除沉積金屬之未反應的部分。儘管矽化物區域122被稱為矽化物區域,但是矽化物區域122也可以是鍺化物區域或矽鍺化物區域(例如,包含矽化物和鍺化物的區域)。在一個實施例中,矽化物區域122包含矽化鈦(TiSi),並且具有在大約2奈米和大約10奈米之間的範圍內的厚度。
接著,在第26A圖、第26B圖和第26C圖中,在第三凹槽120中形成接點124和126(也可稱為接點插塞)。接點124和126可各自包含一層或多層(例如,作為阻擋層、擴散層和填充材料)。例如,在部分實施例中,接點124和126各自包含阻擋層和導電材料,並且電耦合到下面的導電特徵(例如,所示實施例中的閘極102和/或矽化物區域122)。電連接至閘極102的接點124可稱為閘極接點,電連接至矽化物區域122的接點126可稱為源極/汲極接點。阻擋層可以包含鈦、氮化鈦、鉭、氮化鉭等。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可以執行諸如化學機械研磨的平坦化製程以從第二層間介電質106的表面去除多餘的材料。
第27B圖說明了沿掃描線119(如第27A圖所示)的氮氣濃度圖。第27A圖繪示的實施例類似於第26A圖,除了溝槽107沒有那麼深以外。因此,硬遮罩112從切割閘極介電質109區域的頂表面延伸。在第26A圖和第28A圖(如下所述)中說明了掃描線119,並且在第27C圖中提供氮氣濃度圖。如第27B圖所示,在硬遮罩112的表面(切割閘極介電質109的上表面)的氮氣濃度可能有很多的氮氣濃度含量。在部分實施例中,氮氣濃度可以在大約1E15 cm 3和1E16 cm 3之間。隨著掃描線119變得更深,氮氣濃度迅速減少到微量(可忽略的量)(這樣的量稱為零)。在部分實施例中,梯度氮氣濃度可以從其峰值變為約3奈米至5奈米的微量。在第27C圖中,硬遮罩112的氮氣濃度被嵌入掃描線119中,因此沿著掃描線119可觀察到氮氣的正梯度、平台區和負梯度。在部分實施例中,正梯度可在約20奈米到30奈米內表現出從微量增加到峰值,平台區可持續3奈米到8奈米,負梯度可在約1奈米到2奈米內表現出從峰值減少到微量。
第28A圖、第28B圖、第28C圖、第28D圖和第28E圖繪示根據部分其他實施例之裝置的橫截面圖。第28A圖說明第1圖中繪示的參考橫截面A-A'。第28B圖說明第1圖中繪示的參考橫截面B-B'。第28D圖說明第1圖中繪示的參考橫截面D-D'。第28C圖說明第1圖中繪示的參考橫截面C-C'。第28A圖至第28E圖中,相同的圖示符號表示透過與第26A圖至第26C圖的結構相同的製程形成之相同的元件。然而,在第28A圖至第28E圖中,第一區域50A和第二區域50B中的通道區域是利用可以包含矽鍺的第一奈米結構52作為第一區域50A中的通道區域形成的。第28A圖至第28E圖的結構可以例如透過去除第一區域50A中的第二奈米結構並在第一區域50A中的第一奈米結構52上形成閘極介電層100和閘極102來形成。在部分實施例中,可以形成以第一奈米結構52作為通道區域的一些裝置,以及以第二奈米結構54作為通道區域的一些裝置。這樣的實施例可以使用遮罩來保護或暴露區域,以發生如本文所述之沉積和蝕刻。
在第29圖中,以三維視圖繪示鰭式場效應電晶體(FinFET)。在第29圖的鰭式場效應電晶體上提供參考線(請對照第30A圖和第30B圖參考這些參考線)。相同的元件將使用與上面關於奈米場效應電晶體所討論之相同的圖示符號標記。第29圖的鰭式場效應電晶體包含具有從基材垂直延伸之鰭片66的基材50。鰭片66的頂部在閘極102和閘極介電層100下方具有通道區域(例如第二奈米結構54)。源極/汲極區域92設置在閘極102的每一側上之鰭片66的凹槽部分中,其中鰭片66的凹槽部分已經重新生長有源極/汲極區域92。淺溝槽隔離區域68圍繞鰭片66的基部。
在第30A圖和第30B圖中,繪示鰭式場效應電晶體裝置在經歷處理和邊緣蝕刻製程(如上面所述之)之後的圖示。此處理可對應於第22A圖的處理製程111或第24A圖的處理製程111。在閘極介電層100上方形成閘極102之後,可以切割閘極並且在切割閘極中沉積切割閘極介電質109。在部分實施例中可以保留部分102'。在部分實施例中也可以保留鰭片的殘餘物66R,而在其他實施例中,可以去除向下進入基材50的結構。提供對應於關於第27B圖和/或第27C圖所示的梯度的掃描線119。
用於形成第30A圖和30B的結構的處理製程可以將部分的切割閘極介電質109轉變為用於保護期望被保留的第一區域的硬遮罩,同時去除邊緣部分並用邊緣隔離區域116代替它。
本揭露的實施例有利地利用處理製程來將一部分的介電質填充材料轉變為硬遮罩。將介電質填充材料轉變為硬遮罩(而不是去除一部分的介電質填充材料並用一系列遮罩代替它)可降低形成這種裝置的成本和復雜性。閘極材料可能會被去除,並且最終裝置的邊緣會被設置。處理製程可包含氨氣浸泡製程或氮氣電漿處理製程。氨氣浸泡製程可將一部分的介電質填充材料轉變為在介電質填充材料上表面處的硬遮罩。電漿處理製程可以在氮離子佈植和活化之後將介電質填充材料的中間部分轉變為硬遮罩。所產生的切割區域沿切割線在轉變的硬遮罩中具有氮氣濃度梯度。
一個實施例是一種方法,其包含在第一電晶體的第一閘極區域和第二閘極區域之間形成凹槽,此凹槽將第一閘極區域與第二閘極區域電分離。此方法更包含在凹槽中以及在第一閘極區域和第二閘極區域的上表面上方沉積介電質襯墊。此方法更包含在凹槽中以及在第一閘極區域和第二閘極區域的上表面上方沉積介電質填充材料。此方法更包含透過第一處理製程處理介電質填充材料,第一處理製程改變一部分的介電質填充材料,以在介電質填充材料中形成富氮層。此方法更包含圖案化富氮層以作為蝕刻遮罩。此方法更包含蝕刻第二閘極區域以去除第一電晶體的第二閘極區域。在一個實施例中,富氮層形成在介電質填充材料的上表面下方,並且此方法可以包含:平坦化介電質填充材料的上表面以暴露富氮層。在一個實施例中,第一處理製程包含氨氣浸泡。在一個實施例中,刻蝕第二閘極區域以形成與第一閘極區域相鄰的第二凹槽,並且此方法可以包含:在第二凹槽中形成隔離區域。在一個實施例中,沉積介電質填充材料在第一閘極區域和第二閘極區域之間的介電質填充材料中形成氣隙。在一個實施例中,形成凹槽可以包含蝕刻穿過金屬閘極並蝕刻到設置在金屬閘極下方的第一淺溝槽隔離區域中。在一個實施例中,此方法可以包含:在第一處理製程之後,對介電質填充材料進行退火,將富氮層掩埋在介電質填充材料的上表面之下。
另一個實施例是一種方法,其包含用金屬閘極堆疊取代虛設閘極。此方法更包含圖案化金屬閘極堆疊以形成將金屬閘極堆疊分隔成第一閘極堆疊和第二閘極堆疊的凹槽。此方法更包含在第一閘極堆疊和第二閘極堆疊上方沉積第一遮罩,第一遮罩至少部分地填充凹槽。此方法更包含透過處理製程處理第一遮罩,此處理製程在第一遮罩中形成富氮區域。此方法更包含圖案化它們的富氮區域以去除第二閘極堆疊上方之一部分的富氮區域。此方法更包含使用富氮區域作為蝕刻遮罩,蝕刻掉第二閘極堆疊和對應的通道區域。在一個實施例中,處理製程可以包含:將氮離子佈植到第一遮罩中;對第一遮罩進行退火以活化氮離子並形成富氮區域。在一個實施例中,富氮區域介於第一遮罩的上部和第一遮罩的下部之間。在一個實施例中,此方法可以包含:平坦化第一遮罩的上部以暴露富氮區域。在一個實施例中,第一遮罩部分地填充凹槽,凹槽中的第一遮罩的上表面位於金屬閘極堆疊的上表面下方。在一個實施例中,此方法可以包含:沉積隔離區域來代替第二閘極堆疊。在一個實施例中,蝕刻掉第二閘極堆疊和對應的通道區域還可以包含去除第二閘極堆疊下方之至少一部分的淺溝槽隔離區域和被淺溝槽隔離區域包圍之至少一部分的半導體鰭片。在一個實施例中,凹槽延伸於在金屬閘極堆疊下方的淺溝槽隔離區域中。
另一個實施例是一種裝置,其包含設置在電晶體的通道區域上的電晶體的閘極區域,此通道區域設置在半導體鰭片上方。此裝置更包含設置在通道區域下方並圍繞半導體鰭片的基部的第一隔離區域。此裝置更包含設置在閘極區域和第三隔離區域之間的第二隔離區域,第二隔離區域中設置有一個或多個氣隙,並且第二隔離區域的上表面具有富氮部分。在一個實施例中,富氮部分具有從第二隔離區域的上表面向第二隔離區域的下表面垂直延伸的負梯度。在一個實施例中,第三隔離區域設置在第二半導體鰭片的殘餘物之上。在一個實施例中,第二隔離區域在第一隔離區域的上表面下方延伸。在一個實施例中,閘極金屬介於第二隔離區域和第三隔離區域之間。
以上概述了幾個實施例的特徵,以便本領域具普通知識者可以更好地理解本揭露的各方面。本領域具普通知識者應該理解,他們可以容易地使用本揭露作為設計或修改其他製程和結構的基礎,以實現與本揭露介紹的實施例相同的目的和/或實現相同的益處。本領域具普通知識者也應該理解,這樣的等同結構並不脫離本揭露的精神和範圍,並且他們可以在不脫離本揭露的精神和範圍的情況下對其進行各種更改、替換和更改。
20:分隔物 50:基材 50A:區域 50B:區域 50N:n型區域 50P:p型區域 51:第一半導體層 51A:第一半導體層 51B:第一半導體層 51C:第一半導體層 52:第一奈米結構 52A:第一奈米結構 52A-1:第一奈米結構 52B:第一奈米結構 52B-1:第一奈米結構 52C:第一奈米結構 52C-1:第一奈米結構 53:第二半導體層 53A:第二半導體層 53B:第二半導體層 53C:第二半導體層 54:第二奈米結構 54A:第二奈米結構 54B:第二奈米結構 54C:第二奈米結構 54-1:第二奈米結構 54A-1:第二奈米結構 54B-1:第二奈米結構 54C-1:第二奈米結構 54A-2:第二奈米結構 54B-2:第二奈米結構 54C-2:第二奈米結構 55:奈米結構 64:多層堆疊 66:鰭片 66R:殘餘物 68:隔離區域 70:虛設介電層 71:虛設閘極介電質 72:虛設閘極層 74:遮罩層 76:虛設閘極 78:遮罩 80:第一間隔物層 81:第一間隔物 82:第二間隔物層 83:第二間隔物 86:第一凹槽 88:側壁凹槽 90:第一內間隔物 92:源極/汲極區域 92A:半導體材料層 92B:半導體材料層 92C:半導體材料層 94:接觸蝕刻停止層 96:第一層間介電質 98:第二凹槽 99:開口 100:閘極介電層 102:閘極 102':部分 104:閘極遮罩 106:第二層間介電質 107:溝槽 107':氣隙 108:襯墊層 109:切割閘極介電質 109a:下部 109b:上部 109B:上部 111:處理製程 112:硬遮罩 112t:厚度 114:開口 116:邊緣隔離區域 116':邊緣隔離製品 116t:厚度 116t':厚度 119:掃描線 120:第三凹槽 122:矽化物區域 124:接點 126:接點 A-A:橫截面 A-A':橫截面 B-B:橫截面 B-B':橫截面 C-C:橫截面 C-C':橫截面 D-D':橫截面 F17D:參考線 F17E:參考線
當結合附圖閱讀時,根據以下詳細描述可以最好地理解本揭露的方面。應理解,根據業界的標準做法,各特徵並未按比例繪製。事實上,為了討論的清楚起見,可以任意地增加或減少各種特徵的尺寸。 第1圖繪示根據部分實施例在三維視圖中奈米結構場效應電晶體(nanostructure field-effect transistor, nano-FET)的示例。 第2圖、第3圖、第4圖、第5圖、第6A圖、第6B圖、第7A圖、第7B圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第11A圖、第11B圖、第11C圖、第12A圖、第12B圖、第12C圖、第12D圖、第13A圖、第13B圖、第13C圖、第14A圖、第14B圖、第15A圖、第15B圖、第16A圖、第16B圖、第17A圖、第17B圖、第17C圖、第17D圖、第17E圖、第18A圖、第18B圖、第18C圖、第19圖、第20圖、第21圖、第22A圖、第22B圖、第22C圖、第22D圖、第22E圖、第22F圖、第23A圖、第23B圖、第23C圖、第23D圖、第23E圖、第23F圖、第24A圖、第24B圖、第24C圖、第24D圖、第24E圖、第25A圖、第25B圖、第25C圖、第26A圖、第26B圖、第26C圖和第27A圖是根據部分實施例之製造奈米結構場效應電晶體的中間階段的橫截面圖。 第27B圖和第27C圖繪示根據部分實施例之沿著切割閘極介電質的厚度方向的摻雜劑濃度分佈。 第28A圖、第28B圖、第28C圖、第28D圖和第28E圖是根據部分實施例之奈米結構場效應電晶體的橫截面圖。 第29圖是根據部分實施例以三維視圖繪示鰭式場效應電晶體(fin field-effect transistor, FinFET)的示例。 第30A圖和第30B圖繪示根據部分實施例之鰭式場效應電晶體的示例。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
50:基材
50A:第一區域
50B:第二區域
54A-1:第二奈米結構
54B-1:第二奈米結構
54C-1:第二奈米結構
66:鰭片
68:隔離區域
100:閘極介電層
102:閘極
104:閘極遮罩
106:第二層間介電質
107':氣隙
108:襯墊層
109:切割閘極介電質
112:硬遮罩
116:邊緣隔離區域
116':邊緣隔離製品
119:掃描線
124:接點

Claims (20)

  1. 一種半導體裝置的形成方法,包含: 形成一凹槽於一第一電晶體的一第一閘極區域和一第二閘極區域之間,該凹槽將該第一閘極區域與該第二閘極區域電分離; 沉積一介電質襯墊在該凹槽中以及在該第一閘極區域和該第二閘極區域的一上表面上方; 沉積一介電質填充材料在該凹槽中以及在該第一閘極區域和該第二閘極區域的一上表面上方; 透過一第一處理製程處理該介電質填充材料,該第一處理製程改變該介電質填充材料的一部分,以在該介電質填充材料中形成一富氮層; 圖案化該富氮層以作為一蝕刻遮罩;以及 蝕刻該第二閘極區域以移除該第一電晶體的該第二閘極區域。
  2. 根據請求項1所述的方法,其中該富氮層形成在該介電質填充材料的一上表面下方,更包含: 平坦化該介電質填充材料的該上表面以暴露該富氮層。
  3. 根據請求項1所述的方法,其中該第一處理製程包含一氨氣浸泡。
  4. 根據請求項1所述的方法,其中蝕刻該第二閘極區域形成鄰近該第一閘極區域的一第二凹槽,更包含: 形成一隔離區域於該第二凹槽中。
  5. 根據請求項1所述的方法,其中沉積該介電質填充材料會在該第一閘極區域和該第二閘極區域之間的該介電質填充材料中形成一氣隙。
  6. 根據請求項1所述的方法,其中形成該凹槽包含蝕刻穿過一金屬閘極並蝕刻到設置在該金屬閘極下方的一第一淺溝槽隔離區域中。
  7. 根據請求項1所述的方法,更包含: 在該第一處理製程之後,退火該介電質填充材料,將該富氮層掩埋在該介電質填充材料的一上表面之下。
  8. 一種半導體裝置的形成方法,包含: 用一金屬閘極堆疊取代一虛設閘極; 圖案化該金屬閘極堆疊以形成將該金屬閘極堆疊分隔成一第一閘極堆疊和一第二閘極堆疊的一凹槽; 沉積一第一遮罩在該第一閘極堆疊和該第二閘極堆疊之上,該第一遮罩至少部分地填充該凹槽; 透過一處理製程處理該第一遮罩,該處理製程在該第一遮罩中形成一富氮區域; 圖案化該富氮區域以移除該第二閘極堆疊上方之一部分的該富氮區域;以及 使用該富氮區域作為一刻蝕遮罩,刻蝕掉該第二閘極堆疊和一對應的通道區域。
  9. 根據請求項8所述的方法,其中該處理製程包含: 將複數個氮離子佈植到該第一遮罩中;以及 退火該第一遮罩以活化該些氮離子並形成該富氮區域。
  10. 根據請求項9所述的方法,其中該富氮區域介於該第一遮罩的一上部與該第一遮罩的一下部之間。
  11. 根據請求項10所述的方法,更包含: 平坦化該第一遮罩的該上部以暴露該富氮區域。
  12. 根據請求項8所述的方法,其中該第一遮罩部分地填充該凹槽,該凹槽中之該第一遮罩的一上表面在該金屬閘極堆疊的該上表面下方。
  13. 根據請求項8所述的方法,更包含: 沉積一隔離區域以代替該第二閘極堆疊。
  14. 根據請求項8所述的方法,其中蝕刻掉該第二閘極堆疊和該對應的通道區域進一步包含去除該第二閘極堆疊下方的一淺溝槽隔離區域的至少一部分和被該淺溝槽隔離區域包圍的一半導體鰭片的至少一部分。
  15. 根據請求項8所述的方法,其中該凹槽延伸到該金屬閘極堆疊下方的一淺溝槽隔離區域中。
  16. 一種半導體裝置,包含: 一電晶體的一閘極區域,設置在該電晶體的一通道區域上,該通道區域設置在一半導體鰭片上方; 一第一隔離區域,設置在該通道區域下方並圍繞該半導體鰭片的一基部;以及 一第二隔離區域,設置在該閘極區域和一第三隔離區域之間,該第二隔離區域中設置有一個或複數個氣隙,該第二隔離區域的一上表面具有一富氮部分。
  17. 根據請求項16所述的裝置,其中該富氮部分具有從該第二隔離區域的一上表面向該第二隔離區域的一下表面垂直延伸的一負梯度。
  18. 根據請求項16所述的裝置,其中該第三隔離區域設置於一第二半導體鰭片的一殘餘物上方。
  19. 根據請求項16所述的裝置,其中該第二隔離區域延伸於該第一隔離區域的一上表面下方。
  20. 根據請求項16所述的裝置,其中一閘極金屬介於該第二隔離區域與該第三隔離區域之間。
TW112120382A 2022-09-30 2023-05-31 半導體裝置及其形成方法 TW202416360A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US63/411,992 2022-09-30
US18/151,792 2023-01-09

Publications (1)

Publication Number Publication Date
TW202416360A true TW202416360A (zh) 2024-04-16

Family

ID=

Similar Documents

Publication Publication Date Title
US11532479B2 (en) Cut metal gate refill with void
US20230387246A1 (en) Methods of forming gate structures with uniform gate length
US20240145250A1 (en) Replacement Gate Methods That Include Treating Spacers to Widen Gate
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
US20240154016A1 (en) Transistor Gates and Methods of Forming
US20240145543A1 (en) Semiconductor device and method of forming the same
US11728223B2 (en) Semiconductor device and methods of manufacture
US11923432B2 (en) Semiconductor device and method
US20220384617A1 (en) Semiconductor Device and Method
US20220352338A1 (en) Contacts for Semiconductor Devices and Methods of Forming the Same
KR102613747B1 (ko) 반도체 디바이스 및 방법
TW202416360A (zh) 半導體裝置及其形成方法
US20240113164A1 (en) Film modification for gate cut process
TWI789779B (zh) 電晶體及形成源極/汲極區域的方法
TWI821698B (zh) 半導體元件及其製造方法
TWI815623B (zh) 奈米結構場效電晶體裝置及其形成方法
KR102669042B1 (ko) 소스/드레인 영역들 및 그 형성 방법들
TWI805326B (zh) 半導體裝置和製造半導體裝置的方法
US20240021619A1 (en) Finfet device and method
US20220020865A1 (en) Multilayer Masking Layer and Method of Forming Same
US20230369452A1 (en) Semiconductor device structure and methods of forming the same
US20230155002A1 (en) Metal gate fin electrode structure and method
CN117457581A (zh) 半导体装置及其形成方法
TW202410163A (zh) 奈米結構場效電晶體及其製造方法