TWI782402B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI782402B
TWI782402B TW110102942A TW110102942A TWI782402B TW I782402 B TWI782402 B TW I782402B TW 110102942 A TW110102942 A TW 110102942A TW 110102942 A TW110102942 A TW 110102942A TW I782402 B TWI782402 B TW I782402B
Authority
TW
Taiwan
Prior art keywords
layer
forming
fin
dielectric layer
gate
Prior art date
Application number
TW110102942A
Other languages
English (en)
Other versions
TW202145300A (zh
Inventor
高琬貽
張哲豪
盧永誠
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/941,445 external-priority patent/US11757020B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145300A publication Critical patent/TW202145300A/zh
Application granted granted Critical
Publication of TWI782402B publication Critical patent/TWI782402B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種半導體裝置的製造方法,包括形成一鰭片,前述鰭片係自一基底延伸;沿著前述鰭片的相對側壁形成一第一隔離材料;在前述鰭片的上方形成一閘極結構;在前述鰭片中形成一磊晶源極/汲極區,且磊晶源極/汲極區相鄰於前述閘極結構;在前述磊晶源極/汲極區的上方以及前述閘極結構的上方形成一蝕刻停止層;在前述蝕刻停止層的上方形成一保護層,保護層包括氮氧化矽;以及在前述保護層的上方形成一第二隔離材料,其中第二隔離材料的形成係降低了前述保護層的一氮濃度。

Description

半導體裝置及其製造方法
本發明實施例內容是有關於一種半導體裝置及其製造方法,特別是有關於一種在源極/汲極區上設置有保護層的半導體裝置及其製造方法,以增進所製得的半導體裝置的性能。
半導體裝置係使用於各種不同的電子產品應用中,例如個人電腦、手機、數位相機及其他電子設備(electronic equipment)。半導體裝置的製造通常依序透過沉積絕緣層或介電層、導電層及半導體層材料於一半導體基底上方,並利用微影製程(lithography)來對各種不同的材料層進行圖案化,以在半導體基底的上方形成電路部件及元件。
半導體工業經由不斷縮小最小特徵部件尺寸(minimum feature size),其容許更多的部件整合於一給定區域,而可不斷地改進各種不同電子部件(例如,電晶體、二極體、電阻器、電容器等等)的積體密度。然而,當最小特徵部件的尺寸縮小時,也引發了待解決的其他問題。
本發明的一些實施例提供一種半導體裝置的製造方法。此製造方法包括:形成一鰭片,前述鰭片係自一基底延伸;沿著前述鰭片的相對側壁形成一第一隔離材料(first isolation material);在前述鰭片的上方形成一閘極結構(gate structure);在前述鰭片中形成一磊晶源極/汲極區(epitaxial source/drain region),且前述磊晶源極/汲極區相鄰於前述閘極結構;在前述磊晶源極/汲極區的上方以及前述閘極結構的上方形成一蝕刻停止層(etch stop layer);在前述蝕刻停止層的上方形成一保護層,前述保護層包括氮氧化矽;以及在前述保護層的上方形成一第二隔離材料(second isolation material),其中前述第二隔離材料的形成係降低了前述保護層的一氮濃度。
本發明的一些實施例又提供一種半導體裝置的製造方法。此製造方法包括:形成一半導體鰭片,前述半導體鰭片係從一基底突出;在前述半導體鰭片的上方形成一閘極堆疊(gate stack);在前述半導體鰭片上形成一源極/汲極區(source/drain region),且前述源極/汲極區相鄰於前述閘極堆疊;形成一第一介電層(first dielectric layer)延伸於前述源極/汲極區以及前述閘極堆疊的上方;在前述第一介電層的上方形成一第二介電層(second dielectric layer),其中前述第二介電層是與前述第一介電層不同的材料,其中前述第二介電層具有一第一氮原子百分比(first atomic percentage of nitrogen);在前述第二介電層的上方形成一絕緣層,其中前述絕緣層是與前述第二介電層不同的材料;進行一退火製程(anneal process),其中在進行前述退火製程之後,前述第二介電層具有一第二氮原子百分比(second atomic percentage of nitrogen),前述第二氮原子百分比係小於前述第一氮原子百分比;以及在進行前述退火製程之後,形成一導電部件(conductive feature)材料延伸穿過前述絕緣層、前述第二介電層以及前述第一介電層,以接觸前述磊晶源極/汲極區。
本發明的一些實施例提供一種半導體裝置,包括:自一半導體基底延伸的一鰭片;一閘極堆疊(gate stack),位於前述鰭片的上方且沿著前述鰭片的一側壁設置;一閘極間隔物(gate spacer),沿著前述閘極堆疊的一側壁以及前述鰭片的前述側壁設置;一磊晶源極/汲極區(epitaxial source/drain region),位於前述鰭片中且相鄰於前述閘極堆疊;一氮化矽層,係在前述磊晶源極/汲極區的上方以及前述閘極間隔物的上方延伸;一氮氧化矽層,位於前述氮化矽層上;一絕緣層,位於前述氮氧化矽層上;以及一接觸件,係延伸穿過前述絕緣層、前述氮氧化矽層以及前述氮化矽層,以到達前述磊晶源極/汲極區。
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及一第一部件形成於一第二部件之上方或位於其上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
此外,此處可能使用空間上的相關用語,例如「在…之下」、「在…下方」、「下方的」、「在…上方」、「上方的」及其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。裝置可以被轉至其他方位(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
根據各種實施例,係提出一種在一電晶體裝置(例如一鰭式場效電晶體(FinFET))的源極/汲極區(source/drain regions)的上方形成一保護層的方法。根據一些實施例,係提出形成此保護層的中間階段的製造方法之敘述。文中也討論一些實施例的一些變化。保護層可以是一介電層,例如是氮氧化矽,且保護層係形成於一蝕刻停止層的上方。保護層可以減少或避免在後續製程步驟期間對源極/汲極區的損壞。例如,在形成一層間介電層的期間,保護層可以減少或避免源極/汲極區的氧化。保護層的使用還可以允許形成較薄的接觸蝕刻停止層(contact etch stop layer;CESL),而不會增加對源極/汲極區造成損害的風險。在一些情況下,較薄的接觸蝕刻停止層可以允許更容易或更可以再現地形成源極/汲極區接觸件(source/drain contacts)。可以進行一退火製程(annealing process),以降低保護層的氮含量,如此可以更容易的蝕刻保護層。退火製程可以是層間介電層形成製程的一部分。以此方式,源極/汲極區可以被保護層保護,而不會被形成與源極/汲極區接觸的接觸件的製程所影響。再者,保護層允許形成一較薄的接觸蝕刻停止層, 如此可以在較薄的接觸蝕刻停止層上提供更好的金屬接觸窗。
第1圖是根據本發明一些實施例的鰭式場效電晶體(FinFET)的立體圖。鰭式場效電晶體包括在一基底50(例如一半導體基底)上的鰭片52。隔離區(isolation regions)56設置在基底50中,且鰭片52突出於隔離區56的上方且形成於相鄰的隔離區56之間。雖然本文中是將隔離區56描述/示出為與基底50分離,但是在本文中所使用的「基底」一詞可以僅指半導體基底或是包括了隔離區的半導體基底。另外,雖然所繪示的鰭片52和基底50是包含單一的連續的材料,但是鰭片52以及/或基底50可以包含單一材料、或者包含多種材料。在本文中,鰭片52是指在相鄰的隔離區56之間延伸的部分。
一閘極介電層(gate dielectric layer)92係沿著鰭片52的側壁並位於鰭片52的頂面上方設置,且一閘極電極(gate electrode)94位於閘極介電層92的上方。源極/汲極區(source/drain regions)82則設置在鰭片52之相應於閘極介電層92和閘極電極94的相對兩側。第1圖還示出了在後面的圖式中所參照的剖面。參考剖面A-A是沿著閘極電極94的縱軸,並且在例如垂直於鰭式場效電晶體(FinFET)的源極/汲極區82之間的電流方向的方向上延伸。參考剖面 B-B垂直於參考剖面A-A,且參考剖面 B-B是沿著鰭片52的縱軸,並且在例如鰭式場效電晶體(FinFET)的源極/汲極區82之間的電流方向的方向上延伸。參考剖面C-C係平行於參考剖面A-A且延伸通過鰭式場效電晶體(FinFET)的一個源極/汲極區82。為清楚說明,後續圖式係參照這些剖面進行敘述。
以下根據一些實施例,提出使用一閘極後製製程(gate-last process)所形成的鰭式場效電晶體。在一些其他的實施例中,也可以使用閘極先製製程(gate first process) 形成鰭式場效電晶體。再者,一些實施例也考慮了使用於平面裝置例如平面場效電晶體(FET)中的許多方面。
第2-17B圖是根據本發明一些實施例的製造鰭式場效電晶體(FinFET)的多個中間階段的剖面示意圖。除了多個鰭片/FinFETs的結構之外,第2-7圖是基於第1圖的參考剖面A-A而繪示。第8A、9A、10A、11A、12A、13A、14A、15A、16A和17A圖是沿著第1圖中的參考剖面A-A所繪示;而第8B、9B、10B、11B、12B、13B、13C、14B、15B、16B、16C、16D及17B圖是沿著類似於第1圖中的參考剖面B-B所繪示,除了多個鰭片/FinFETs的結構之外。第10C和10D圖是沿著第1圖中的參考剖面C-C所繪示,除了多個鰭片/FinFETs的結構之外。
第2圖中,提供一基底50。基底50可以是一半導體基底,例如一塊狀半導體(bulk semiconductor)、一絕緣層上覆半導體(Semiconductor-On-Insulator;SOI)基底、或其類似物,其可以是已摻雜(如摻雜有p型或n型摻雜物)或未摻雜的基底。基底50可以是一晶圓,例如一矽晶圓。一般而言,一絕緣層上覆半導體(SOI)基底包含形成在一絕緣層上的一層半導體材料。此絕緣層可例如為一埋入式的氧化物(buried oxide,BOX)層、一氧化矽層、或類似物。將上述絕緣層形成於一基底上,上述基底通常是一矽基底或一玻璃基底。亦可使用其他基底,例如多層基底或漸變基底。在一些實施例中,基底50的半導體材料可包含:矽;鍺;一化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;一合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;或上述之組合。
基底50具有一區域50N與一區域50P。區域50N可以用以形成n型裝置(n-type devices),例如n型金屬氧化物半導體(NMOS)電晶體,例如是n型鰭式場效電晶體(n-type FinFETs)。區域50P可以用以形成p型裝置(p-type devices),例如p型金屬氧化物半導體(PMOS)電晶體,例如是p型鰭式場效電晶體(p-type FinFETs)。區域50N可以與區域50P物理性地分離(例如以圖中所示的分隔件51物理性地分離),且區域50N與區域50P之間可能設置有任何數量的裝置部件(例如其他主動裝置、摻雜區、隔離結構等等)。
在第3圖中,在基底50上形成多個鰭片52。鰭片52是半導體條(semiconductor strips)52。在一些實施例中,可在基底50中蝕刻多個溝槽(trenches),以形成鰭片52。上述蝕刻可以是任何可接受的蝕刻製程,例如反應性離子蝕刻(reactive ion etch;RIE)、中性粒子束蝕刻(neutral beam etch;NBE)、類似的蝕刻製程、或前述蝕刻製程之組合。前述蝕刻可以是異向性(anisotropic)蝕刻。
可藉由任何適當的方法將上述鰭片圖案化。例如,可使用一道或多道光學微影製程(photolithography processes)將鰭片圖案化,上述一道或多道光學微影製程包含雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程是結合了光學微影及自對準製程,得以使形成的圖案的節距(pitch)小於使用單一、直接的光學微影製程所能得到的節距。例如,在一實施例中,在一基底的上方形成一犧牲層,並使用一光學微影製程將此犧牲層圖案化。使用一自對準製程(self-aligned process),在上述已圖案化的犧牲層旁邊形成間隔物(spacers)。然後再移除犧牲層,利用留下來的間隔物將上述鰭片圖案化。在一些實施例中,遮罩(或是其他層)可留在鰭片上方。
在第4圖中,在基底50上方且位於相鄰的鰭片52之間形成一絕緣材料54。上述絕緣材料54可以是例如氧化矽的氧化物、氮化物、其類似物、或前述之組合,且可以藉由高密度電漿化學氣相沉積法(high density plasma chemical vapor deposition,HDP-CVD)、流動式化學氣相沉積法(flowable chemical vapor deposition,FCVD)(例如,在一遠距離電漿系統進行一化學氣相沉積類的材料的沉積,並進行後續的固化以使其轉變為另一種材料,例如一氧化物)、其類似方法或上述之組合。上述絕緣材料54亦可使用藉由任何適當的製程形成的其他絕緣材料。在此示例中,絕緣材料54是以流動式化學氣相沉積(FCVD)製程所形成的氧化矽。在形成絕緣材料54之後,可進行一退火製程(anneal process)。在一實施例中,可採用以過量的絕緣材料54覆蓋鰭片52的方式形成絕緣材料54。雖然示例中是繪示一個單層的絕緣材料54,但一些實施例中可使用多層結構的絕緣材料54。例如,在一些實施例中,可先沿著基底50的表面和沿著鰭片52形成一襯墊層(liner)(未繪示)。之後,可於襯墊層上方形成例如上面敘述的一填充材料(fill material)。
在第5圖中,對絕緣材料54進行一移除製程,以移除鰭片52上方多餘的絕緣材料54。在一些實施例中,可使用一平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)、回蝕(etch-back)製程、前述之組合、或類似製程,以進行絕緣材料的移除。平坦化製程可以暴露出遮罩或移除遮罩,以暴露出遮罩或鰭片52的頂面。而完成平坦化製程後,絕緣材料54的頂面例如與鰭片52的頂面與共平面。
在第6圖中,使絕緣材料54下凹以形成淺溝槽隔離區(STI regions)56。下凹絕緣材料54,以使得在區域50N與區域50P中的鰭片52可從相鄰的淺溝槽隔離區56之間突出。再者,淺溝槽隔離區56的頂面可具有如圖所示的一平坦表面、一凸形(convex)表面、一凹形(concave)表面(例如為淺碟凹陷(dishing))、或前述形狀之組合。可藉由適當的蝕刻,將絕緣材料54的頂面形成為平坦表面、凸形表面及/或凹形表面。可使用一可接受的蝕刻製程來形成下凹的淺溝槽隔離區56,例如,使用對於絕緣材料54的材料具有選擇性的蝕刻製程(例如,以比起對鰭片52的材料更快的蝕刻速率對絕緣材料54的材料進行蝕刻)。舉例來說,可經由使用例如氫氟酸(dilute hydrofluoric;dHF)的一適當的蝕刻製程,來進行一氧化物的移除。
關於第2圖至第6圖敘述的製程僅是可以如何形成鰭片52的一個例子。在一些實施例中,可由一磊晶成長製程形成鰭片。例如,可以在基底50的一頂面的上方形成一介電層,可以蝕刻介電層而形成複數個穿過介電層的溝槽,以暴露出下方的基底50。可以在上述溝槽內磊晶成長而形成複數個同質磊晶結構(homoepitaxial structures),以及可以使上述介電層下凹而使得上述同質磊晶結構從介電層突出,以形成複數個鰭片52。再者,在一些實施例中,可以使用異質磊晶結構(heteroepitaxial structures)形成鰭片52。例如,可以使第5圖中的鰭片52下凹,然後可以在凹陷的鰭片位置上方磊晶成長不同於鰭片52的材料。在此些實施例中,鰭片52包含下凹的材料以及在下凹的材料上方磊晶成長的材料。在又另外的其他實施例中,可以在基底50的一頂面的上方形成一介電層,可以蝕穿上述介電層而蝕刻出複數個溝槽。可以使用不同於基底50的材料以在上述溝槽內作磊晶成長而形成複數個異質磊晶結構,以及可以使上述介電層下凹而使得此些異質磊晶結構從介電層突出,以形成多個鰭片52。在一些實施例中,在磊晶成長同質磊晶結構或異質磊晶結構時,所磊晶成長的材料可在成長過程進行原位摻雜(in situ doped),如此可免除之前或之後的佈植步驟,雖然原位摻雜與佈植摻雜也可以一起進行。
再者,在區域50N(例如一NMOS區域)與在區域50P(例如一PMOS區域)磊晶成長不同的材料,可帶來一些優點。在各種實施例中,鰭片52的上部可包含矽鍺(Six Ge1-x ,x可在0至1的範圍之間)、碳化矽、純鍺或實質上的純鍺、一III-V族化合物半導體、一II-VI族化合物半導體、或類似材料。 例如,用以形成III-V族化合物半導體的可取得的材料包含,但不限於,砷化銦(indium arsenide;InAs)、砷化鋁(aluminum arsenide;AlAs)、砷化鎵(gallium arsenide;GaAs)、磷化銦(indium phosphide;InP)、氮化鎵(gallium nitride;GaN)、砷化銦鎵(indium gallium arsenide;InGaAs)、砷化鋁銦(indium aluminum a rsenide;InAlAs)、銻化鎵(gallium antimonide;GaSb)、銻化鋁(aluminum antimonide;AlSb)、磷化鋁(aluminum phosphide;AlP)、磷化鎵(gallium phosphide;GaP)、及類似材料。
再者,在第6圖中,可在鰭片52以及/或基底50中形成適當的井區(未繪示)。在一些實施例中,在區域50N中可形成一P型井區,在區域50P中可形成一N型井區。在一些實施例中,可以在區域50N與區域50P兩者中皆形成一P型井區,或是皆形成一N型井區。
在具有不同井區型態的實施例中,可使用一光阻或其他遮罩(未繪示)來對區域50N與區域50P進行不同的佈植步驟。例如,在區域50N中的鰭片52以及淺溝槽隔離區56的上方形成一光阻。將此光阻圖案化,以暴露出基底50的區域50P,例如暴露出基底50的一PMOS區域。可藉由使用一旋轉塗佈技術(spin-on technique)形成上述光阻,並使用可接受的光學微影技術以將光阻圖案化。在圖案化光阻之後,於區域50P進行n型不純物的佈植,而上述光阻可作為一遮罩,以實質上避免將n型不純物植入例如為一NMOS區域的區域50N。上述n型不純物可以是磷、砷、銻、或類似物質,植入的n型不純物的濃度係等於或小於約1018 cm-3 ,例如在約1016 cm-3 到約1018 cm-3 的範圍內。在上述佈植之後,可藉由例如一可接受的灰化製程(ashing process),以移除上述光阻。
在區域50P的佈植之後,於區域50P中的鰭片52與淺溝槽隔離區56的上方形成一光阻。將此光阻圖案化,以暴露出基底50的區域50N,例如暴露出基底50的NMOS區域。可藉由使用一旋轉塗佈技術形成上述光阻,並使用可接受的光學微影技術而將光阻圖案化。圖案化光阻後,在區域50N進行p型不純物的佈植,而上述光阻可作為遮罩,以實質上避免將p型不純物植入例如為PMOS區域的區域50P。上述p型不純物可以是硼、BF2 、銦、或同類物質。植入的p型不純物的濃度等於或小於約1018 cm-3 ,例如在約1016 cm-3 到約1018 cm-3 的範圍內。在上述佈植之後,例如藉由一可接受的灰化製程,移除上述光阻。
在對區域50N與區域50P進行離子佈植之後,可進行一退火製程,以修復佈植造成的損傷以及將已被植入的上述p型不純物及/或n型不純物活化。在一些實施例中,磊晶的鰭片的成長材料可以在成長的過程中被原位摻雜(in situ doped),如此可以免除上述離子佈植,然而,原位摻雜與離子佈植的摻雜也可以一起使用。
在第7圖中,在鰭片52上形成一虛置介電層(dummy dielectric layer)60。虛置介電層60可以是例如氧化矽、氮化矽、上述之組合、或其類似材料,並且依據可接受的技術而被沉積或是熱成長。在虛置介電層60上方形成一虛置閘極層(dummy gate layer)62,且在虛置閘極層62上方形成一遮罩層(mask layer)64。虛置閘極層62可沉積在虛置介電層60的上方,然後例如藉由一化學機械研磨法(CMP)將虛置閘極層62平坦化。遮罩層64可沉積在虛置閘極層62的上方。虛置閘極層62可以包含一導電材料或是一非導電材料,虛置閘極層62可以包含選自由非晶矽、多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物和金屬所組成之群組的材料。可以使用物理氣相沉積法(physical vapor deposition;PVD)、化學氣相沉積法(CVD)、濺鍍、或其他已知或已用來沉積導電材料的技術,以形成虛置閘極層62。虛置閘極層62的材料也可以是相較於隔離區的蝕刻具有一高蝕刻選擇比(high etching selectivity)的其他材料。遮罩層64可包括例如SiN、SiON、或類似物。於此示例中,係形成單層的虛置閘極層62以及單層的遮罩層64橫跨區域50N與區域50P。注意的是,於圖中繪示虛置介電層60只覆蓋鰭片52僅是示例性的說明。在一些實施例中,虛置介電層60的沉積可使得虛置介電層60覆蓋淺溝槽隔離區56,並在虛置閘極層62和淺溝槽隔離區56之間延伸。
第8A至17B圖繪示了製造實施例之裝置的各種附加步驟。第8A至17B圖繪示出了區域50N與區域50P中任一區域的部件。例如,如第8A至17B圖所示的結構可適用於區域50N與區域50P。區域50N與區域50P中的結構之差異(如果有的話)將在每個附圖的內容中描述。
在第8A、8B圖中,可利用可接受的光學微影與蝕刻製程對遮罩層64(見第7圖)進行圖案化,而形成遮罩74。之後,將遮罩74的圖案轉移到虛置閘極層62上。在一些實施例中(未繪示),利用可接受的光學微影與蝕刻製程,也可以將遮罩74的圖案轉移到虛置介電層60,以形成虛置閘極(dummy gates)72。虛置閘極72係覆蓋鰭片52的通道區(channel regions)58。遮罩74的圖案可以用來使各個虛置閘極72與相鄰的虛置閘極物理性地分隔開來。虛置閘極72也可具有與各個磊晶的鰭片52的長度方向(lengthwise direction)基本上垂直的長度方向。
再者,在第8A、8B圖中,可以在虛置閘極72、遮罩74以及/或鰭片52的暴露表面上形成閘極密封間隔物(gate seal spacers)80。可以通過熱氧化或是沉積,之後進行非等向性蝕刻(anisotropic etch),而形成閘極密封間隔物80。閘極密封間隔物80可以是由氧化矽、氮化矽、氮氧化矽、或其類似物而形成。
在形成閘極密封間隔物80之後,可進行佈植而形成輕摻雜源極/汲極(lightly doped source/drain,LDD)區(未特別繪示)。在具有不同裝置型態的實施例中,與前述在第6圖討論的佈植方式類似,可在區域50N上方形成一遮罩,例如以一光阻而形成此遮罩,此時暴露出區域50P,並將適當型態的不純物(例如p型不純物)植入區域50P中暴露出的鰭片52。然後,可將上述遮罩移除。接著,可在區域50P上方形成一遮罩(未繪示),例如以一光阻形成此遮罩,此時曝露出區域50N,並將適當型態的不純物(例如n 型不純物)植入區域50N中暴露出的鰭片52。然後,可將上述遮罩移除。上述n型不純物可以是前述討論的任一種n型不純物,而上述p型不純物可以是前述討論的任一種p型不純物。輕摻雜源極/汲極區可各具有約1015 cm-3 至約1019 cm-3 的不純物濃度。可使用一退火步驟,來修復佈植時所造成的損傷以及活化已經植入的不純物。
在第9A、9B圖中,在閘極密封間隔物80上且沿著虛置閘極72及遮罩74的側壁形成閘極間隔物(gate spacers)86。可藉由順應性地沉積(conformally depositing)一絕緣材料,且之後對此絕緣材料進行非等向性蝕刻,以形成閘極間隔物86。閘極間隔物86的絕緣材料可以是氧化矽、氮化矽、氮氧化矽、氮碳化矽(silicon carbonitride,SiCN)、前述之組合、或其類似物。
注意的是,以上揭露內容大致上描述了形成間隔物和輕摻雜源極/汲極區(LDD regions)的製程。其他的製程和步驟順序也可能可以使用。例如,可以利用更少或額外的間隔物,可以利用不同的步驟順序(例如,可以在形成閘極間隔物86之前不蝕刻閘極密封間隔物80,從而產生“ L形”閘極密封間隔物),可以形成或去除間隔物,以及/或類似內容等等。此外,可以使用不同的結構和步驟來形成n型裝置和p型裝置,例如,可以在形成閘極密封間隔物80之前先形成用於n型裝置的LDD區域,而用於p型裝置的LDD區域則可以在形成閘極密封間隔物80之後才形成。
在第10A、10B圖中,在鰭片52中形成磊晶源極/汲極區82(epitaxial source/drain regions),以對相應的通道區58施加應力(stress),因而改善裝置性能。在鰭片52中形成磊晶源極/汲極區82,使得各個虛置閘極72位於一對相鄰的磊晶源極/汲極區82之間。在一些實施例中,磊晶源極/汲極區82可延伸進入且可以穿過鰭片52。在一些實施例中,可利用閘極間隔物86而使磊晶源極/汲極區82可與虛置閘極72以一適當的側向距離分隔開來,使得磊晶源極/汲極區82不會與後續形成的鰭式場效電晶體(FinFETs)的閘極構成短路。
區域50N例如NMOS區域中的磊晶源極/汲極區82可通過下述方式形成,利用遮蔽住區域50P例如PMOS區域,並對區域50N中的鰭片52的源極/汲極區進行蝕刻,以形成鰭片52中的凹部(recesses)。之後,在區域50N中的此些凹部處磊晶成長磊晶源極/汲極區82。磊晶源極/汲極區82可包含任何可接受的材料,例如適用於n型鰭式場效電晶體的材料。舉例來說,若鰭片52的材料是矽,則區域50N中的磊晶源極/汲極區82可包含能對通道區58施加一拉伸應變(tensile strain)的材料,例如矽、碳化矽(silicon carbide;SiC)、磷摻雜碳化矽、磷化矽(silicon phosphide;SiP)、或其類似物質。區域50N中的磊晶源極/汲極區82所具有的表面可分別高於各鰭片52的表面,且磊晶源極/汲極區82可具有刻面(facets)。
區域50P例如PMOS區域中的磊晶源極/汲極區82可通過下述方式形成,利用遮蔽住區域50N例如NMOS區域,並對區域50P中鰭片52的源極/汲極區進行蝕刻,以形成鰭片52中的凹部。之後,在區域50P中的此些凹部處磊晶成長磊晶源極/汲極區82。磊晶源極/汲極區82可包含任何可接受的材料,例如適用於p型鰭式場效電晶體的材料。舉例來說,若鰭片52為矽,則區域50P中的磊晶源極/汲極區82可包含能對通道區58施加一壓縮應變(compressive strain)的材料,例如矽鍺(silicon-germanium;SiGe)、硼摻雜矽鍺( (boron doped silicon-germanium;SiGeB)、鍺(Ge)、鍺錫(germanium tin;GeSn)、或其類似物質。區域50P中的磊晶源極/汲極區82的表面係可分別高於各鰭片52表面,且磊晶源極/汲極區82可具有刻面。
可對磊晶源極/汲極區82以及/或鰭片52佈植摻雜物,以形成源極/汲極區,其製程類似於前述討論的用以形成輕摻雜源極/汲極區的製程,摻雜後進行退火步驟。上述源極/汲極區可具有從約1019 cm-3 到約1021 cm-3 的範圍的不純物濃度。源極/汲極區的n型不純物以及/或p型不純物可以是如上述討論的任何不純物。在一些實施例中,磊晶源極/汲極區82在磊晶成長期間係進行原位摻雜(in situ doped)。
根據在區域50N與區域50P中進行磊晶製程而形成磊晶源極/汲極區82的結果,磊晶源極/汲極區82的上表面具有刻面,且這些刻面可側向地向外擴展(expand laterally outward)而超過鰭片52的側壁。在一些實施例中,這些刻面使得同一個鰭式場效電晶體(FinFET)的相鄰的磊晶源極/汲極區82合併,如第10C圖所示。在一些其他的實施例中,在磊晶製程完成後,相鄰的磊晶源極/汲極區82仍保持分離,如第10D圖所示。在如第10C圖和第10D圖所示的實施例裡,所形成的閘極間隔物86係覆蓋鰭片52的側壁的一部分,此側壁在淺溝槽隔離區56的上方延伸,從而阻止了磊晶成長。在一些其他的實施例中,可以對於形成閘極間隔物86的間隔物蝕刻進行調整,以去除間隔物材料,以允許磊晶成長的區域延伸到淺溝槽隔離區56的表面。
第11A、11B圖中,係在如第10A、10B圖所示之結構上沉積一接觸蝕刻停止層(contact etch stop layer;CESL layer)以及一保護層(protection layer)100。接觸蝕刻停止層87形成在磊晶源極/汲極區82上,並且在用於形成源極/汲極接觸件112的開口的蝕刻期間係用作一蝕刻停止層(請參照第17A-17B圖)。在後續的製程步驟期間,例如在形成第一層間介電質88期間(參照第12A-12B圖),接觸蝕刻停止層87還可以幫助保護磊晶源極/汲極區82。接觸蝕刻停止層87可以包括一介電材料,例如氮化矽、氧化矽、碳氮氧化矽、其類似材料、或前述材料之組合,並且可以使用原子層沉積(ALD)、化學氣相沉積(CVD)、或另一種合適的製程而形成。接觸蝕刻停止層87的材料可以選擇具有與上方的第一層間介電質88的材料不同的蝕刻速率(見第12A-12B圖)。例如,接觸蝕刻停止層可以是氮化矽,而第一層間介電質88可以是氧化矽,當然也可以使用這些以外的材料。在一些實施例中,接觸蝕刻停止層87可具有介於約2nm與約100nm之間的厚度,然而也可能具有其他厚度。在某些情況下,相對薄的接觸蝕刻停止層87可以允許更容易、更可以控制以及/或更可以再現地蝕刻出用以設置源極/汲極接觸件(source/drain contacts)112的開口。
然後,根據一些實施例,在接觸蝕刻停止層87上方形成保護層100。如先前所述,相對薄的接觸蝕刻停止層87可以允許改善源極/汲極接觸件112的形成。然而,在某些情況下,較薄的接觸蝕刻停止層87對於磊晶源極/汲極區82所提供的保護也減少了。在一些實施例中,在接觸蝕刻停止層87的上方形成保護層100,以在後續的製程步驟中為磊晶源極/汲極區域82提供額外的保護。例如,保護層100可以在第一層間介電質88的形成期間(見第12A-12B圖),保護磊晶源極/汲極區82,使其免於氧化。保護層100的使用可以允許使用相對薄的接觸蝕刻停止層87,而在隨後的製程步驟期間,有較低的磊晶源極/汲極區82被損壞(例如,氧化)的風險。
在一些實施例中,保護層100包括氮氧化矽,其厚度在大約2nm與大約100nm之間的範圍,然而其他厚度也可以適用。再者,例如,保護層100可以包括氮原子百分比在大約1%至大約10%之間的氮氧化矽,或者可以包括氧原子百分比在大約40%至大約80%之間的氮氧化矽。在一些實施例中,在由氮氧化矽形成的保護層100中,氮與氧的比率可以在大約1:6至大約1:70之間。保護層100中,也可以包括其他的成分,或是包括矽、氮或氧的其他比例,並且在某些情況下,保護層100可以包括其他的原子種類,例如碳。在一些實施例中,係進行退火或固化製程(annealing or curing process),此製程減少了保護層100的氮濃度,如下面第12A-12B圖所述。例如,使用一氮氧化矽層作為保護層100,可以在形成包含氧化矽的第一層間介電質88的過程中保護源極/汲極區82(見第12A-12B圖),並且還可以將保護層轉換為成具有與第一層間介電質88(例如,氧化矽)的成分更相似(例如,具有相似的蝕刻速率)的組成的層,因而使得一些後續處理步驟,例如蝕刻,可以更容易的進行。
可以使用例如原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)或類似的製程,來沉積保護層100。例如,在一些實施例中,保護層100是使用一原子層沉積(ALD)製程沉積的一氮氧化矽層,其可以在製程腔室中進行。原子層沉積(ALD可使用合適的氮氧化矽前驅物,例如O2 、O3 、NH3 、H2 O、N2 、N2 H2 、或類似物。在一些實施例中,前驅物可以是以約0.1sccm至約10sccm之間的流速而流動。其他氣體(例如載體氣體)也可以在原子層沉積製程中流動。在一些實施例中,可以通過控制前驅物的流速或持續時間來控制氮氧化矽層中氮或氧的濃度或比例。例如,可以通過增加例如NH3 、N2 、N2 H2 、或類似物的產生氮的前驅物(nitrogen-producing precursors)的流速或持續時間,來增加氮氧化矽層中氮的濃度。可以通過增加例如O2 、H2 O、O3 等的產生氧的前驅物(oxygen-producing precursors)的流速或持續時間,來增加氮氧化矽層中的氧濃度。可以通過控制產生氮的前驅物和產生氧的驅物的相對流速或持續時間,以控制氮氧化矽層中氮與氧的比例。例如,更長的產生氧的前驅物流動的持續時間可以減少所形成的保護層100中的氮的含量(可以參照如下面第18圖的討論)。也可以使用其他製程參數、材料或技術。
第12A、12B圖中,係在如第11A、11B圖所示之結構上沉積一第一層間介電質(first interlayer dielectric;first ILD)88。可使用一介電材料形成第一層間介電質88,且可通過任何適當方法進行沉積,例如化學氣相沉積法(CVD)、電漿輔助化學氣相沉積法(plasma-enhanced CVD,PECVD)或流動式化學氣相沉積(FCVD)。第一層間介電質88所包含的介電材料例如是氧化矽、磷矽玻璃(Phospho-Silicate Glass;PSG)、硼矽酸玻璃(Boro-Silicate Glass;BSG)、硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)、非摻雜的矽玻璃(undoped Silicate Glass;USG)、或其類似物質。例如,在一些實施例中,第一層間介電質88是使用流動式化學氣相沉積法所形成的氧化矽,其中係在一遠距離電漿系統中進行一化學氣相沉積類的材料(CVD-based material)的沉積,並且隨後進行固化以將此沉積材料轉換成一氧化矽材料。在此示例中,絕緣材料54是以流動式化學氣相沉積(FCVD)製程所形成的氧化矽。以任何可接受之製程所形成的其他介電材也可以用來做為第一層間介電質88的材料。
在一些實施例中,進行一退火製程以減少保護層100的氮含量。退火製程可以作為流動式化學氣相沉積(FCVD)中的一固化步驟而進行,或者可以在一FCVD流動式化學氣相沉積(FCVD)步驟以外而另外進行。在進行退火製程之後的保護層100係在第12A-12B圖中顯示為轉換層(converted layer)100’。退火製程可以在一合適的製程腔室(例如,沉積腔室)、烘箱、或類似地點中進行。在一些實施例中,退火製程係在大約400℃至大約1000℃之間的溫度下進行。在一些實施例中,使用一種或多種的製程氣體來進行退火製程,所述製程氣體可以包括氬氣、N2 、H2 、蒸汽、H2 O、O2 、O3 、類似氣體、或前述氣體之組合。在一些實施例中,退火過程可以進行一段時間,例如大約0.5小時至大約4小時的時間。
退火製程可以將保護層100中的氮去除,因此轉化層100'的氮濃度係低於保護層100的氮濃度。在某些情況下,退火製程通過使H2 O與氮氧化矽反應,使氧原子取代氮原子,生成反應產物NH3 以捕獲氮,從而去除氮,可以將反應產物NH3 從處理腔室中清除。例如,在一些實施例中,保護層100是具有第一氮原子百分比的氮氧化矽,而轉換層100’是具有第二氮原子百分比的氮氧化矽,此第二氮原子百分比係小於第一氮原子百分比。在一些實施例中,退火製程可以形成一轉換層100',且此轉換層100'具有小於初始保護層100中的氮含量的一半。例如,轉換層100'所具有的氮含量可以是初始保護層100之氮含量的0%至大約5%。
這在第18圖中示出,其示出了四個保護層的樣品A、B、C和D的氮原子百分比的實驗數據,以及經過退火製程後所轉換而成的相應的樣品A,B,C和D層中的氮原子百分比的實驗數據。如第18圖所示,退火製程減少了保護層100中的氮原子百分比。例如,樣品A的轉化層僅具有初始時存在的氮原子百分比的大約5.6%。類似地,樣品B、C和D的轉化層分別具有在其初始保護層中存在的氮原子百分比的大約15.8%、大約20%和大約47.8%。以這種方式,轉化層所具有的氮原子百分比係小於初始保護層的氮原子百分比的五分之一。在轉化層100’中的氮含量可以視初始保護層100中的氮含量、或退火製程的參數而定。例如,與使用較短持續時間以及/或較低溫度的退火製程相比,持續一較長時間以及/或使用較高溫度的退火製程,可以去除更多的氮。然而這些只是示例,其他結果也是可能的。
另外,第18圖顯示了如何透過控制不同前驅物的暴露狀態來控制保護層100中氮的濃度。例如,相較於形成樣品B、C和D的保護層,形成樣品A的保護層係使用了更長的氧氣前驅物的流動的持續時間。由於氧氣前驅物流動的時間增加,因此樣品A的保護層(以及轉化層)的氮原子百分比在四個樣品中最小。而另一個例子中,樣品D在四個樣品中具有最短的氧氣前驅物流動的持續時間,因此具有最大的氮原子百分比。然而這些是示例,其他結果或是用來控制保護層之組成的其他技術也是可能的。
通過使用退火製程將保護層100轉換為轉換層100',可以減少對保護層100的後續製程步驟的影響。例如,通過使用退火製程降低保護層100的氮含量,可以例如在形成用於源極/汲極接觸件112的開口的製程中,更容易地對於留下的轉換層100'(見第17A-17B圖)進行蝕刻。在某些情況下,通過將保護層100轉換成轉換層100’,保護層100並不會顯著地如同一額外的蝕刻停止層般的作用,因此保留了薄的接觸蝕刻停止層87所具有的優點。以這種方式,即使當使用薄的接觸蝕刻停止層87時,也可以保護磊晶源極/汲極區82免受氧化。例如,第19圖示出了在第一層間介電質88形成之後,幾種原子種類的相對濃度與結構的深度之間的實驗數據。如第19圖所示,磊晶源極/汲極區82內的氧濃度很小,表示由於保護層100(例如,第19圖中的轉換層100')的存在,其氧化減少了。
請再回到第13A、13B圖,藉由一平坦化製程,例如化學機械研磨(CMP),使第一層間介電質88的頂面與虛置閘極72的頂面形成共平面。此平坦化製程亦可移除虛置閘極72上的遮罩74,以及移除沿著遮罩74側壁的一部分的閘極密封間隔物80及一部分的閘極間隔物86。在平坦化製程之後,虛置閘極72、閘極密封間隔物80、閘極間隔物86以及第一層間介電質88的頂面皆形成共平面。因此,虛置閘極72的頂面係自第一層間介電質88而暴露出來。在一些實施例中,可以留下遮罩74,在此種情況下,平坦化製程係使第一層間介電質88的頂面與遮罩74的頂面形成共平面。
在第14A、14B圖中,係通過一或多個蝕刻步驟而去除虛置閘極72,以及若遮罩74存在,亦一併去除遮罩74,因而形成多個凹部90。也可以移除在凹部90中部分的虛置介電層60。在一些實施例中,只有移除虛置閘極72而留下虛置介電層60,使凹部90暴露出虛置介電層60。在一些實施例中,移除在一晶片的第一區域(例如一核心邏輯區域)中的凹部90內的虛置介電層60,而留下在晶片的第二區域(例如一輸入/輸出區域)中的凹部90內的虛置介電層60。在一些實施例中,可利用一非等向性乾式蝕刻製程以移除虛置閘極72。例如,可以使用一種或多種反應氣體的一乾式蝕刻製程進行上述非等向性蝕刻,且反應氣體可選擇性地蝕刻虛置閘極72,但不蝕刻第一層間介電質88或者閘極間隔物86。各凹部90係暴露出及/或覆蓋一相應鰭片52的一個通道區58。各個通道區58是位於一對相鄰的磊晶源極/汲極區82之間。在上述去除的過程中,在蝕刻虛置閘極 72時,可將虛置介電層60作為一蝕刻停止層使用。然後,在去除虛置閘極 72之後,可以選擇性地去除虛置介電層60。
在第15A、15B圖中,形成閘極介電層(gate dielectric layers)92及閘極電極(gate electrodes)94,以作為替換閘極(replacement gates)。第15C圖繪示第15B圖的區域89的詳細示意圖。閘極介電層92是順應性地沉積於凹部90內,例如沉積在鰭片52的頂面與側壁上,以及沉積在閘極密封間隔物80/閘極間隔物86的側壁上。閘極介電層92也可形成於第一層間介電質88的頂面上。根據一些實施例,閘極介電層92包括氧化矽、氮化矽或如上述材料構成的多層結構。在一些實施例中,閘極介電層92包含一高介電常數介電材料,而且在這些實施例中,閘極介電層92可具有大於約7.0的介電常數(k)值,且可包含一金屬氧化物、或者包含鉿(Hf)、鋁(Al)、鋯(Zr)、鑭(La)、鎂(Mg)、鋇(Ba)、鈦(Ti)、鉛(Pb)、或上述之組合的矽酸鹽。閘極介電層92的形成方法可包含分子束沉積(Molecular-Beam Deposition;MBD)、原子層沉積(Atomic Layer Deposition,ALD)、電漿輔助化學氣相沉積(PECVD)、及類似之沉積方式。在部分的虛置介電層60留在凹部90內的實施例中,閘極介電層92包括了虛置介電層60的材料(例如SiO2 )。
接著,分別在閘極介電層92的上方沉積閘極電極94,且閘極電極94填充凹部90的剩餘部分。閘極電極94可包括一含金屬材料,例如氮化鈦(TiN)、氧化鈦、氮化鉭(TaN)、碳化鉭(TaC)、鈷(Co)、釕(Ru)、鋁(Al)、鎢(W)、上述材料之組合、或上述材料形成的多層結構。例如,雖然第15B圖中是繪示單層的閘極電極94,但閘極電極94可以包含任何數目的襯墊層94A、任何數目的功函數調節層(work function tuning layers)94B以及一填充材料 94C,如第15C圖所示。在填充凹部90之後,可進行一平坦化製程,例如化學機械研磨(CMP),以移除閘極介電層92及閘極電極94多餘的部分,此多餘的部分是指在第一層間介電質88的頂面上方的部分。閘極電極94及閘極介電層92的材料所留下的部分,則形成所製造的鰭式場效電晶體的替換閘極。閘極電極94以及閘極介電層92可一起稱為一閘極堆疊(gate stack)。閘極和閘極堆疊可以沿著鰭片52的通道區58的側壁延伸。
在區域50N中與區域50P中所形成的閘極介電層92可以是同時形成的,使得各區域的閘極介電層92是以相同的材料形成;而且閘極電極 94也可以同時形成,使得各區域的閘極電極94也是用相同的材料形成。在一些實施例中,可藉由個別的製程來形成各區域的閘極介電層92,使得各區域的閘極介電層92可以是不同的材料,以及/或可藉由個別的製程來形成各區域的閘極電極94,使得各區域的閘極電極94可以是不同的材料。可使用不同的遮罩步驟,以在進行個別製程時,能以遮罩暴露出適當的區域。
在第16A、16B圖中,在第一層間介電質88的上方沉積第二層間介電質108。在一些實施例中,第二層間介電質108是以一流動式化學氣相沉積形成的一可流動的膜(flowable film)。在一些實施例中,第二層間介電質108是由一介電材料形成,此介電材料例如為磷矽玻璃(PSG)、硼矽酸玻璃(BSG)、硼磷矽玻璃(BPSG)、非摻雜的矽玻璃(USG)、或其類似物質,並可藉由例如化學氣相沉積(CVD)與電漿輔助化學氣相沉積(PECVD)之類的任何適當的方法沉積而成。
根據一些實施例,在形成第二層間介電質108之前,使閘極堆疊(包括閘極介電層92和覆蓋於其上的相應的閘極電極94)下凹,從而在閘極堆疊正上方和在閘極間隔物86的相對部分之間形成一凹部(recess),如第16A和16B圖所示。將包括一層或多層介電材料的一閘極遮罩(gate mask)96填充在凹部中,此些介電材料例如氮化矽、氮氧化矽、或類似材料,然後進行一平坦化製程,以去除在第一層間介電質88上方延伸的介電材料的多餘部分。隨後形成的閘極接觸件(gate contacts)110(第17A和17B圖)係穿過閘極遮罩96,以接觸凹陷的閘極電極94的頂面。
在第17A、17B圖中,根據一些實施例,形成閘極接觸件110及源極/汲極接觸件(source/drain contacts)112,而使其穿透第二層間介電質108及第一層間介電質88。用來設置閘極接觸件110的開口是穿過第二層間介電質108以及閘極遮罩96而形成。用來設置源極/汲極接觸件112的開口是穿過第一層間介電質88、第二層間介電質108以及接觸蝕刻停止層87而形成。此些用來設置源極/汲極接觸件112的開口還延伸穿過轉換層100’(如第17B圖所示)。可以使用可接受的光學微影與蝕刻的技術來形成上述開口。例如,可以使用一個或多個合適的非等向性乾式蝕刻製程而蝕刻出上述開口。在上述開口中形成一襯墊層,襯墊層例如是一擴散阻障層、一黏著層、或其類似層,並且在此些開口中形成一導電材料。上述襯墊層可包含鈦、氮化鈦、鉭、氮化鉭、或其類似材料。上述導電材料可以是銅、一銅合金、銀、金、鎢、鈷、鋁、鎳、或其類似材料。可進行例如一化學機械研磨的一平坦化製程,以從第二層間介電質108的一表面移除多餘的材料。留下的上述襯墊層及導電材料則形成上述開口中的源極/汲極接觸件112與閘極接觸件110。可進行一退火製程,以在磊晶源極/汲極區82以及源極/汲極接觸件112之間的界面形成一矽化物(silicide)。源極/汲極接觸件112是物理性地且電性地耦合於磊晶源極/汲極區82,閘極接觸件110是物理性地且電性地耦合於閘極電極94。可以通過不同製程或相同製程來形成源極/汲極接觸件112及閘極接觸件110。雖然圖式中源極/汲極接觸件112及閘極接觸件110是形成在相同的剖面,但源極/汲極接觸件112及閘極接觸件110也可分別形成在不同的剖面,如此可避免接觸件短路。
本揭露提出的實施例可以實現許多優點。如文中所述,在源極/汲極區的上方使用一保護層,可以減少在隨後的製程步驟期間對源極/汲極區的損壞。例如,保護層可以在形成層間介電層的過程中減少或防止源極/汲極區的氧化。保護層的使用還可以允許形成較薄的接觸蝕刻停止層,而不會增加對源極/汲極區造成損害的風險。在某些情況下,較薄的接觸蝕刻停止層可以允許更容易或更可以再現地形成與源極/汲極區接觸的接觸件。另外,可以進行退火製程,以將保護層轉換為一具有較少含氮量的轉換層(conversion layer)。例如,可以將氮氧化矽的保護層轉換為氧化矽的轉換層,或者是轉換為具有較低氮濃度的一氮氧化矽層。以這種方式降低保護層的氮含量,還可以更容易或更可再現地形成與源極/汲極區接觸的接觸件。
在一實施例中,一種半導體裝置的製造方法包括:形成一鰭片,前述鰭片係自一基底延伸;沿著前述鰭片的相對側壁形成一第一隔離材料(first isolation material);在前述鰭片的上方形成一閘極結構(gate structure);在前述鰭片中形成一磊晶源極/汲極區(epitaxial source/drain region),且前述磊晶源極/汲極區相鄰於前述閘極結構;在前述磊晶源極/汲極區的上方以及前述閘極結構的上方形成一蝕刻停止層(etch stop layer);在前述蝕刻停止層的上方形成一保護層,前述保護層包括氮氧化矽;以及在前述保護層的上方形成一第二隔離材料(second isolation material),其中前述第二隔離材料的形成係降低了前述保護層的一氮濃度。在一實施例中,前述第二隔離材料包括氧化矽。在一實施例中,上述半導體裝置的製造方法中,形成前述保護層係包括使用一原子層沉積(atomic layer deposition,ALD)製程。在一實施例中,上述半導體裝置的製造方法中,在形成前述第二隔離材料之後,前述保護層具有的一氮原子百分比(an atomic percentage of nitrogen)係低於10%。在一實施例中,形成前述第二隔離材料係包括進行一退火製程(anneal process),前述退火製程轉換前述保護層,將氮氧化矽轉換成氧化矽。在一實施例中,前述保護層具有在1 nm到3 nm之間的厚度。在一實施例中,形成前述第二隔離材料係包括使用一流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)。在一實施例中,上述半導體裝置的製造方法更包括形成一開口,前述開口延伸穿過前述第二隔離材料、前述保護層以及前述蝕刻停止層,以暴露出前述磊晶源極/汲極區,以及沉積一導電材料於前述開口中。
根據一實施例,一種半導體裝置的製造方法包括:形成一半導體鰭片,前述半導體鰭片係從一基底突出;在前述半導體鰭片的上方形成一閘極堆疊(gate stack);在前述半導體鰭片上形成一源極/汲極區(source/drain region),且前述源極/汲極區相鄰於前述閘極堆疊;形成一第一介電層(first dielectric layer)延伸於前述源極/汲極區以及前述閘極堆疊的上方;在前述第一介電層的上方形成一第二介電層(second dielectric layer),其中前述第二介電層是與前述第一介電層不同的材料,其中前述第二介電層具有一第一氮原子百分比(first atomic percentage of nitrogen);在前述第二介電層的上方形成一絕緣層,其中前述絕緣層是與前述第二介電層不同的材料;進行一退火製程(anneal process),其中在進行前述退火製程之後,前述第二介電層具有一第二氮原子百分比(second atomic percentage of nitrogen),前述第二氮原子百分比係小於前述第一氮原子百分比;以及在進行前述退火製程之後,形成一導電部件(conductive feature)材料延伸穿過前述絕緣層、前述第二介電層以及前述第一介電層,以接觸前述磊晶源極/汲極區。在一實施例中,前述第一介電層是氮化矽。在一實施例中,前述第一介電層具有在2 nm到100 nm之間的厚度。在一實施例中,前述退火製程係在400 °C至1000 °C之間的一溫度下進行。在一實施例中,前述第二介電層為氮氧化矽,且前述第一氮原子百分比係在1%至20%之間。在一實施例中,前述第二氮原子百分比係小於前述第一氮原子百分比的1/5。在一實施例中,前述絕緣層是氧化矽。
根據一實施例,一種半導體裝置包括:自一半導體基底延伸的一鰭片;一閘極堆疊(gate stack),位於前述鰭片的上方且沿著前述鰭片的一側壁設置;一閘極間隔物(gate spacer),沿著前述閘極堆疊的一側壁以及前述鰭片的前述側壁設置;一磊晶源極/汲極區(epitaxial source/drain region),位於前述鰭片中且相鄰於前述閘極堆疊;一氮化矽層,係在前述磊晶源極/汲極區的上方以及前述閘極間隔物的上方延伸;一氮氧化矽層,位於前述氮化矽層上;一絕緣層,位於前述氮氧化矽層上;以及一接觸件,係延伸穿過前述絕緣層、前述氮氧化矽層以及前述氮化矽層,以到達前述磊晶源極/汲極區。在一實施例中,前述絕緣層包括氧化矽。在一實施例中,前述氮氧化矽層比前述氮化矽層厚。在一實施例中,前述氮氧化矽層具有在1 nm到3 nm之間的厚度。在一實施例中,前述氮氧化矽層具有在1%至20%之間的一氮原子百分比。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
50:基底 50N,50P:區域 51:分隔件 52:鰭片(/半導體條) 54:絕緣材料 56:(淺溝槽)隔離區 58:通道區 60:虛置介電層 62:虛置閘極層 64:遮罩層 72:虛置閘極 74:遮罩 80:閘極密封間隔物 82:源極/汲極區 86:閘極間隔物 87:接觸蝕刻停止層 88:第一層間介電質 89:替換閘極之區域 90:凹部 92:閘極介電層 94:閘極電極 94A:襯墊層 94B:功函數調節層 94C:填充材料 96:閘極遮罩 100:保護層 100’:轉換層 102:佈植 104:退火 108:第二層間介電質 110:閘極接觸件 112:源極/汲極接觸件 A-A,B-B,C-C:參考剖面
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖是根據本發明一些實施例的鰭式場效電晶體(FinFET)的立體圖。 第2、3、4、5、6、7、8A、8B、9A、9B、10A、10B、10C、10D、11A、11B、12A、12B、13A、13B、14A、14B、15A、15B、15C、16A、16B、17A和17B圖是根據本發明一些實施例的製造鰭式場效電晶體(FinFET)的多個中間階段的剖面示意圖。 第18、19圖示出了根據本發明一些實施例,一保護層轉換為一轉換層的實驗數據。
50:基底
52:鰭片(/半導體條)
58:通道區
80:閘極密封間隔物
82:源極/汲極區
86:閘極間隔物
87:接觸蝕刻停止層
88:第一層間介電質
89:區域
92:閘極介電層
94:閘極電極
100’:轉換層

Claims (14)

  1. 一種半導體裝置的製造方法,包括:形成一鰭片,該鰭片係自一基底延伸;沿著該鰭片的相對側壁形成一第一隔離材料(first isolation material);在該鰭片的上方形成一閘極結構(gate structure);在該鰭片中形成一磊晶源極/汲極區(epitaxial source/drain region),且該磊晶源極/汲極區相鄰於該閘極結構;在該磊晶源極/汲極區的上方以及該閘極結構的上方形成一蝕刻停止層(etch stop layer);在該蝕刻停止層的上方形成一保護層,該保護層包括氮氧化矽;以及在該保護層的上方形成一第二隔離材料(second isolation material),並進行一退火製程(anneal process),其中該退火製程係轉換該保護層而降低了該保護層的一氮濃度。
  2. 如請求項1所述之半導體裝置的製造方法,其中該第二隔離材料包括氧化矽。
  3. 如請求項1所述之半導體裝置的製造方法,其中形成該保護層係包括使用一原子層沉積(atomic layer deposition,ALD)製程,或其中形成該第二隔離材料係包括使用一流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)。
  4. 如請求項1-3任一項所述之半導體裝置的製造方法,其中在形成該第二隔離材料之後,該保護層具有的一氮原子百分比(an atomic percentage of nitrogen)係低於10%。
  5. 如請求項1-3任一項所述之半導體裝置的製造方法,其中該退火製程轉換該保護層,將氮氧化矽轉變成氧化矽。
  6. 如請求項1-3任一項所述之半導體裝置的製造方法,其中該保護層具有在1nm到3nm之間的厚度。
  7. 如請求項1所述之半導體裝置的製造方法,更包括形成一開口,該開口延伸穿過該第二隔離材料、該保護層以及該蝕刻停止層,以暴露出該磊晶源極/汲極區,以及沉積一導電材料於該開口中。
  8. 一種半導體裝置的製造方法,包括:形成一半導體鰭片,該半導體鰭片係從一基底突出;在該半導體鰭片的上方形成一閘極堆疊(gate stack);在該半導體鰭片上形成一源極/汲極區(source/drain region),且該源極/汲極區相鄰於該閘極堆疊;形成一第一介電層(first dielectric layer)延伸於該源極/汲極區以及該閘極堆疊的上方;在該第一介電層的上方形成一第二介電層(second dielectric layer),其中該第二介電層是與該第一介電層不同的材料,其中該第二介電層具有一第一氮原子百分比(first atomic percentage of nitrogen);在該第二介電層的上方形成一絕緣層,其中該絕緣層是與該第二介電層不同的材料;進行一退火製程(anneal process),其中在進行該退火製程之後,該第二介電層具有一第二氮原子百分比(second atomic percentage of nitrogen),該第二氮原子百分比係小於該第一氮原子百分比;以及 在進行該退火製程之後,形成一導電部件(conductive feature)材料延伸穿過該絕緣層、該第二介電層以及該第一介電層,以接觸該磊晶源極/汲極區。
  9. 如請求項8所述之半導體裝置的製造方法,其中該第一介電層是氮化矽。
  10. 如請求項8所述之半導體裝置的製造方法,其中該第一介電層具有在2nm到100nm之間的厚度。
  11. 如請求項8-10任一項所述之半導體裝置的製造方法,其中該退火製程係在400℃至1000℃之間的一溫度下進行;或者其中該第二介電層為氮氧化矽且其中該第一氮原子百分比係在1%至20%之間。
  12. 如請求項8-10任一項所述之半導體裝置的製造方法,其中該第二氮原子百分比係小於該第一氮原子百分比的1/5。
  13. 一種半導體裝置,包括:一鰭片,係自一半導體基底延伸;一閘極堆疊(gate stack),位於該鰭片的上方且沿著該鰭片的一側壁設置;一閘極間隔物(gate spacer),沿著該閘極堆疊的一側壁以及該鰭片的該側壁設置;一磊晶源極/汲極區(epitaxial source/drain region),位於該鰭片中且相鄰於該閘極堆疊;一氮化矽層,係在該磊晶源極/汲極區的上方以及該閘極間隔物的上方延伸;一氮氧化矽層,位於該氮化矽層上,其中該氮氧化矽層比該氮化矽層厚;一絕緣層,位於該氮氧化矽層上;以及一接觸件,係延伸穿過該絕緣層、該氮氧化矽層以及該氮化矽層,以到達該 磊晶源極/汲極區。
  14. 如請求項13所述之半導體裝置,其中該氮氧化矽層具有在1%至20%之間的一氮原子百分比。
TW110102942A 2020-01-31 2021-01-27 半導體裝置及其製造方法 TWI782402B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062968458P 2020-01-31 2020-01-31
US62/968,458 2020-01-31
US16/941,445 2020-07-28
US16/941,445 US11757020B2 (en) 2020-01-31 2020-07-28 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW202145300A TW202145300A (zh) 2021-12-01
TWI782402B true TWI782402B (zh) 2022-11-01

Family

ID=76853900

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102942A TWI782402B (zh) 2020-01-31 2021-01-27 半導體裝置及其製造方法

Country Status (4)

Country Link
US (1) US20220359729A1 (zh)
CN (1) CN113206043A (zh)
DE (1) DE102020120634A1 (zh)
TW (1) TWI782402B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9443757B1 (en) * 2015-10-07 2016-09-13 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20190103265A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k feature formation processes and structures formed thereby
US10269655B1 (en) * 2018-05-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9443757B1 (en) * 2015-10-07 2016-09-13 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20190103265A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k feature formation processes and structures formed thereby
US10269655B1 (en) * 2018-05-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
US20220359729A1 (en) 2022-11-10
TW202145300A (zh) 2021-12-01
CN113206043A (zh) 2021-08-03
DE102020120634A1 (de) 2021-08-05

Similar Documents

Publication Publication Date Title
CN110838487B (zh) 半导体器件及方法
TWI579925B (zh) 半導體結構及其製造方法
US11594618B2 (en) FinFET devices and methods of forming
TWI643252B (zh) 半導體裝置的形成方法
CN110556424B (zh) 半导体器件和制造半导体器件的方法
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
US20240153828A1 (en) Semiconductor Device and Method
CN111128884B (zh) 半导体器件及其形成方法
US20210366715A1 (en) Semiconductor Device and Method
TWI783553B (zh) 半導體裝置及其形成方法
TWI785589B (zh) 半導體裝置及其形成方法
CN112420823A (zh) 半导体装置
US11056573B2 (en) Implantation and annealing for semiconductor device
TW202109623A (zh) 形成半導體裝置的方法
KR102549844B1 (ko) 반도체 디바이스 및 방법
TW202314868A (zh) 製造半導體元件的方法
KR20220122553A (ko) 반도체 디바이스의 도전성 피처 및 그 형성 방법
TWI782402B (zh) 半導體裝置及其製造方法
US11652155B2 (en) Air spacer and method of forming same
TWI808828B (zh) 形成半導體裝置結構的方法
TW202427555A (zh) 半導體元件及形成半導體元件的方法
CN114551400A (zh) FinFET器件及方法