DE112015000546T5 - Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists - Google Patents

Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists Download PDF

Info

Publication number
DE112015000546T5
DE112015000546T5 DE112015000546.3T DE112015000546T DE112015000546T5 DE 112015000546 T5 DE112015000546 T5 DE 112015000546T5 DE 112015000546 T DE112015000546 T DE 112015000546T DE 112015000546 T5 DE112015000546 T5 DE 112015000546T5
Authority
DE
Germany
Prior art keywords
layer
light
wavelength
photoresist layer
interface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112015000546.3T
Other languages
English (en)
Inventor
Steven Scheer
Michael A. Carcasi
Benjamen M. Rathsack
Mark H. Somervell
Joshua S. Hooge
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of DE112015000546T5 publication Critical patent/DE112015000546T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Electromagnetism (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Die vorliegende Erfindung beschreibt Verfahren zum Strukturieren von lichtempfindlichen Schichten (z. B. Fotoresist auf Antireflexbelägen) auf einem Halbleitersubstrat mit PS-CAR-Chemikalien (PS-CAR: photosensitive chemically amplified resist; chemisch verstärktes lichtempfindliches Resist). Bei einer Ausführungsform können mit einem Zweischritt-Belichtungsprozess Bereiche mit einer höheren Säurekonzentration in einer Fotoresist-Schicht erzeugt werden. Die PS-CAR-Chemikalien können fotochemische Säurebildner (photoacid generators; PAGs) und Fotosensibilisator-Elemente umfassen, die die Zersetzung der PAGs zu Säure verbessern können. Die erste Belichtung kann eine strukturierte EUV- oder UV-Belichtung sein, die eine Anfangsmenge Säure und Fotosensibilisator erzeugt. Die zweite Belichtung kann eine Nicht-EUV-Flutungsbelichtung sein, die den Fotosensibilisator anregt, der die Säurebildungsrate erhöht, wenn sich der Fotosensibilisator in dem Schichtstapel befindet. Die Verteilung der Energie bei den Belichtungen kann unter Verwendung bestimmter Eigenschaften (z. B. Dicke, Brechzahl, Dotierung) der Fotoresist-Schicht, einer darunter befindlichen Schicht und/oder einer darüber befindlichen Schicht optimiert werden.

Description

  • Querverweis auf verwandte Anmeldungen
  • Die vorliegende Anmeldung beansprucht die Priorität der am 25. Februar 2014 eingereichten vorläufigen US-Patentanmeldung Nr. 61/944.394 mit dem Titel „Chemical Amplification Methods and Techniques for Developable Bottom Anti-reflective Coatings and Dyed Implant Resists” („Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists”), deren Inhalt hiermit im Rahmen dieser Anmeldung in vollem Umfang als geoffenbart gilt.
  • Hintergrund der Erfindung
  • Die DBARC-Technologie (DBARC: Developer-soluble Bottom Anti-reflective Coating; entwicklerlöslicher unterer Antireflexbelag) bietet eine einzigartige Möglichkeit zur Steuerung der Substratreflexion ohne eine offene BARC-Ätzung, was für die Herstellung von elektronischen Anwender-Bauelementen interessant ist. Die Implantationslithografie profitiert durch eine verbesserte Reflexionssteuerung von der DBARC-Technologie, insbesondere für 193-nm-Implantationsschichten. Die DBARC-Technologie bietet auch reduzierte Gesamtbetriebskosten für alle fotolithografischen Schichten dadurch, dass der herkömmliche lithografische BARC durch DBARC ersetzt wird, wodurch der Schritt der offenen BARC-Ätzung entfällt. Daher können Verfahren zur Verbesserung der Reflexionssteuerung und Kostensenkung wünschenswert sein.
  • Zusammenfassung der Erfindung
  • Diese Erfindung betrifft Material- und Prozess-Verbesserungen, um zur DBARC-Prozessintegration und CD-/Profilsteuerung vor allem für die Fotolithografie beizutragen, insbesondere die Implantationsschicht-Lithografie, die zur Herstellung von elektronischen Bauelementen verwendet wird. Ein Ansatz zur Verbesserung der Säurebildung in lichtempfindlichen Materialien kann der Einbau von chemischen Verbindungen sein, die die chemischen Reaktionen verstärken, die während des Belichtungsprozesses auftreten können. Ein Beispiel für diesen Ansatz kann ein chemisch verstärktes Resist (chemically amplified resist; CAR) sein, das die chemischen Reaktionen in dem lichtempfindlichen Material oder Resist verstärken kann. Auf diese Weise können die Konzentrationen von Chemikalien in dem Resist in einem höheren Maße steigen, als es ansonsten unter Berücksichtigung des Umfangs oder der Art der erhaltenen Belichtung der Fall wäre. Die lichtempfindlichen Materialien können unter anderem Fotoresist-, gefärbte Fotoresist- oder Antireflexbelag-Schichten sein.
  • Die DBARC-Technologie wird zurzeit von der Branche wegen ihres einzigartigen Vermögens in Erwägung gezogen, eine Steuerung der Substratreflexion ohne eine offene BARC-Ätzung zu bieten. Es gibt mindestens drei Implementierungswege für den DBARC: 1) nicht-lichtempfindliche entwicklerlösliche Systeme, die eine isotrope Entwicklung zeigen, wie etwa polyamische Säurederivate; 2) lichtempfindliche DBARCs, die eine lichtempfindliche Zersetzungsreaktion zum Entwickeln der Löslichkeit auslösen, wie etwa Benzylmethacrylat (BMA) und Mevalonlactonmethacrylat (MLMA), oder eine Vernetzungsreaktion oder Entnetzungsreaktion zur Entwicklung der Löslichkeit auslösen, wie etwa Vinylozy-Materialien mit hydroxylhaltigen Polymeren; und 3) Fotoresist-induziertes Entwicklungsverhalten bei DBARCs ohne fotochemische Säurebildner (photoacid generator; PAG). Bei diesem dritten Ansatz ist der DBARC nicht grundsätzlich lichtempfindlich und hängt von der Diffundierung von fotochemischer Säure aus dem belichteten Fotoresist für die Entwicklung ab. Alternativ können auch Säureverstärkungsverfahren für das Fotoresist verwendet werden, und der Schutzumfang der Ansprüche ist nicht auf DBARC-Anwendungen beschränkt.
  • Bei zwei der drei Implementierungswege kann ein Ansatz dadurch implementiert werden, dass statt des oder zusätzlich zu dem Fotoresist PS-CAR-Chemikalien (PS-CAR: photosensitive chemically amplified resist; chemisch verstärktes lichtempfindliches Resist) in den DBARC selbst eingebaut werden. Dieser Ansatz kann dazu beitragen, dass organische Rückstände eliminiert werden, die auf Grund die Verstärkung der Säurekonzentration durch die PS-CAR-Chemikalien oder die UV-Flutungsdosis in dem DBARC verbleiben. Bei diesem Verfahren kann auch ein gesonderter DBARC-CD-Einstellknopf verwendet werden, der eine leichtere Anpassung oder Steuerung des Belichtungsspielraums über die UV-Flutungsdosis ermöglicht. Bei dem DBARC-Implementierungsweg 3 kann ein Fotoresist-induziertes Entwicklungsverhalten bei dem DBARC ohne PAG genutzt werden. Ein weiterer Ansatz ist der Einbau von PS-CAR-Chemikalien in das Fotoresist und die Anwendung der Reflexionssteuerung zur Sicherstellung einer hohen Säurekonzentration an der Grenzfläche zwischen dem Resist und dem BARC. Diese Ausführungsform kann auch dazu beitragen, organische Rückstände zu eliminieren, die auf Grund der höheren Säurekonzentration durch Resist-/DBARC-Diffusionsprozesse in dem DBARC verbleiben. Bei dieser Ausführungsform kann ebenfalls ein gesonderter DBARC-CD-Einstellknopf verwendet werden, der eine leichtere Anpassung oder Steuerung des Belichtungsspielraums über die UV-Flutungsdosis ermöglicht.
  • Es kann mehrere mögliche Ansätze zum selektiven Steuern der Konzentration der Säure in den DBARC- und/oder Fotoresist-Schichten geben. Ein Ansatz kann darin bestehen, die PS-CAR-Chemikalien so herzustellen oder abzuwandeln, dass der PAG oder andere Verbindungen eine höhere Affinität zu einer Schichtgrenzfläche als zu einer anderen Schichtgrenzfläche haben. Auf diese Weise können auf Grund, zumindest teilweise, von Oberflächenenergie- oder chemischen Potential-Unterschieden der PAG oder andere Verbindungen an verschiedenen Stellen in eine Schicht eindringen oder zu diesen hin wandern. Alternativ können lichtabsorbierende Elemente (z. B. Chromophore) an bestimmten Stellen in dem Schichtstapel integriert werden. Die lichtabsorbierenden Elemente können Licht absorbieren und die Energie auf benachbarte Chemikalien übertragen, die zum Bilden von Säure in der Nähe der lichtabsorbierenden Elemente verwendet werden können. Auf diese Weise kann die Säurebildung in einer Schicht oder an den Grenzflächen des Schichtstapels so gesteuert werden, dass eine höhere Säurekonzentration in einem gewünschten Bereich der Schicht oder des Schichtstapels als in anderen Bereichen entsteht, die die lichtabsorbierenden Stoffe möglicherweise nicht enthalten. Ein weiterer Ansatz zum Erzeugen von höheren Säurekonzentrationen in einer Schicht oder einem Schichtstapel kann darin bestehen, selektiv höhere Energieniveaus in ausgewählte Bereiche des Schichtstapels einzubringen. Zum Beispiel kann nach dem Überlagerungsprinzip die Verstärkung des einfallenden und reflektierten Lichts in dem Schichtstapel ein höheres Energieniveau in einem lokal begrenzten Bereich der Schichtstapels auf Grund, zumindest teilweise, der Wellenlänge des Lichts, der Brechzahl der Schichten und/oder der Dicke der Schichten erzeugen. Das Licht in dem Verstärkungsbereich kann eine größere Energiemenge in die Schicht eintragen, was dazu führen kann, dass eine größere Menge Säure in diesem Bereich als in anderen Bereichen der Schicht gebildet wird, in denen die Verstärkung möglicherweise nicht oder in einem geringeren Umfang auftritt. Ein weiterer Ansatz kann die Verwendung einer Kombination aus einem oder mehreren der vorgenannten Ansätze sein.
  • Der Schichtstapel kann eine ARC-Schicht (ARC: anti-reflective coating; Antireflexbelag) haben, die zwischen einer Fotoresist-Schicht und einer darunter befindlichen Schicht auf einem Substrat abgeschieden ist. Die PS-CAR-Chemikalien können in die ARC-Schicht oder die Fotoresist-Schicht eingebaut werden. Die Säurebildung in dem Schichtstapel kann in der ARC-Schicht oder der Fotoresist-Schicht erfolgen, um die Geometrie der Öffnungen zu optimieren, wenn die Fotoresist-Schicht entwickelt wird. Die chemische und/oder physikalische Konfiguration des Schichtstapels kann in Verbindung mit einem Belichtungsprozess optimiert werden, um selektiv höhere Konzentrationen der Säure in den gewünschten Bereichen des Schichtstapels zu erzeugen.
  • Bei einer Ausführungsform können in die ARC-Schicht oder in die Fotoresist-Schicht chemische Verbindungen eingebaut werden, die die chemischen Reaktionen verstärken, die während des Belichtungsprozesses auftreten können. Ein Beispiel für diesen Ansatz besteht darin, ein PS-CAR zu verwenden, das die chemischen Reaktionen oder die chemischen Konzentrationen in dem lichtempfindlichen Material oder dem Fotoresist verstärken kann. Die chemischen Konzentrationen in dem Fotoresist können mit einem Zweischritt-Belichtungsprozess gesteuert werden.
  • Die erste Belichtung kann eine strukturierte Belichtung sein, die einen Fotosensibilisator (PS) und Säuren aus einem fotochemischen Säurebildner (photoacid generator; PAG) durch die Reaktion von Chemikalien mit dem Resist erzeugt. Der erste Belichtungsprozess kann so angepasst werden, dass der Absorptionsgrad durch den PS maximiert wird und der Absorptionsgrad des PAG maximiert wird. Das kann bei einer Ausführungsform dadurch erreicht werden, dass Lichtwellenlängen von weniger als 300 nm gewählt werden. Die zweite Belichtung kann den PS anregen, der den zusätzlichen PAG zersetzen kann, um eine Verstärkung der Säure in dem belichteten Strukturbereich zu erhalten und dabei die Säurekonzentration in den dunklen oder unbelichteten Bereichen der ersten Belichtung zu minimieren. Bei einer Ausführungsform können für den Zweischritt-Belichtungsprozess zwei unterschiedliche Wellenlängen [z. B. extremes ultraviolettes (EUV) Licht und ultraviolettes Licht] verwendet werden. Für die strukturierte Belichtung können Wellenlängen des EUV- oder UV-Spektrums verwendet werden, und für die Flutungsbelichtung können Wellenlängen des UV-Spektrums verwendet werden. Die PS-CAR-Chemikalien können so konfiguriert sein, dass sie eine erste Lichtwellenlängen-Aktivierungsschwelle haben, die die Säurebildung bis zu einer ersten Säurekonzentration in der ARC-Schicht oder der Fotoresist-Schicht steuert. Die zweite Belichtung kann auf Grund der PS-CAR-Chemikalien, die eine zweite Lichtwellenlängen-Aktivierungsschwelle haben, die die erste Säurekonzentration auf eine zweite Säurekonzentration erhöht, eine zweite, höhere Konzentration als die erste Säurekonzentration erzeugen.
  • Bei einer weiteren Ausführungsform kann Säure dadurch selektiv in dem Schichtstapel gebildet werden, dass die Struktur des Schichtstapels so geändert wird, dass sie eine Verstärkung in gewünschten Bereichen des Schichtstapels induziert. Das kann dadurch erreicht werden, dass die Schichtdicken, die Brechzahl der Schichten und/oder die Lichtwellenlänge und/oder -dosis optimiert werden. Bei einer anderen Ausführungsform kann Licht in örtlich begrenzten Bereichen des Schichtstapels absorbiert werden, die selektiv lichtabsorbierende Stoffe (z. B. Chromophore) haben.
  • Kurze Beschreibung der Zeichnungen
  • Die beigefügten Zeichnungen, die in diese Patentbeschreibung integriert sind und deren Bestandteil sind, zeigen Ausführungsformen der Erfindung und dienen zusammen mit der vorstehenden allgemeinen Beschreibung der Erfindung und der nachstehenden detaillierten Beschreibung zur Erläuterung der Erfindung. Darüber hinaus identifizieren die äußere(n) linke(n) Ziffer(n) eines Bezugssymbols die Zeichnung, in der das Bezugssymbol zum ersten Mal auftritt.
  • 1A zeigt Profile der chemischen Konzentration für eine PS-CAR-Antireflexbelag(ARC)-Schicht nach der Belichtung mit Lichtwellenlängen eines ersten Bereichs und eine Schnittansicht eines Schichtstapels auf einem Substrat, der eine strukturierte Belichtung mit Lichtwellenlängen des ersten Bereichs erhält.
  • 1B zeigt Profile der chemischen Konzentration für die PS-CAR-ARC-Schicht von 1A nach der Belichtung mit Lichtwellenlängen eines zweiten Bereichs und eine Schnittansicht des Schichtstapels auf diesem Substrat, der eine Flutungsbelichtung mit Lichtwellenlängen des zweiten Bereichs erhält.
  • 1C zeigt Profile der chemischen Konzentration für eine PS-CAR-Fotoresist-Schicht nach der Belichtung mit Lichtwellenlängen des zweiten Bereichs und eine Schnittansicht des Schichtstapels auf diesem Substrat, der eine Flutungsbelichtung mit Lichtwellenlängen des zweiten Bereichs erhält.
  • 1D zeigt Profile der chemischen Konzentration für die PS-CAR-Fotoresist-Schicht nach der Belichtung mit Lichtwellenlängen des zweiten Bereichs und eine Schnittansicht des Schichtstapels auf diesem Substrat, der eine Flutungsbelichtung mit Lichtwellenlängen des zweiten Bereichs erhält.
  • 2 umfasst eine Darstellung eines Ablaufdiagramms eines Verfahrens für einen Zweischritt-Belichtungsprozess für einen Schichtstapel (z. B. eine Fotoresist-Schicht) und zugehörige Schnittansichten einer Ausführungsform des Verfahrens.
  • Die 3A und 3B umfassen Darstellungen eines Ablaufdiagramms eines Verfahrens für einen Zweischritt-Belichtungsprozess für einen anderen Schichtstapel (z. B. eine Fotoresist-Schicht und eine ARC-Schicht) und zugehörige Schnittansichten einer Ausführungsform des Verfahrens.
  • Detaillierte Beschreibung
  • Es dürfte klar sein, dass die vorstehende Beschreibung die Erfindung nur erläutern soll. Es können verschiedene Alternativen und Modifikationen von Fachleuten erdacht werden, ohne von dem Schutzumfang der Erfindung abzuweichen. Daher soll die vorliegende Erfindung alle diese Alternativen, Modifikationen und Abwandlungen umfassen, die innerhalb des Schutzumfangs der beigefügten Ansprüche liegen.
  • Bei der Halbleiterherstellung sind Fotoresist- oder lichtempfindliche Materialien zur Herstellung von elektronischen Bauelementen durch Abscheidung, Strukturierung und Ätzung von Schichten auf einem Substrat verwendet worden. Die Abmessungen der elektronischen Bauelemente wurden unter Beibehaltung der Bildqualität (z. B. Auflösung, Linienbreitenrauheit und Empfindlichkeit) verkleinert, um die Leistung und Ausbeute der Bauelemente zu verbessern. Die EUV-Fotolithografie ist ein Ansatz zum Verkleinern von Abmessungen, der jedoch innewohnende Beschränkungen hat, die Auswirkungen auf die vorhandenen Fotoresist-Chemikalien haben können. Zum Beispiel muss ein EUV-Fotoresist möglicherweise die niedrigere Energie von EUV-Lichtquellen unter Beibehaltung der Resist-Empfindlichkeit und der Bildauflösung kompensieren. Eine Möglichkeit, das Problem der Beschränkung durch die niedrigere Energie anzugehen, kann die Verwendung eines chemisch verstärkten lichtempfindlichen Resists (PS-CAR) sein, das in dem Dokument „Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist (PS-CAR) Process” („Verbesserung der superhohen Empfindlichkeit durch einen PS-CAR-Prozess”) von Seiichi Tagawa et al., Journal of Photopolymer Science and Technology, Jg. 26 (2013), Nr. 6, S. 825–830, beschrieben ist. Die Verwendung des PS-CAR bietet zwar eine Möglichkeit für die EUV-Bearbeitung, aber es können weitere Verfahren zum Verbessern der Adsorptions- und Reflexionssteuerung in der Fotoresist-Schicht oder ARC-Schicht verwendet werden, um die Bildqualität für die EUV-Bearbeitung zu erhöhen. Diese Verfahren brauchen nicht auf die EUV-Bearbeitung beschränkt zu werden und können auch für die Bearbeitung durch UV-Fotolithografie verwendet werden.
  • Die PS-CAR-Chemikalien können durch einen Zweischritt-Belichtungsprozess aktiviert werden, der auf Grund, zumindest teilweise, der Art des Lichts, mit dem das Substrat belichtet wird, das mit dem Fotoresist beschichtet ist, verschiedene Konzentrationen der Säure und/oder des Fotosensibilisators (PS) erzeugen kann. Die Säure kann gebildet werden, wenn das Licht mit dem PAG in dem Fotoresist wechselwirkt, und der PS kann gebildet werden, wenn das Licht mit dem PS-Bildner wechselwirkt, der in das Fotoresist eingebaut sein kann.
  • Das PS-CAR-Fotoresist kann einen Fotosensibilisator-Bildner und einen fotochemischen Säurebildner (PAG-Verbindung) haben. Ein Fotosensibilisator-Molekül kann Lichtenergie absorbieren und die Lichtenergie zu einem anderen Molekül (z. B. einem PAG) übertragen. Einige PS-Verbindungen können Energie in einem Grundzustand übertragen, während andere die Übertragung in einem angeregten Zustand durchführen können. Der PS-Bildner kann unter anderem Acetophenon, Triphenylen, Benzophenon, Fluorenon, Anthrachinon, Phenanthren oder deren Derivate umfassen. Ein fotochemischer Säurebildner (PAG) kann ein kationischer Fotoinitiator sein, der absorbierte Lichtenergie in chemische Energie umwandeln kann (z. B. durch eine Säurereaktion). Der Säurebildner kann unter anderem mindestens eine der folgenden Verbindungen umfassen: Triphenylsulfoniumtriflat, Triphenylsulfoniumnonaflat, Triphenylsulfoniumperfluoroctylsulfonat, Triarylsulfoniumtriflat, Triarylsulfoniumnonaflat, Triarylsulfoniumperfluoroctylsulfonat, ein Triphenylsulfoniumsalz, ein Triarylsulfoniumsalz, ein Triarylsulfoniumhexafluorantimonatsalz, N-Hydroxynaphthalimidtriflat, 1,1-Bis[p-chlorphenyl]-2,2,2-trichlorethan (DDT), 1,1-Bis[p-methoxyphenyl]-2,2,2-trichlorethan, 1,2,5,6,9,10-Hexabromcyclododecan, 1,10-Dibromdecan, 1,1-Bis[p-chlorphenyl]-2,2-dichlorethan, 4,4-Dichlor-2-(trichlormethyl)benzhydrol, 1,1-Bis(chlorphenyl)-2,2,2-trichlorethanol, Hexachlordimethylsulfon, 2-Chlor-6-(trichlormethyl)pyridin oder deren Derivate.
  • Kommen wir nun zu den 1A und 1B. 1A umfasst eine grafische Darstellung 100 der Konzentration einer Säure 106 und eines PS 108 nach der ersten Belichtung und eine Schnittansicht 102 eines Schichtstapels auf einem Substrat 104. 1B umfasst eine grafische Darstellung 118 der Konzentration einer Säure 120 und eines PS 122 nach der zweiten Belichtung und eine Schnittansicht 126 eines Schichtstapels auf dem Substrat 104. Bei einer Ausführungsform kann die erste Belichtung eine Vielzahl von Wellenlängen eines Licht 114 umfassen, die kleiner als 300 nm sein können, und ein Teil der zweiten Belichtung kann eine Vielzahl von Lichtwellenlängen umfassen, die größer als 300 nm sein können.
  • Die grafische Darstellung 100 von 1A zeigt die Ergebnisse der ersten Belichtung, bei der das PS-CAR so konfiguriert sein kann, dass es eine relativ niedrigere Konzentration der Säure 106 und des PS 108 bei der ersten Belichtung erzeugt. Die Lichtwellenlängen der ersten Belichtung können eine relativ niedrigere Energie haben, die eine Anfangsmenge der Säure 106 und eine Anfangsmenge des PS 108 erzeugt. Die grafische Darstellung 100 dient zur Erläuterung und soll den Unterschied zwischen den Konzentrationen während des Zweischritt-Prozesses zeigen, aber sie soll nicht den Bereich oder Umfang der Konzentration bei der ersten oder der zweiten Belichtung beschränken. Der Zweck besteht darin, aufzuzeigen, dass der PAG bei der ersten Belichtung nicht vollständig zersetzt oder in Säure umgewandelt werden kann und dass die zweite Belichtung die Säurekonzentration in der ARC-Schicht 128 erhöhen kann.
  • Bei einer Ausführungsform kann der Schichtstapel eine Fotoresist-Schicht 110, die auf einer ARC-Schicht 128 abgeschieden ist, und eine darunter befindliche Schicht 112 umfassen, die auf dem Substrat 104 hergestellt oder abgeschieden ist. Die ARC-Schicht 128 kann unter anderem die PS-CAR-Chemikalien haben und kann weitere Elemente enthalten, die zum Steuern des Reflexionsgrads oder des Absorptionsgrads des Lichts verwendet werden können. Während der ersten Belichtung können die Anfangsmenge der Säure 106 und die Anfangsmenge des PS 108 gebildet werden, wenn die Belichtung mit der ersten Wellenlänge des Lichts 114 durch eine strukturierte Maske 116 durchgeführt wird. Die Reaktion der PS-CAR-Chemikalien wird initiiert, wenn das Licht 114 mit den belichteten Bereichen der ARC-Schicht 128 in Wechselwirkung tritt. In den unbelichteten Bereichen, in denen das Licht 114 nicht mit der ARC-Schicht 128 in Wechselwirkung tritt, kann die Reaktion der PS-CAR-Chemikalien nicht oder nur in einem minimalen oder vernachlässigbaren Umfang im Vergleich zu den belichteten Bereichen stattfinden. Wie in der Schnittansicht 102 gezeigt ist, kann die Konzentration der Säure/des PS in den belichteten Bereichen der ARC-Schicht 128 höher sein. Bei dieser speziellen Ausführungsform kann die Konzentration der Säure/des PS an der Grenzfläche zwischen der ARC-Schicht 128 und der darunter befindlichen Schicht 112 oder an der Grenzfläche zwischen der ARC-Schicht 128 und der Fotoresist-Schicht 110 höher sein. Die Lage der Spitzenkonzentration der Säure/des PS kann sich in Abhängigkeit von den Schichtstapel- und Belichtungseigenschaften ändern.
  • In 1B zeigt die zweite grafische Darstellung 118 ein höheres Niveau der Konzentration der Säure 120 und/oder der Konzentration des PS 122 nach der zweiten Belichtung der ARC-Schicht 128. Die zweite Belichtung kann eine Flutungs- oder Vollbelichtung mit einem zweiten Wellenlängenbereich eines Lichts 124 sein. Der PS 122 kann sich in den Teilen der Fotoresist-Schicht 110 befinden, die bei der ersten Belichtung mit der ersten Wellenlänge 114 belichtet wurden. Daher kann die Konzentration der Säure 120 relativ höher als an den bei der ersten Belichtung nicht belichteten Stellen sein, wie in der Schnittansicht 126 der zweiten Belichtung gezeigt ist.
  • Außer mit den Verfahren, die in dem o. g. Dokument von Seiichi Tagawa et al. [Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist (PS-CAR) Process”, Journal of Photopolymer Science and Technology, Jg. 26 (2013), Nr. 6, S. 825–830] beschrieben sind, können die Konzentration und Lage der Säure 122 auch mittels verschiedener Eigenschaften der Fotoresist-Schicht 110, der ARC-Schicht 128 und/oder der darunter befindlichen Schicht 112 optimiert werden, um die Höhe der Konzentration oder die Position der Säure 122 in der ARC-Schicht 128 zu steuern. Mit diesen Methoden kann die Energiemenge erhöht werden, die während der Belichtungsschritte auf den PAG/PS übertragen wird, um das Nachentwicklungsprofil oder die Geometrie der Fotoresist-Schicht 110 anzupassen.
  • Bei weiteren Ausführungsformen kann eine strukturierte Belichtung angewendet werden, um Säure selektiv in verschiedenen Bereichen des Schichtstapels zu bilden. Bei einem Ansatz kann die Position der Säurebildner oder -verstärker zu lokal begrenzten Bereichen in dem Schichtstapel gelenkt werden. Vereinfacht gesagt, kann hierzu das Ändern der chemischen Zusammensetzung oder der Eigenschaften der ARC-Schicht 128 oder der Fotoresist-Schicht 110 gehören. Dieser Ansatz kann unter anderem die Herstellung oder Änderung der PS-CAR-Chemikalien in einer Weise umfassen, dass bewirkt wird, dass der PAG oder andere Verbindungen eine höhere Affinität zu einer Schichtgrenzfläche als zu einer anderen Schichtgrenzfläche haben. Auf diese Weise können der PAG oder die anderen Verbindungen auf Grund von Unterschieden in der Oberflächenenergie oder im chemischen Potential diffundieren oder migrieren.
  • Bei einem weiteren Ansatz können höhere Energieniveaus selektiv zu der ARC-Schicht 128 oder der Fotoresist-Schicht 110 übertragen werden, um höhere Säurekonzentrationen an diesen gewählten Stellen zu erzeugen. Bei einer Ausführungsform können lichtabsorbierende Elemente (z. B. Chromophore) an bestimmten Stellen in dem Schichtstapel integriert werden. Die lichtabsorbierenden Elemente können Licht absorbieren und die Energie auf benachbarte Bildnerchemikalien übertragen, die zum Bilden von Säure in der Nähe der lichtabsorbierenden Elemente verwendet werden können. Auf diese Weise kann die Säurebildung in einer Schicht oder an den Grenzflächen des Schichtstapels so gesteuert werden, dass eine höhere Säurekonzentration in einem gewünschten Bereich der Schicht oder des Schichtstapels als in anderen Bereichen entsteht, die die lichtabsorbierenden Stoffe möglicherweise nicht haben.
  • Bei einer Ausführungsform können PS-CAR-Chemikalien ungleichmäßig in die ARC-Schicht 128 oder die Fotoresist-Schicht 110 eingebaut werden. Dazu kann gehören, den PS-CAR-Chemikalien Segregationseigenschaften in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 zu verleihen. Dies umfasst meistens, chemische Komponenten für die einzelne ARC-Schicht 128 oder Fotoresist-Schicht 110 so anzupassen, dass sie unterschiedliche Affinitäten zu den Grenzflächen in dem Schichtstapel haben. Das Segregationsvermögen kann dadurch verstärkt werden, dass die Beschichtungs- und Härtungsvorrichtungen so angepasst werden, dass sie eine zusätzliche Triebkraft für die Trennung der chemischen Komponenten bereitstellen. Eine Ausführungsform kann darin bestehen, dem Substrat 104 (Si, Oxid, Nitrid usw.) PS-CAR-Chemikalien oder einen Fotosensibilisator in einer höheren Konzentration zuzuführen, um dazu beizutragen, dass Säuren in einer solchen Menge vorhanden sind, dass die ARC-Schicht 128 in der Nähe der Substratoberfläche nicht mehr geschützt wird, um zu gewährleisten, dass keine organischen Rückstände auf der ARC-Schicht 128 oder der Grenzfläche zwischen der Fotoresist-Schicht 110 und dem Substrat 104 zurückbleiben. Eine weitere Ausführungsform kann darin bestehen, der Grenzfläche zwischen der ARC-Schicht 128 und der Fotoresist-Schicht 110 PS-CAR-Chemikalien oder einen Fotosensibilisator in einer höheren Konzentration zuzuführen, um zu gewährleisten, dass Säuren in einer solchen Menge vorhanden sind, dass die ARC-Schicht 128 in der Nähe der Grenzfläche zwischen der ARC-Schicht 128 und der Fotoresist-Schicht 110 nicht mehr geschützt wird, und/oder um zu gewährleisten, dass sich die Wand der ARC-Schicht 128 von oben nach unten verjüngt. Diese Ausführungsformen können für abgewinkelte Implantationen verwendet werden, bei denen eine spitz zulaufende Resist-/ARC-Seitenwand bevorzugt wird, um Schattenbildungseffekte zu vermeiden. Darüber hinaus kann bei diesen Ausführungsformen ein gesonderter ARC-CD-Einstellknopf verwendet werden, der eine leichtere Anpassung oder Steuerung des Belichtungsspielraums durch die Wahl der UV-Flutungsdosis ermöglicht. Diese Ausführungsform kann außerdem potentiell dazu beitragen, organische Rückstände zu eliminieren, die auf Grund der höheren Säurekonzentration durch die Anregung des Fotosensibilisators oder der UV-Flutung und der nachfolgenden ARC-PAG-Zersetzungspozesse in dem ARC verbleiben. Schließlich ermöglicht diese Ausführungsform eine zusätzliche Steuerung des Seitenwandwinkels (side wall angle; SWA), was dazu beitragen kann, das Profil (spitz zulaufend) für abgewinkelte Implantationen anzupassen, um Schattenbildungseffekte zu vermeiden.
  • Bei einer weiteren Ausführungsform kann die ARC-Schicht 128 oder die Fotoresist-Schicht 110 einen oder mehrere Chromophore oder Farbstoffe enthalten, die für die Wellenlänge der UV-Flutung spezifisch sind, um das PS-CAR und den Fotosensibilisator so zu aktivieren und deren Konzentration in dem lichtempfindlichen ARC so zu beeinflussen, dass sie keine negativen Auswirkungen auf die Antireflexionssteuerung des Fotoresists bei der Wellenlänge der bilderzeugenden Belichtung haben. Dies kann das Auswählen eines oder mehrerer Chromophore für die ARC-Schicht 128 umfassen, die immer noch eine Antireflexionssteuerung in der Fotoresist-Schicht 110 ermöglichen. Sie können außerdem die höchste Intensität dadurch ermöglichen, dass die Absorptionseffekte der UV-Flutung für unterschiedliche Bereiche in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 angepasst werden. Durch Auswählen von UV-Flutungs-spezifischen Chromophore können die PS-CAR-Chemikalien oder der Fotosensibilisator mit einer höheren Konzentration zu der Grenzfläche zwischen dem ARC und dem Resist gelenkt werden, um dazu beizutragen, dass gewährleistet wird, dass Säuren in einer solchen Menge vorhanden sind, dass die ARC-Schicht 128 in der Nähe der Grenzfläche zwischen dem ARC und dem Resist nicht mehr geschützt wird, um eine Verjüngung der ARC-Wand von oben nach unten sicherzustellen. Das kann für die nachfolgende abgewinkelte Implantation zweckmäßig sein, bei der eine spitz zulaufende Resist-/ARC-Seitenwand bevorzugt wird, um Schattenbildungseffekte zu vermeiden. Bei dieser Ausführungsform kann auch ein gesonderter ARC-CD-Einstellknopf verwendet werden, der eine leichtere Anpassung oder Steuerung des Belichtungsspielraums für den ARC und das Fotoresist durch die Wahl der UV-Flutungsdosis ermöglicht.
  • Die Chromophore können so gewählt werden, dass sie bestimmte Lichtwellenlängen absorbieren, um die Menge der Lichtwellenlängen zu optimieren, die in die ARC-Schicht 128 und/oder die Fotoresist-Schicht 110 zurück reflektiert werden können. Normalerweise sind Chromophore organische Verbindungen, die ein Kohlenstoff-Element und mindestens ein oder mehrere der folgenden Elemente umfassen: H, O, N, Bl, Br, S oder I. Die maximale Absorptionswellenlänge der Chromophoren-Verbindungen kann in dem Bereich von 135 nm bis 400 nm liegen. Ein oder mehrere Chromophore können so gewählt werden, dass sie unerwünschte Wellenlängen des Lichts 124 herausfiltern, das von einer unter der Fotoresist-Schicht 110 befindlichen Schicht 112 reflektiert werden kann oder von einer über der Fotoresist-Schicht 110 befindlichen Schicht (nicht dargestellt) absorbiert werden kann. Die Chromophoren-Verbindungen können unter anderem CH3-CH3, CH4, CH3-OH oder CH3-SH umfassen. Außer der Art und der Konzentration kann auch die Lage der Chromophore in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 den Reflexionsgrad beeinflussen. Der Reflexionsgrad kann zumindest teilweise davon beeinflusst werden, wo sich die höchste Konzentration der Chromophore in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 befindet. Die höchste Konzentration kann sich an einer Stelle in der Fotoresist-Schicht 110 oder der darunter befindlichen Schicht 112 befinden. Im Allgemeinen kann der Konzentrationsunterschied zwischen einem Bereich mit hoher Konzentration und einem Bereich mit niedriger Konzentration mehr als mindestens 5 Vol.-%, Masse-% oder Mol-% betragen.
  • Ein weiterer Ansatz zum selektiven Erzeugen von höheren Säurekonzentrationen in einer Schicht oder einem Schichtstapel kann darin bestehen, höhere Energieniveaus in ausgewählte Bereiche des Schichtstapels zu integrieren, wobei die Eigenschaften des Schichtstapels, des einfallenden Lichts und/oder des reflektierten Lichts, das von der darunter befindlichen Schicht 112 reflektiert wird, genutzt werden. Zum Beispiel kann nach dem Überlagerungsprinzip die Verstärkung des einfallenden und des reflektierten Lichts in dem Schichtstapel ein höheres Energieniveau in einem lokal begrenzten Bereich der Schichtstapels auf Grund, zumindest teilweise, der Wellenlänge des Lichts, der Brechzahl der Schichten und/oder der Dicke der Schichten erzeugen. Das Licht in dem Verstärkungsbereich kann eine größere Energiemenge in die Schicht eintragen, was dazu führen kann, dass eine größere Menge Säure in diesem Bereich als in anderen Bereichen der Schicht gebildet wird, in denen keine Verstärkung erfolgt. Ein weiterer Ansatz kann die Verwendung einer Kombination aus den vorgenannten Ansätzen sein.
  • Bei einer Ausführungsform können die UV-Flutungs-Wellenlänge, die Schichtstapeldicke und die Brechzahl des Schichtstapels so angepasst werden, dass der Fotosensibilisator aktiviert wird, während die höchste Intensität durch die Interferenz des einfallenden und des reflektierten UV-Flutungslichts so angepasst wird, dass sie sich vorzugsweise in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 befindet. Die vorgenannten Variablen (z. B. Wellenlänge usw.) können so angepasst werden, dass sie die PS-CAR-Chemikalien oder den Fotosensibilisator mit einer höheren Konzentration zu mindestens einer der Grenzflächen des Schichtstapels lenken. Bei einer Ausführungsform kann die höhere Säurekonzentration sicherstellen, dass Säuren in einer solchen Menge vorhanden sind, dass die ARC-Schicht 128 in der Nähe der darunter befindlichen Schicht 112 nicht mehr geschützt wird, um zu gewährleisten, dass keine organischen Rückstände an der Grenzfläche zwischen dem ARC und der darunter befindlichen Schicht zurückbleiben. Bei einer weiteren Ausführungsform können die vorgenannten Variablen so angepasst werden, dass sie die PS-CAR-Chemikalien oder den Fotosensibilisator mit einer höheren Konzentration zu der Grenzfläche zwischen dem ARC und dem Fotoresist lenken, um dazu beizutragen, dass sichergestellt wird, dass Säuren in einer solchen Menge vorhanden sind, dass die ARC-Schicht 128 in der Nähe der Grenzfläche zwischen dem ARC und dem Fotoresist nicht mehr geschützt wird, um eine Verjüngung der ARC-Wand von oben nach unten zu gewährleisten. Diese Ausführungsformen können bei abgewinkelten Implantationen verwendet werden, bei denen eine sich verjüngende Fotoresist-/ARC-Seitenwand bevorzugt wird, um Schattenbildungseffekte zu vermeiden. Bei dieser Ausführungsform kann ein gesonderter ARC-CD-Einstellknopf verwendet werden, der eine leichtere Anpassung oder Steuerung des Belichtungsspielraums durch die Wahl der UV-Flutungsdosis ermöglicht. Diese Ausführungsform kann außerdem potentiell dazu beitragen, organische Rückstände zu eliminieren, die auf Grund der höheren Säurekonzentration durch die Anregung des Fotosensibilisators oder der UV-Flutung und der nachfolgenden ARC-PAG-Zersetzungsprozesse in der ARC-Schicht 128 verbleiben. Schließlich ermöglicht diese Ausführungsform eine zusätzliche SWA-Steuerung, was dazu beitragen kann, das Profil (die Verjüngung) für abgewinkelte Implantationen anzupassen, um Schattenbildungseffekte zu vermeiden.
  • Bei dieser Ausführungsform kann die Anpassung oder Co-Optimierung der Wahl der Brechzahl der ARC-Schicht 128 oder der Fotoresist-Schicht 110 dazu verwendet werden, den Reflexionsgrad zu steuern und eine Aktivierung oder Konzentrationsmanipulation des PS-CAR-Fotosensibilisators in der lichtempfindlichen ARC-Schicht 128 oder Fotoresist-Schicht 110 zu ermöglichen. Dies kann die Auswahl einer ARC-Brechzahl umfassen, die immer noch eine Antireflexionssteuerung in der Fotoresist-Schicht 110 ermöglicht, aber auch eine Anpassung der höchsten Intensität auf Grund der Interferenz des einfallenden und des reflektierten UV-Flutungslichts so ermöglicht, dass sie sich vorzugsweise in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 befindet. Zum Beispiel können durch die Wahl einer Brechzahl A der ARC-Schicht 128 die PS-CAR-Chemikalien oder der Fotosensibilisator mit einer höheren Konzentration zu der Grenzfläche zwischen dem ARC und der darunter befindlichen Schicht gelenkt werden, um dazu beizutragen, dass sichergestellt wird, dass Säuren in einer solchen Menge vorhanden sind, dass die ARC-Schicht 128 in der Nähe der darunter befindlichen Schicht 112 nicht mehr geschützt wird, um zu gewährleisten, dass keine organischen Rückstände an der Grenzfläche zwischen dem ARC und der darunter befindlichen Schicht zurückbleiben. In einem weiteren Fall können durch die Wahl einer Brechzahl B der ARC-Schicht 128 die PS-CAR-Chemikalien oder der Fotosensibilisator mit einer höheren Konzentration zu der Grenzfläche zwischen dem Fotoresist und dem ARC gelenkt werden, um dazu beizutragen, dass sichergestellt wird, dass Säuren in einer solchen Menge vorhanden sind, dass die ARC-Schicht 128 in der Nähe der Grenzfläche zwischen dem ARC und dem Fotoresist nicht mehr geschützt wird, um eine Verjüngung der ARC-Wand von oben nach unten zu gewährleisten. Bei einer Ausführungsform kann in Abhängigkeit von den Zusammensetzungen und den Eigenschaften des Schichtstapels die Brechzahl für die zweite Belichtung (z. B. > 200 nm) in dem Bereich von 0,95 bis 1,7 liegen. Bei weiteren Ausführungsformen kann in Abhängigkeit von den Zusammensetzungen und den Eigenschaften des Schichtstapels die Brechzahl für die zweite Belichtung (z. B. ~193 nm) größer als 1,7 sein.
  • Die vorstehend beschriebenen Verfahren können einzeln oder in Kombination verwendet werden, um die Säurekonzentration in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 selektiv zu steuern. Zum Beispiel können bei einer Ausführungsform ein oder mehrere Chromophore oder Farbstoffe in mindestens eine Grenzfläche des Schichtstapels eingebaut werden, und die chemischen Komponenten mindestens einer Schichtstapelschicht können so angepasst werden, dass sie unterschiedliche Affinitäten zu einer oder mehreren Grenzflächen des Schichtstapels haben. Diese Verfahren können auch auf Ausführungsformen angewendet werden, bei denen die PS-CAR-Chemikalien in die Fotoresist-Schicht 110 eingebaut werden, wobei die ARC-Schicht 128 in dem Schichtstapel vorhanden sein kann oder auch nicht.
  • 1C zeigt eine Schnittansicht 130 eines Schichtstapels, der den Ausführungsformen in den 1A und 1B ähnlich ist, aber bei dieser Ausführungsform werden die PS-CAR-Chemikalien in die Fotoresist-Schicht 110 und nicht in ARC-Schicht 128 eingebaut. Die Schnittansicht widerspiegelt den Zustand der Belichtung des Schichtstapels nach der Flutung, die sich an eine strukturierte Belichtung ähnlich der Darstellung in 1A anschließt. Somit werden chemische Konzentrationsprofile (z. B. der Säure 120 und der PS 122) in der Fotoresist-Schicht 110 anstatt in der ARC-Schicht 128 erzeugt. Die vorstehend beschriebenen Verfahren zum Steuern der Lage und/oder der Konzentration der Säure in der ARC-Schicht 128 können auch zum Steuern der Lage und/oder der Konzentration der Säure in der Fotoresist-Schicht 110 verwendet werden.
  • 1D zeigt eine Schnittansicht 132 eines Schichtstapels, der den Ausführungsformen in den 1A und 1B ähnlich ist, aber bei dieser Ausführungsform werden die PS-CAR-Chemikalien in die Fotoresist-Schicht 110 eingebaut und die ARC-Schicht 128 wird aus dem Schichtstapel ausgelassen. Die Schnittansicht widerspiegelt den Zustand der Belichtung des Schichtstapels nach der Flutung, die sich an eine strukturierte Belichtung ähnlich der Darstellung in 1A anschließt. Somit werden chemische Konzentrationsprofile (z. B. der Säure 120 und der PS 122) in der Fotoresist-Schicht 110 erzeugt. Die vorstehend beschriebenen Verfahren zum Steuern der Lage und/oder der Konzentration der Säure in der ARC-Schicht 128 können auch zum Steuern der Lage und/oder der Konzentration der Säure in der Fotoresist-Schicht 110 verwendet werden. Die Fotoresist-Schicht 110 kann jede Art von lichtempfindlichem Material umfassen, das zum Strukturieren des Substrats 104 verwendet werden kann. Die Fotoresist-Schicht 110 kann unter anderem ein Positivton-Fotoresist, ein Negativton-Fotoresist, ein dotiertes Fotoresist oder ein gefärbtes Fotoresist sein.
  • Die Abweichung zwischen den Schichtstapel-Ausführungsformen, die in den 1A bis 1D gezeigt sind, kann zu unterschiedlichen Prozessbedingungen für die Strukturierung der Schichtstapel führen, die verschiedene Zusammensetzungen (z. B. PAG) oder Eigenschaften (z. B. Dicke, Brechzahl usw.) haben können. Der Einfachheit halber umfasst die Beschreibung der Verfahren, die zum Entwickeln der Fotoresist-Schicht 110 und der ARC-Schicht 128 verwendet werden, drei primäre Ausführungsformen. Bei der Ausführungsform von 1A/1B werden die Säurebildner in die ARC-Schicht 128 eingebaut, die zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 angeordnet ist. Bei der Ausführungsform von 1C werden die Säurebildner in die Fotoresist-Schicht 110 eingebaut, die über der ARC-Schicht 128 angeordnet ist. Schließlich werden bei der Ausführungsform von 1D die Säurebildner in die Fotoresist-Schicht 110 für einen Schichtstapel eingebaut, der keine ARC-Schicht 128 hat.
  • 2 umfasst eine Darstellung eines Ablaufdiagramms 200 eines Verfahrens für einen Zweischritt-Belichtungsprozess für einen Schichtstapel (z. B. die Fotoresist-Schicht 110, keine ARC-Schicht 128) und zugehörige Schnittansichten 202. Bei dieser Ausführungsform können die PS-CAR-Chemikalien in die Fotoresist-Schicht 110 eingebaut werden. Die Fotoresist-Schicht 110 kann eine Art lichtempfindliches Material sein, das zum Strukturieren des Substrats 104 verwendet werden kann. Die Fotoresist-Schicht 110 kann unter anderem ein Positivton-Fotoresist, ein Negativton-Fotoresist, ein dotiertes Fotoresist oder ein gefärbtes Fotoresist sein. Der Zweischritt-Belichtungsprozess kann dazu verwendet, verschiedene Reaktionen von PS-CAR-Elementen in der Fotoresist-Schicht 110 zu ermöglichen. Die PS-CAR-Elemente können die PS-CAR-Chemikalien, Säurebildner oder PAGs umfassen, die zum Bilden von Säure verwendet werden können, die die Lichtempfindlichkeitseigenschaften der Fotoresist-Schicht 110 ändern kann. Das Verfahren des Ablaufdiagramms 200 kann in einer oder mehreren Prozesskammern oder -vorrichtungen durchgeführt werden, die die Fotoresist-Schicht 110 abscheiden, strukturieren, belichten und/oder entwickeln können.
  • Im Block 204 wird das Substrat 104 von einer Beschichtungsvorrichtung aufgenommen, die die Fotoresist-Schicht 110 auf das Substrat 104 aufbringen kann. Das Substrat 104 kann eine oder mehrere darunter befindliche Schichten 112 haben, die unter Verwendung der Fotoresist-Schicht 110 strukturiert werden können. Die Dicke und Zusammensetzung der darunter befindlichen Schicht 112 können optimiert werden, um zu steuern, wie Licht von der Oberfläche des Substrats 104 reflektiert wird. Bei einer Ausführungsform kann die Art der darunter befindlichen Schicht 112 auf Grund der Brechzahl und der Dicke der darunter befindlichen Schicht 112 gewählt werden. Diese Strukturen können mit den Eigenschaften (z. B. Brechzahl, Dicke usw.) der Fotoresist-Schicht 110 optimiert werden, um zu steuern, wie bei dem Zweischritt-Belichtungsprozess Energie zu der Fotoresist-Schicht 110 übertragen wird.
  • Im Block 206 wird eine Fotoresist-Schicht 110 auf der darunter befindlichen Schicht 112 abgeschieden oder verteilt. Die Fotoresist-Schicht 110 kann zwei oder mehr Lichtwellenlängen-Aktivierungsschwellen haben, die eine Folge von gesteuerten chemischen Reaktionen in der Fotoresist-Schicht 110 ermöglichen, um Säure an ausgewählten Positionen und mit verschiedenen Konzentrationen zu bilden. Die Fotoresist-Schicht 110 kann eine PS-CAR-Chemikalie haben, die Säure in verschiedenen Konzentrationen oder Mengen in Abhängigkeit von der Wellenlänge und/oder Dosis des Lichts 114 bilden kann. Wenn die Fotoresist-Schicht 110 zum Beispiel mit Licht 114 in einem ersten Wellenlängenbereich belichtet wird, kann die PS-CAR-Chemikalie eine erste Menge Säure 106 in der Fotoresist-Schicht 110 bilden. Die PS-CAR-Chemikalie kann jedoch teilweise zersetzt werden und kann immer noch in der Lage sein, weitere Säure zu bilden, wenn die PS-CAR-Chemikalie mit Licht in einem zweiten Wellenlängenbereich belichtet wird.
  • Bei einer Ausführungsform können sich der erste und der zweite Wellenlängenbereich des Lichts 114/124 gegenseitig ausschließen und keine Überlappung von Wellenlängen haben. Zum Beispiel kann der erste Bereich etwas kleiner als 200 nm sein, und der zweite Bereich kann größer als oder gleich 200 nm sein. Bei weiteren Ausführungsformen können die Wellenlängen einander so überlappen, dass der erste Bereich kleiner als 100 nm ist und der zweite Bereich etwas größer als 5 nm ist. Bei einer speziellen Ausführungsform kann der erste Wellenlängenbereich des Lichts 114 kleiner als 30 nm (z. B. 13,5 nm) sein, und der zweite Wellenlängenbereich des Lichts 114 kann größer als 200 nm sein. Bei einer weiteren speziellen Ausführungsform kann der erste Wellenlängenbereich des Lichts 114 kleiner als oder gleich 193 nm sein, und der zweite Wellenlängenbereich des Lichts 114 kann größer als 200 nm sein. Bei einer weiteren speziellen Ausführungsform kann der erste Wellenlängenbereich kleiner als oder gleich 248 nm sein, und der zweite Wellenlängenbereich kann größer als 200 nm sein. Die Fotoresist-Schicht 110 kann für die Bearbeitung durch Trocken- oder Immersionslithografie, die Bearbeitung durch KrF-Laserlithografie oder die Bearbeitung durch EUV-Lithografie verwendet werden.
  • Das Reflexionsvermögen kann nicht nur von den Chromophoren, sondern auch von der Brechzahl und/oder der Dicke der Fotoresist-Schicht 110 und/oder der darunter befindlichen Schicht 112 beeinflusst werden. Die Brechzahl ist eine quantitative Darstellung dessen, wie viel Licht 124 abgelenkt oder gebrochen wird, wenn es sich in ein Medium ausbreitet. Die Brechzahl ist das Verhältnis zwischen der Geschwindigkeit des Lichts in einem Vakuum und der Geschwindigkeit des Lichts in dem Medium. Durch Ändern der Richtung des Lichts 124 kann mehr Energie in die Fotoresist-Schicht 110 und/oder die darunter befindliche Schicht 112 eingetragen werden. Das Licht kann zum Beispiel entlang einem längeren Teil des Schichtstapels reflektiert werden oder kann von der darunter befindlichen Schicht 112 und/oder dem Substrat 104 zurück in die Fotoresist-Schicht 110 reflektiert werden. Das einfallende Licht und das reflektierte Licht können auf Grund der Interferenz zwischen dem einfallenden Licht 124 und dem reflektierten Licht (nicht dargestellt) mehr Energie in dem Schichtstapel erzeugen. Die Interferenz kann durch Optimieren der Brechzahl und/oder der Dicke der Schichtstapel-Komponenten (z. B. der Fotoresist-Schicht 110, der darunter befindlichen Schicht 112 und/oder des Substrats 104) so optimiert werden, dass sie an gewünschten Positionen in dem Schichtstapel auftritt. Bei einer Ausführungsform kann die Fotoresist-Schicht 110 so gewählt werden, dass sie eine Brechzahl an der oberen Grenzfläche hat, die in Verbindung mit der Dicke der Fotoresist-Schicht, der Lichtwellenlänge und/oder dem Reflexionsvermögen der darunter befindlichen Schicht so angepasst werden kann, dass eine höhere Verstärkung zwischen dem einfallenden und dem reflektierten Licht in der Fotoresist-Schicht 110 entsteht. In diesem Fall liegt der größte Betrag der Interferenz näher an der Oberseite der Fotoresist-Schicht 110 als an der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112. Bei einer weiteren Ausführungsform kann die Fotoresist-Schicht 110 so gewählt werden, dass sie eine Brechzahl an der unteren Grenzfläche hat, die in Verbindung mit der Dicke der Fotoresist-Schicht, der Lichtwellenlänge und/oder dem Reflexionsvermögen der darunter befindlichen Schicht so angepasst werden kann, dass eine höhere Verstärkung zwischen dem einfallenden und dem reflektierten Licht in der Fotoresist-Schicht 110 entsteht. In diesem Fall liegt der größte Betrag der Interferenz näher an der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 als an der Oberseite der Fotoresist-Schicht 110.
  • Ganz allgemein gesagt, kann die Fotoresist-Schicht 110 eine Brechzahl in dem Bereich von 0,95 bis 1,75 und eine Dicke in dem Bereich von 30 nm bis 250 nm haben. Zum Beispiel kann die Brechzahl der Fotoresist-Schichten 110, die auf Lichtwellenlängen von etwa 248 nm abzielen, in dem Bereich von 1,3 bis 1,75 liegen, und ihre Dicke kann in dem Bereich von 80 nm bis 250 nm, insbesondere 100 nm bis 120 nm, liegen. Die Brechzahl der Fotoresist-Schichten 110, die auf Lichtwellenlängen von etwa 193 nm abzielen, kann in dem Bereich von 1,65 bis 1,75 liegen, und ihre Dicke kann in dem Bereich von 80 nm bis 250 nm, insbesondere 100 nm bis 120 nm, liegen. EUV-Fotoresist-Schichten 110, die auf Lichtwellenlängen von weniger als 15 nm abzielen, können eine Brechzahl in dem Bereich von 0,95 bis 1,05 und eine Dicke in dem Bereich von 30 nm bis 60 nm haben.
  • Bei einer Ausführungsform können die Eigenschaften des Schichtstapels so optimiert werden, dass eine höhere Lichtinterferenz zwischen dem einfallenden Licht 114 und dem reflektierten Licht (nicht dargestellt) an der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 erzielt wird. Die höhere Lichtinterferenz kann so angepasst werden, dass sie in einer horizontalen Ebene über den Schichtstapel hinweg auftritt. Bei einer Ausführungsform kann sich die Interferenz-Ebene an oder in der Nähe der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 befinden. Die Interferenz-Ebene ist jedoch nicht auf die Grenzfläche beschränkt und kann unter Verwendung der Verfahren, die in der Anmeldung beschrieben sind, oder von Verfahren eingestellt werden, die einem Fachmann bekannt sind. Die höhere Energie, die über die Interferenz-Ebene verteilt ist, kann höhere Konzentrationen der Säure 106 über die Ebene als in anderen Bereichen der Fotoresist-Schicht 110 erzeugen. Die höhere Konzentration der Säure 106 an der Grenzfläche kann dazu verwendet werden, organische Substanzen auf der Oberfläche der darunter befindlichen Schicht 112 zu entfernen oder zu zersetzen oder den Profilwinkel oder die Geometrie der Fotoresist-Schicht 110 beim Entwickeln anzupassen.
  • Bei einer weiteren Ausführungsform kann sich die Interferenz-Ebene auf der Seite der Fotoresist-Schicht 110 befinden, die der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 gegenüberliegt. Die höhere Lichtinterferenz kann eine höhere Säurekonzentration über die Fotoresist-Schicht 110 hinweg erzeugen. Die höhere Säurekonzentration kann den Profilwinkel oder die Geometrie der entwickelten Fotoresist-Schicht 110 ändern. Zum Beispiel können die Öffnungen in der entwickelten Fotoresist-Schicht 110 an der Oberseite der Öffnungen breiter als an der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 sein. Durch Steuern der Position und Konzentration der Säure 106 kann der Profilwinkel oder die Geometrie der Öffnungen in der Fotoresist-Schicht 110 eingestellt werden. Diese optimierten Öffnungsgeometrien können dazu verwendet werden, Mikroüberbrückungen bei der Linien-/Raumstrukturierung und/oder Ausblühungen bei der Strukturierung von Kontaktlöchern zu minimieren.
  • Im Block 208 umfasst der Zweischritt-Prozess das Belichten des Schichtstapels auf dem Substrat 104 mit einer ersten Wellenlänge des Lichts 114 durch eine strukturierte Maske 116. Bei einer Ausführungsform kann die Fotoresist-Schicht 110 eine PS-CAR-Chemikalie haben, die eine geringe Menge Säure 106 bildet, wenn eine Belichtung mit Lichtwellenlängen von weniger als 300 nm durchgeführt wird. Die Säurebildung kann in der Nähe der belichteten Teile der Fotoresist-Schicht 110 erfolgen. Das Licht 114 von der strukturierten Maske 116 kann bewirken, dass unbelichtete Teile der Fotoresist-Schicht 110 zurückbleiben, die eine niedrigere Säurekonzentration als die belichteten Teile der Fotoresist-Schicht 110 haben können.
  • Bei anderen Ausführungsformen können die Dosis und die Wellenlänge des Lichts 124 geändert werden, um die Höhe der Säurekonzentration in den belichteten Teilen der Fotoresist-Schicht 110 einzustellen. Zum Beispiel können bei einer EUV-Ausführungsform die Wellenlängen des Lichts 114 weniger als 15 nm oder etwa 13,5 nm betragen. In diesem Fall kann der Belichtungsprozess das Licht 114 auf das EUV-Spektrum begrenzen, sodass die Fotoresist-Schicht 110 nicht mit einer Strahlung außerhalb der Grenzen (z. B. UV-Licht, ~> 30 nm) belichtet wird. Bei anderen Ausführungsformen kann jedoch das Licht 114 einen breiteren Bereich von Wellenlängen als bei der EUV-Ausführungsform haben. Das Licht 114 kann zum Beispiel Wellenlängen in dem EUV- und UV-Spektrum haben, aber keine Wellenlängen aus dem gesamten EUV- oder UV-Spektrum.
  • Bei einer speziellen Ausführungsform kann das Licht 114 das EUV-Spektrum und einen Teil des UV-Spektrums bis 200 nm umfassen, aber das Licht 114 umfasst kein Licht mit einer Wellenlänge von mehr als 200 nm. Der Belichtungsprozess kann Licht 114 mit Wellenlängen von nicht mehr als 193 nm umfassen. Bei einer weiteren Ausführungsform kann der Belichtungsprozess jedoch Licht 114 mit Wellenlängen von nicht mehr als 248 nm umfassen und kann die Wellenlängen 13,5 nm und 193 nm umfassen.
  • Bei einer weiteren speziellen Ausführungsform kann das Licht 114 das UV-Spektrum einschließen und das EUV-Spektrum ausschließen. Somit kann der Belichtungsprozess einen 193-nm-Prozess oder einen 248-nm-Prozess umfassen, aber er umfasst kein Licht 114 mit einer Wellenlänge von weniger als 30 nm.
  • Die Anfangsmenge der Säure 106 und des Fotosensibilisators 108, die in den belichteten Bereichen der Fotoresist-Schicht 110 gebildet werden, kann durch Zuführen einer zusätzlichen Energie (z. B. Licht 124) zu der Säure 106 und dem Fotosensibilisator 108 erhöht werden, um die Menge der Säure zu erhöhen und/oder die Säure in die Fotoresist-Schicht 110 einzudiffundieren.
  • Im Block 210 wird die Fotoresist-Schicht 110 mit Licht 124 mit einem zweiten Wellenlängenbereich belichtet, der von dem Wellenlängenbereich der ersten Belichtung verschieden sein kann. Darüber hinaus kann die zweite Belichtung eine Vollbelichtung oder eine Flutungsbelichtung sein, bei der die gesamte Fotoresist-Schicht 110 mit dem Licht 124 belichtet wird. Alternativ kann jedoch keine Vollbelichtung erforderlich sein und eine strukturierte Belichtung kann durchgeführt werden.
  • Bei einer Ausführungsform kann die Vollbelichtung dazu verwendet werden, eine höhere Konzentration der Säure in der Nähe eines oberen Bereichs der Fotoresist-Schicht 110 zu ermöglichen, der sich in der Nähe der Oberseite oder Oberfläche der Fotoresist-Schicht 110 befindet. Allgemein gesagt, ist die Säurekonzentration in der Nähe der Oberseite der Fotoresist-Schicht 110 höher als an der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112. Dieses Säureprofil kann unter Verwendung der Energie von der Vollbelichtung in Verbindung mit den Eigenschaften des Schichtstapels (z. B. Brechzahl, Dicke, Zusammensetzung) realisiert werden. Die ankommende Wellenlänge kann so optimiert werden, dass eine höhere Verstärkung zwischen dem einfallenden Licht und dem reflektierten Licht in einem oberen Bereich oder Teil der Fotoresist-Schicht 110 entsteht. Die Vollbelichtung kann eine Wellenlänge von 300 nm bis 400 nm und/oder eine Dosis von 0,1 J/cm2 bis 4 J/cm2 umfassen. Die spezifischen Prozessbedingungen können im Hinblick auf die Zusammensetzung des Schichtstapels festgelegt werden, und diese Prozessbedingungen können von den vorgesehenen Bereichen abgeleitet werden.
  • Bei einer Ausführungsform kann die Vollbelichtung dazu verwendet werden, eine höhere Konzentration der Säure in der Nähe der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 zu ermöglichen. Allgemein gesagt, ist die Säurekonzentration in der Nähe der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 höher als an der Oberseite der Fotoresist-Schicht 110. Dieses Säureprofil kann unter Verwendung der Energie von der Vollbelichtung in Verbindung mit den Eigenschaften des Schichtstapels (z. B. Brechzahl, Dicke, Zusammensetzung) realisiert werden. Die ankommende Wellenlänge kann so optimiert werden, dass eine höhere Verstärkung zwischen dem einfallenden Licht und dem reflektierten Licht in einem oberen Bereich oder Teil der Fotoresist-Schicht 110 entsteht. Die Vollbelichtung kann eine Wellenlänge von 300 nm bis 400 nm und/oder eine Dosis von 0,1 J/cm2 bis 4 J/cm2 umfassen. Die spezifischen Prozessbedingungen können im Hinblick auf die Zusammensetzung des Schichtstapels festgelegt werden, und diese Prozessbedingungen können von den vorgesehenen Bereichen abgeleitet werden.
  • Bei einer Ausführungsform kann eine zweite Belichtung Licht mit Wellenlängen von mehr als 300 nm umfassen, wenn die erste Belichtung Wellenlängen von weniger als 300 nm umfasst, insbesondere wenn die erste Belichtung Wellenlängen in dem EUV-Spektrum umfasst. Bei einer speziellen Ausführungsform können die Wellenlängen bei der zweiten Belichtung etwa 365 nm betragen, wenn die erste Belichtung eine Wellenlänge von weniger als oder gleich etwa 248 nm, 193 nm oder 13,5 nm umfasst. Bei einer speziellen Ausführungsform können die Wellenlängen des Lichts 124 etwa 365 nm betragen.
  • Bei einer weiteren Ausführungsform kann die Zusammensetzung der Fotoresist-Schicht 110 oder der darunter befindlichen Schicht 112 so geändert werden, dass sie das Reflexionsvermögen beeinflusst. Zum Beispiel kann die Fotoresist-Schicht 110 oder die darunter befindliche Schicht 112 mit Chromophoren (nicht dargestellt) dotiert werden, die das Reflexionsvermögen dadurch begrenzen können, dass sie das Licht 124 absorbieren oder bestimmte Wellenlängen des Lichts 124 selektiv absorbieren und andere Wellenlängen des Lichts 124 reflektieren. Auf diese Weise können die Chromophore so gewählt werden, dass sie bestimmte Wellenlängen des Lichts absorbieren, um die Menge der Lichtwellenlängen zu optimieren, die in die Fotoresist-Schicht 110 zurück reflektiert werden. Chromophore sind im Allgemeinen organische Verbindungen, die ein Kohlenstoff-Element und mindestens ein oder mehrere der folgenden Elemente umfassen: H, O, N, Bl, Br, S oder I. Die Chromophoren-Verbindungen können eine Wellenlänge mit dem maximalen Absorptionsgrad in dem Bereich von 250 nm bis 400 nm haben. Ein oder mehrere Chromophore können so gewählt werden, dass sie unerwünschte Wellenlängen des Lichts 124 herausfiltern, die von der unter der Fotoresist-Schicht 110 befindlichen Schicht 112 reflektiert werden können oder von einer über der Fotoresist-Schicht 110 befindlichen Schicht (nicht dargestellt) absorbiert werden können. Die Chromophoren-Verbindungen können unter anderem CH3-CH3, CH4, CH3-OH oder CH3-SH umfassen. Außer der Art und der Konzentration kann auch die Lage der Chromophore in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 das Reflexionsvermögen beeinflussen. Das Reflexionsvermögen kann zumindest teilweise davon beeinflusst werden, wo sich die höchste Konzentration der Chromophore in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 befindet. Die höchste Konzentration kann sich an einer Stelle in der Fotoresist-Schicht 110 oder der darunter befindlichen Schicht 112 befinden. Im Allgemeinen kann der Konzentrationsunterschied zwischen einem Bereich mit hoher Konzentration und einem Bereich mit niedriger Konzentration mehr als mindestens 5 Vol.-%, Masse-% oder Mol-% betragen.
  • Bei einer Ausführungsform haben die Chromophore eine höhere Konzentration an der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 als in dem übrigen Teil der Fotoresist-Schicht 110 oder der darunter befindlichen Schicht 112. Bei einer weiteren Ausführungsform haben die Chromophore eine höhere Konzentration in der Nähe der Oberfläche der Fotoresist-Schicht 110, die der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 gegenüberliegt. Die Fotoresist-Schicht 110 kann eine höhere Konzentration von Chromophoren als die darunter befindliche Schicht 112 haben. In diesem Fall kann die Oberfläche oder der obere Teil der Fotoresist-Schicht 110 die höchste Chromophoren-Konzentration bei dieser Schichtstapel-Ausführungsform haben. Das Licht 124 kann von der Oberseite der Fotoresist-Schicht 110 reflektiert oder absorbiert werden, wodurch der Energiemenge (z. B. des Lichts 124), die zum Bilden der Säure 106 oder des PS 108 verwendet wird, minimiert werden kann.
  • Im Block 212 wird die Fotoresist-Schicht 110 durch eine chemische Behandlung zum Entfernen von Teilen 214 der Fotoresist-Schicht 110 entwickelt, die mit dem Zweischritt-Belichtungsprozess löslich gemacht wurden. Die Fotoresist-Schicht 110 kann ein positives Resist oder ein negatives Resist umfassen. Bei der Belichtung werden die belichteten Teile des positiven Resists löslich, während die belichteten Teile des negativen Resists unlöslich werden. Für den Zweischritt-Belichtungsprozess kann jede Art von Resist ohne Beschränkung verwendet werden.
  • Bei einer weiteren Ausführungsform des Zweischritt-Prozesses kann ein Härtungsschritt entweder nach der ersten Belichtung (z. B. EUV), aber vor der zweiten Belichtung (z. B. UV-Flutung), oder während der zweiten Belichtung selbst integriert werden. Wenn die EUV-Belichtungsdosis ihre Mindestgrenze erreicht, kommt es zu statistischen Problemen mit Photonen-/Sekundärelektronenschrot, die als EUV-Schrotrauschen bekannt sind. Da der PS 122 in der Nähe eines umgewandelten PAG gebildet wird, kopiert der PS 122 das Problem in hohem Maße. Der Effekt des Schrotrauschens bei der Konzentration des PS 108 führt wahrscheinlich zu einer nicht optimalen Linienbreitenrauheit (line width roughness; LWR), LER und CER in Abhängigkeit von der Struktur, wenn der Effekt des Schrotrauschens bei der Konzentration des PS 108 nicht vor oder während der UV-Flutungsanregung ausgeglichen wird. Dieses Problem kann durch Integrieren eines Härtungsschritts vor dem oder während des UV-Flutungsschritts überwunden werden, um eine Diffusion des PS 108 von etwa unter 10 nm zu induzieren, um das Schrotrauschen bei der Konzentration des PS 108 auszugleichen und eine bessere LWR, LER und CER in Abhängigkeit von dem Strukturtyp zu erzielen. Idealerweise sollte die Aktivierungsenergie für die Diffusion des PS 108 so festgelegt werden, dass sie viel niedriger als die Energie für die Aktivierung der Säurediffusion und der Verstärkung ist, sodass eine Härtung vor oder während der Flutung nur zu der Diffusion des PS 108 führen kann. Wenn das nicht der Fall ist, kann eine Co-Optimierung der Flutungshärtung und der herkömmlichen Härtung nach der Belichtung (nachdem der PS 122 weitere PAGs in Säuren umgewandelt hat) in einem gewissen Umfang erforderlich sein.
  • Bei einer Ausführungsform kann der Härtungsschritt nach der ersten Belichtung eine Erwärmung des Substrats in dem Bereich von 30°C bis 60°C für nicht mehr als 120 Sekunden umfassen. Alternativ könnte die Erwärmung auch in dem Bereich von 30°C bis 90°C für nicht mehr als 120 Sekunden durchgeführt werden. In bestimmten Fällen kann der Härtungsschritt nach der zweiten Belichtung durchgeführt werden, um die LWR, LER und CER in Abhängigkeit von dem Strukturtyp zu verbessern. Der zweite Härtungsprozess kann in dem Bereich von 80°C bis 130°C für nicht mehr als 120 Sekunden durchgeführt werden.
  • Weitere Ausführungsformen des Zweischritt-Prozesses können weitere Verfahren zum Ändern der Art und Weise umfassen, in der das Licht 124 in dem Schichtstapel reflektiert wird. Hierzu kann das Anordnen einer Zwischenschicht zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 gehören. Die Zwischenschicht (nicht dargestellt) kann zum Steuern des Reflexionsvermögens während der Belichtungen verwendet werden. Darüber hinaus können auch die PS-CAR-Chemikalien in die Zwischenschicht eingebaut werden, um eine höhere Konzentration von Säuren als in der Fotoresist-Schicht 110 zu erzeugen, die die PS-CAR-Chemikalien möglicherweise nicht enthält. Alternativ kann bei weiteren Ausführungsformen der neue Schichtstapel so konfiguriert werden, dass höhere Säurekonzentrationen in der Fotoresist-Schicht als in der Zwischenschicht entstehen. Zum Beispiel kann die Fotoresist-Schicht 110, und nicht die Zwischenschicht, die PS-CAR-Chemikalien haben.
  • Die 3A und 3B umfassen Darstellungen eines Ablaufdiagramms 300 eines Verfahrens für einen Zweischritt-Belichtungsprozess für einen anderen Schichtstapel (z. B. eine Fotoresist-Schicht und eine ARC-Schicht) und zugehörige Schnittansichten 302 einer Ausführungsform des Verfahrens. Das Reflexionsvermögen und die Absorption von Licht in dem Schichtstapel können nicht nur durch Abwandeln der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 geändert werden, sondern auch durch Hinzufügen und/oder Entfernen von Komponenten des Schichtstapels. Bei einem Ansatz kann der Schichtstapel eine ARC-Schicht 128 haben, die zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 angeordnet ist. Die ARC-Schicht 128 kann die Lage der Interferenz-Ebene beeinflussen, was die Säurekonzentration in der Fotoresist-Schicht 110 beeinflussen kann, wie es bei der Ausführungsform von 2 beschrieben worden ist. Bei weiteren Ausführungsformen können jedoch die PS-CAR-Chemikalien in die ARC-Schicht 128 statt in die Fotoresist-Schicht 110 eingebaut werden. Auf diese Weise kann die Säurekonzentration durch die ARC-Schicht 128 unter Verwendung von Verfahren geändert werden, die denen ähnlich sind, die bei der Ausführungsform von 2 beschrieben worden sind. Somit können sich die Eigenschaften des Schichtstapels und die Belichtungsbedingungen in Abhängigkeit von der Position der PS-CAR-Chemikalien in dem Schichtstapel ändern.
  • Im Block 304 wird ein Substrat erhalten, das eine untere Schicht 112, eine ARC-Schicht 128, die über der unteren Schicht 112 angeordnet ist, und eine Fotoresist-Schicht 110 aufweist, die über der ARC-Schicht 128 angeordnet ist. Der Schichtstapel kann so konfiguriert werden, dass die PS-CAR-Chemikalien in die ARC-Schicht 128 und/oder die Fotoresist-Schicht 110 eingebaut werden, um höhere Säurekonzentrationen an verschiedenen Positionen in dem Schichtstapel unter verschiedenen Belichtungsbedingungen und bei verschiedenen Schichtstapel-Eigenschaften (z. B. Brechzahl, Dicke usw.) zu erzeugen.
  • Die ARC-Schicht 128 oder die Fotoresist-Schicht 110 kann zwei oder mehr Lichtwellenlängen-Aktivierungsschwellen haben, die eine Folge von gesteuerten chemischen Reaktionen in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 ermöglichen, um Säure an ausgewählten Positionen und mit unterschiedlichen Konzentrationen zu bilden. Die ARC-Schicht 128 oder die Fotoresist-Schicht 110 kann eine PS-CAR-Chemikalie haben, die Säure in unterschiedlichen Konzentrationen oder Mengen in Abhängigkeit von der Wellenlänge und/oder der Dosis des Lichts und den Eigenschaften des Schichtstapels (z. B. Brechzahl, Dicke, Zusammensetzung usw.) bilden kann. Die ARC-Schicht 128, die Fotoresist-Schicht 110 und die darunter befindliche Schicht 112 können auch unter Verwendung der Verfahren oder Methoden zum Optimieren des Reflexionsvermögens oder des Absorptionsgrads konfiguriert werden, die in der Beschreibung zu den 1A bis 1D und 2 beschrieben worden sind.
  • Im Block 306 wird das Substrat 104 mit einem ersten Bereich von Wellenlängen des Lichts 114 durch eine strukturierte Maske 116 belichtet. Wie in der Beschreibung zu den 1A, 1B und 2 dargelegt worden ist, haben die belichteten Bereiche eine höhere Konzentration der Säure 106 und des PS 108 als die unbelichteten Bereiche. Wenn die ARC-Schicht 128 und die Fotoresist-Schicht 110 zum Beispiel mit einem ersten Bereich von Wellenlängen des Lichts 114 belichtet werden, können die PS-CAR-Chemikalien eine erste Menge Säure 106 in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 bilden. Die Anfangsmenge der Säure 106 kann in der ARC-Schicht 128 gebildet werden, wenn die PS-CAR-Chemikalien in die ARC-Schicht 128 oder in die Fotoresist-Schicht 110 eingebaut worden sind oder wenn die PS-CAR-Chemikalien nur in die Fotoresist-Schicht 110 eingebaut worden sind. Die PS-CAR-Chemikalien können jedoch teilweise zersetzt werden und können immer noch weitere Säure bilden, wenn die PS-CAR-Chemikalien mit einem zweiten Bereich von Lichtwellenlängen belichtet werden.
  • Im Block 308 wird das Substrat 104 mit einem zweiten Bereich von Wellenlängen (z. B. > 300 nm) eines Lichts 126 belichtet, sodass der PAG zersetzt werden kann und/oder der PS 122 angeregt werden kann, um den PAG zu zersetzen, um eine höhere Konzentration der Säure 120 in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 zu bilden. Die zweite Belichtung kann eine Vollbelichtung sein, bei der das Licht 124 über die gesamte ARC-Schicht 128 und Fotoresist-Schicht 110 verteilt wird. Durch die Vollbelichtung haben die Teile der ARC-Schicht 128 oder der Fotoresist-Schicht 110, die den PS 122 haben, eine höhere Bildungsrate oder Konzentration der Säure 120 als die Teile der ARC-Schicht 128 oder der Fotoresist-Schicht 110, die den PS 122 nicht haben oder zumindest eine niedrigere Konzentration des PS 122 haben.
  • Die Menge an Energie, die zu dem PAG oder dem PS 122 übertragen wird, kann durch Ändern der Belichtungsprozessbedingungen (z. B. Wellenlänge, Dosis usw.) und der Eigenschaften des Schichtstapels (z. B. Brechzahl, Dicke, Zusammensetzung usw.) optimiert werden. Die Prozessbedingungen und die Eigenschaften können so optimiert werden, dass die Lage der Interferenz-Ebene gesteuert werden kann, wie es vorstehend in der Beschreibung der 1A bis 1D und 2 dargelegt worden ist, wodurch eine höhere Energiemenge zu dem PAG oder dem PS 122 in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 entlang der oder in der Nähe der Interferenz-Ebene übertragen werden kann.
  • Bei einer ersten Ausführungsform können die Belichtungsprozessbedingungen (z. B. Wellenlänge, Dosis usw.) und die Eigenschaften des Schichtstapels so optimiert werden, dass eine höhere Konzentration der Säure 120 in der Nähe der Grenzfläche zwischen der ARC-Schicht 128 und der Fotoresist-Schicht 110 als an der Grenzfläche zwischen der ARC-Schicht 128 und der darunter befindlichen Schicht 112 erzielt werden kann. Die Bedingungen für den zweiten Belichtungsprozess können unter anderem Wellenlängen in dem Bereich von 300 nm bis 400 nm und Dosen in dem Bereich von 0,1 J/cm2 bis 1 J/cm2 sein. Die spezifischen Prozessbedingungen können im Hinblick auf die Zusammensetzung des Schichtstapels festgelegt werden, und diese Prozessbedingungen können von den vorgesehenen Bereichen abgeleitet werden.
  • Bei einer zweiten Ausführungsform können die Belichtungsprozessbedingungen (z. B. Wellenlänge, Dosis usw.) und die Eigenschaften des Schichtstapels so optimiert werden, dass eine höhere Konzentration der Säure 120 in der Nähe der Grenzfläche zwischen der ARC-Schicht 128 und der darunter befindlichen Schicht 112 als an der Grenzfläche zwischen der ARC-Schicht 128 und der Fotoresist-Schicht 110 erzielt werden kann. Die Bedingungen für den zweiten Belichtungsprozess können unter anderem Wellenlängen in dem Bereich von 300 nm bis 400 nm und Dosen in dem Bereich von 0,1 J/cm2 bis 4 J/cm2 sein. Die spezifischen Prozessbedingungen können im Hinblick auf die Zusammensetzung des Schichtstapels festgelegt werden, und diese Prozessbedingungen können von den vorgesehenen Bereichen abgeleitet werden.
  • Bei einer dritten Ausführungsform, die die ARC-Schicht 128 umfasst, können die Belichtungsprozessbedingungen (z. B. Wellenlänge, Dosis usw.) und die Eigenschaften des Schichtstapels so optimiert werden, dass eine höhere Konzentration der Säure 120 in der Nähe eines oberen Bereichs der Fotoresist-Schicht 110 als an der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 erzielt werden kann. Die Bedingungen für den zweiten Belichtungsprozess können unter anderem Wellenlängen in dem Bereich von 300 nm bis 400 nm und Dosen in dem Bereich von 0,1 J/cm2 bis 4 J/cm2 sein. Die spezifischen Prozessbedingungen können im Hinblick auf die Zusammensetzung des Schichtstapels festgelegt werden, und diese Prozessbedingungen können von den vorgesehenen Bereichen abgeleitet werden.
  • Bei einer vierten Ausführungsform, die keine ARC-Schicht 128 umfasst, können die Belichtungsprozessbedingungen (z. B. Wellenlänge, Dosis usw.) und die Eigenschaften des Schichtstapels so optimiert werden, dass eine höhere Konzentration der Säure 120 in der Nähe der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 als in der Nähe des oberen Bereichs der Fotoresist-Schicht 110 erzielt werden kann. Die Bedingungen für den zweiten Belichtungsprozess können unter anderem Wellenlängen in dem Bereich von 300 nm bis 400 nm und Dosen in dem Bereich von 0,1 J/cm2 bis 4 J/cm2 sein. Die spezifischen Prozessbedingungen können im Hinblick auf die Zusammensetzung des Schichtstapels festgelegt werden, und diese Prozessbedingungen können von den vorgesehenen Bereichen abgeleitet werden.
  • Bei einer fünften Ausführungsform, die zusammen mit einer der vorhergehenden vier Ausführungsformen verwendet wird, kann der Schichtstapel so optimiert werden, dass die Lage der Interferenz-Ebene in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 gesteuert werden kann. In diesem Fall hat die ARC-Schicht 128 oder die Fotoresist-Schicht 110 eine Brechzahl der oberen Grenzfläche oder eine Chromophoren-Verbindung, die näher an der Grenzfläche zwischen der ARC-Schicht 128 und der Fotoresist-Schicht 110 eine höhere Lichtinterferenz als an der Grenzfläche zwischen der ARC-Schicht 128 und der darunter befindlichen Schicht 112 erzeugt. Die Brechzahl kann in den Bereichen liegen, die vorstehend in den Beschreibungen zu den 1A bis 1D und 2 angegeben worden sind, um die Lage der Interferenz-Ebene in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 einzustellen. Eine Abwandlung dieser Ausführungsform umfasst einen Schichtstapel ohne die ARC-Schicht 128. In diesem Fall erzeugt die Brechzahl der oberen Grenzfläche oder die Chromophoren-Verbindung eine höhere Lichtinterferenz näher an dem oberen Bereich der Fotoresist-Schicht 110 als an der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112.
  • Bei einer sechsten Ausführungsform, die zusammen mit einer der Ausführungsformen 1 bis 4 verwendet wird, kann der Schichtstapel so optimiert werden, dass die Lage der Interferenz-Ebene in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 gesteuert werden kann. In diesem Fall hat die ARC-Schicht 128 oder die Fotoresist-Schicht 110 eine Brechzahl der unteren Grenzfläche oder eine Chromophoren-Verbindung, die eine höhere Lichtinterferenz näher an der Grenzfläche zwischen der ARC-Schicht 128 und der darunter befindlichen Schicht 112 als an der Grenzfläche zwischen der ARC-Schicht 128 und der Fotoresist-Schicht 110 erzeugt. Die Brechzahl kann in den Bereichen liegen, die vorstehend in den Beschreibungen zu den 1A bis 1D und 2 angegeben worden sind, um die Lage der Interferenz-Ebene in der ARC-Schicht 128 oder der Fotoresist-Schicht 110 einzustellen. Eine Abwandlung dieser Ausführungsform umfasst einen Schichtstapel ohne die ARC-Schicht 128. In diesem Fall erzeugt die Brechzahl der unteren Grenzfläche oder die Chromophoren-Verbindung eine höhere Lichtinterferenz näher an der Grenzfläche zwischen der Fotoresist-Schicht 110 und der darunter befindlichen Schicht 112 als an dem oberen Bereich der Fotoresist-Schicht 110.
  • Bei weiteren Ausführungsformen kann die zweite Belichtung eine strukturierte Belichtung sein, für die eine Maske zum Erzeugen von belichteten und unbelichteten Bereichen in der Fotoresist-Schicht 110 verwendet werden kann. Die Maske kann die gleiche Maske wie die bei der ersten Belichtung verwendete Maske 116 sein oder auch nicht. Auf diese Weise kann die Fotoresist-Schicht 110 drei oder mehr unterschiedliche Bereiche haben, die unterschiedliche Säuremengen haben. Daher kann die entwickelte Fotoresist-Schicht 110 Öffnungen mit mindestens zwei unterschiedlichen Profilwinkeln oder Geometrien haben.
  • Im Block 310 wird die Fotoresist-Schicht 110 durch chemische Behandlung entwickelt, um Teile 214 der Fotoresist-Schicht 110 zu entfernen, die mit dem Zweischritt-Belichtungsprozess löslich gemacht wurden. Die Fotoresist-Schicht 110 kann ein positives Resist oder ein negatives Resist haben. Bei der Belichtung werden die belichteten Teile des positiven Resists löslich, während die belichteten Teile des negativen Resists unlöslich werden. Für den Zweischritt-Belichtungsprozess kann jede Art von Resist ohne Beschränkung verwendet werden.
  • Bei einer weiteren Ausführungsform des Zweischritt-Prozesses kann ein Härtungsschritt entweder nach der ersten Belichtung (z. B. EUV), aber vor der zweiten Belichtung (z. B. UV-Flutung), oder während der zweiten Belichtung selbst integriert werden. Wenn die EUV-Belichtungsdosis ihre Mindestgrenze erreicht, kommt es zu statistischen Problemen mit Photonen-/Sekundärelektronenschrot, die als EUV-Schrotrauschen bekannt sind. Da der PS 122 in der Nähe eines umgewandelten PAG erzeugt wird, kopiert der PS 122 das Problem in hohem Maße. Der Effekt des Schrotrauschens bei der Konzentration des PS 108 führt ohne Ausgleichung dieses Effekts vor oder während der UV-Flutungsanregung wahrscheinlich zu einer nicht optimalen Linienbreitenrauheit (line width roughness; LWR), LER und CER in Abhängigkeit von dem Strukturtyp. Dieses Problem kann durch Integrieren eines Härtungsschritts vor dem oder während des UV-Flutungsschritts überwunden werden, um eine Diffusion des PS 108 von etwa unter 10 nm zu induzieren, um das Schrotrauschen bei der Konzentration des PS 108 auszugleichen und eine bessere LWR, LER und CER in Abhängigkeit von dem Strukturtyp zu erzielen. Idealerweise sollte die Aktivierungsenergie für die Diffusion des PS 108 so festgelegt werden, dass sie viel niedriger als die Energie für die Aktivierung der Säurediffusion und der Verstärkung ist, sodass eine Härtung vor oder während der Flutung nur zu der Diffusion des PS 108 führen kann. Wenn das nicht der Fall ist, kann eine Co-Optimierung der Flutungshärtung und der herkömmlichen Härtung nach der Belichtung (nachdem der PS 122 weitere PAGs in Säuren umgewandelt hat) in einem gewissen Umfang erforderlich sein.
  • Bei einer Ausführungsform kann der Härtungsschritt nach der ersten Belichtung eine Erwärmung des Substrats in dem Bereich von 30°C bis 60°C für nicht mehr als 120 Sekunden umfassen. Alternativ könnte die Erwärmung auch in dem Bereich von 30°C bis 90°C für nicht mehr als 120 Sekunden durchgeführt werden. In bestimmten Fällen kann der Härtungsschritt nach der zweiten Belichtung durchgeführt werden, um die LWR, LER und CER in Abhängigkeit von dem Strukturtyp zu verbessern. Der zweite Härtungsprozess kann in dem Bereich von 80°C bis 130°C für nicht mehr als 120 Sekunden durchgeführt werden.
  • Es dürfte klar sein, dass die vorstehende Beschreibung die Erfindung nur erläutern soll. Fachleute können verschiedene Alternativen und Modifikationen entwickeln, ohne von der Erfindung abzuweichen. Daher soll die vorliegende Erfindung alle diese Alternativen, Modifikationen und Abwandlungen umfassen, die innerhalb des Schutzumfangs der beigefügten Ansprüche liegen.

Claims (20)

  1. Verfahren zum Behandeln eines Substrats mit den folgenden Schritten; Bereitstellen des Substrats, das eine untere Schicht auf einer Oberfläche des Substrats, eine ARC-Schicht (ARC: anti-reflective coating; Antireflexbelag) auf der unteren Schicht und eine Fotoresist-Schicht auf der ARC-Schicht umfasst, wobei die ARC-Schicht Folgendes aufweist: eine erste Lichtwellenlängen-Aktivierungsschwelle, die die Bildung von Säure auf eine erste Säurekonzentration in der ARC-Schicht steuert, und eine zweite Lichtwellenlängen-Aktivierungsschwelle, die die erste Säurekonzentration auf eine zweite Säurekonzentration erhöht, wobei die zweite Lichtwellenlänge von der ersten Lichtwellenlänge verschieden ist; Belichten des Substrats mit einer ersten Lichtwellenlänge, wobei die erste Belichtung eine strukturierte Belichtung des Substrats ist und eine erste Säurekonzentration in der ARC-Schicht erzeugt; Belichten des Substrats mit einer zweiten Lichtwellenlänge, wobei die zweite Belichtung eine Vollbelichtung des Substrats ist und eine zweite Säurekonzentration in der ARC-Schicht erzeugt, wobei die zweite Konzentration höher als die erste Konzentration ist; und Entwickeln der ARC-Schicht, um eine oder mehrere Öffnungen in der ARC-Schicht zu erzeugen, die mit der strukturierten Belichtung übereinstimmen.
  2. Verfahren nach Anspruch 1, wobei die Fotoresist-Schicht Folgendes aufweist: eine erste Lichtwellenlängen-Aktivierungsschwelle, die die Bildung von Säure auf eine erste Säurekonzentration in der ARC-Schicht steuert; und eine zweite Lichtwellenlängen-Aktivierungsschwelle, die die erste Säurekonzentration auf eine zweite Säurekonzentration erhöht, wobei die zweite Lichtwellenlänge von der ersten Lichtwellenlänge verschieden ist.
  3. Verfahren nach Anspruch 1, wobei die Belichtung mit der zweiten Lichtwellenlänge eine Obere-Grenzflächen-Wellenlänge und eine Obere-Grenzflächen-Dosis umfasst, die eine höhere Säurekonzentration in der Nähe einer Grenzfläche zwischen der ARC-Schicht und der Fotoresist-Schicht als an einer Grenzfläche zwischen der ARC-Schicht und der unteren Schicht ermöglicht.
  4. Verfahren nach Anspruch 3, wobei die Obere-Grenzflächen-Wellenlänge eine Größe in dem Bereich von 300 nm bis 400 nm hat und die Obere-Grenzflächen-Dosis eine Größe in dem Bereich von 0,1 J/cm2 bis 4 J/cm2 hat.
  5. Verfahren nach Anspruch 1, wobei die Belichtung mit der zweiten Lichtwellenlänge eine Untere-Grenzflächen-Wellenlänge und eine Untere-Grenzflächen-Dosis umfasst, die eine höhere Säurekonzentration in der Nähe einer Grenzfläche zwischen der ARC-Schicht und der unteren Schicht als an einer Grenzfläche zwischen der ARC-Schicht und der Fotoresist-Schicht ermöglicht.
  6. Verfahren nach Anspruch 5, wobei die Untere-Grenzflächen-Wellenlänge eine Größe in dem Bereich von 300 nm bis 400 nm hat und die Untere-Grenzflächen-Dosis eine Größe in dem Bereich von 0,1 J/cm2 bis 4 J/cm2 hat.
  7. Verfahren nach Anspruch 1, wobei die ARC-Schicht eine Obere-Grenzflächen-Brechzahl oder eine Chromophoren-Verbindung aufweist, die eine höhere Lichtinterferenz näher an einer Grenzfläche zwischen der ARC-Schicht und der Fotoresist-Schicht als an einer Grenzfläche zwischen der ARC-Schicht und der unteren Schicht erzeugt.
  8. Verfahren nach Anspruch 1, wobei die ARC-Schicht eine Untere-Grenzflächen-Brechzahl oder eine Chromophoren-Verbindung aufweist, die eine höhere Lichtinterferenz näher an einer Grenzfläche zwischen der ARC-Schicht und der unteren Schicht als an einer Grenzfläche zwischen der ARC-Schicht und der Fotoresist-Schicht erzeugt.
  9. Verfahren nach Anspruch 1, wobei die ARC-Schicht Folgendes aufweist: einen Fotosensibilisator-Bildner, der Folgendes umfasst: Acetophenon, Triphenylen, Benzophenon, Fluorenon, Anthrachinon, Phenanthren oder deren Derivate; und einen fotochemischen Säurebildner, der Folgendes umfasst: mindestens eine der folgenden Verbindungen: Triphenylsulfoniumtriflat, Triphenylsulfoniumnonaflat, Triphenylsulfoniumperfluoroctylsulfonat, Triarylsulfoniumtriflat, Triarylsulfoniumnonaflat, Triarylsulfoniumperfluoroctylsulfonat, ein Triphenylsulfoniumsalz, ein Triarylsulfoniumsalz, ein Triarylsulfoniumhexafluorantimonatsalz, N-Hydroxynaphthalimidtriflat, 1,1-Bis[p-chlorphenyl]-2,2,2-trichlorethan (DDT), 1,1-Bis[p-methoxyphenyl]-2,2,2-trichlorethan, 1,2,5,6,9,10-Hexabromcyclododecan, 1,10-Dibromdecan, 1,1-Bis[p-chlorphenyl]-2,2-dichlorethan, 4,4-Dichlor-2-(trichlormethyl)benzhydrol, 1,1-Bis(chlorphenyl)-2,2,2-trichlorethanol, Hexachlordimethylsulfon, 2-Chlor-6-(trichlormethyl)pyridin oder deren Derivate.
  10. Verfahren nach Anspruch 1, wobei ein DBARC (developer-soluble bottom anti-reflective coating; entwicklerlöslicher unterer Antireflexbelag) Benzylmethacrylat oder Mevalonlactonmethacrylat umfasst.
  11. Verfahren nach Anspruch 1, wobei die ARC-Schicht eine Dicke in dem Bereich von 30 nm bis 120 nm hat.
  12. Verfahren nach Anspruch 1, wobei die Fotoresist-Schicht eine Dicke in dem Bereich von 30 nm bis 60 nm oder von 80 nm bis 250 nm hat.
  13. Verfahren zum Behandeln eines Substrats mit den folgenden Schritten: Bereitstellen des Substrats, das eine untere Schicht auf dem Substrat aufweist, Abscheiden einer Fotoresist-Schicht auf der unteren Schicht, wobei die Fotoresist-Schicht Folgendes aufweist: eine erste Lichtwellenlängen-Aktivierungsschwelle, die die Bildung von Säure auf eine erste Säurekonzentration in der Fotoresist-Schicht steuert, und eine zweite Lichtwellenlängen-Aktivierungsschwelle, die die erste Säurekonzentration auf eine zweite Säurekonzentration erhöht, wobei die zweite Lichtwellenlänge von der ersten Lichtwellenlänge verschieden ist; Belichten des Substrats mit einer ersten Lichtwellenlänge durch eine strukturierte Maske, wobei die erste Belichtung eine erste Säurekonzentration in der Fotoresist-Schicht erzeugt; Belichten des Substrats mit einer zweiten Lichtwellenlänge, wobei die zweite Lichtwellenlänge eine Wellenlänge umfasst, die von der ersten Lichtwellenlänge verschieden ist, und die zweite Belichtung eine zweite Säurekonzentration in der Fotoresist-Schicht erzeugt, wobei die zweite Konzentration höher als die erste Konzentration ist; und Entwickeln der Fotoresist-Schicht, um eine oder mehrere Öffnungen in der Fotoresist-Schicht zu erzeugen, die mit der strukturierten Maske übereinstimmen.
  14. Verfahren nach Anspruch 13, das weiterhin das Abscheiden einer ARC-Schicht auf dem Substrat aufweist, wobei die ARC-Schicht zwischen der unteren Schicht und der Fotoresist-Schicht angeordnet ist.
  15. Verfahren nach Anspruch 13, wobei die Belichtung mit der zweiten Lichtwellenlänge eine Obere-Grenzflächen-Wellenlänge und eine Obere-Grenzflächen-Dosis umfasst, die eine höhere Säurekonzentration in der Nähe eines oberen Bereichs der Fotoresist-Schicht als an einer Grenzfläche zwischen der Fotoresist-Schicht und der unteren Schicht ermöglicht.
  16. Verfahren nach Anspruch 15, wobei die Obere-Grenzflächen-Wellenlänge eine Größe in dem Bereich von 300 nm bis 400 nm hat und die Obere-Grenzflächen-Dosis eine Größe in dem Bereich von 0,1 J/cm2 bis 4 J/cm2 hat.
  17. Verfahren nach Anspruch 13, wobei die Belichtung mit der zweiten Lichtwellenlänge eine Untere-Grenzflächen-Wellenlänge und eine Untere-Grenzflächen-Dosis umfasst, die eine höhere Säurekonzentration in der Nähe einer Grenzfläche zwischen der Fotoresist-Schicht und der unteren Schicht als an einem oberen Bereich der Fotoresist-Schicht ermöglicht.
  18. Verfahren nach Anspruch 17, wobei die Untere-Grenzflächen-Wellenlänge eine Größe in dem Bereich von 300 nm bis 400 nm hat und die Untere-Grenzflächen-Dosis eine Größe in dem Bereich von 0,1 J/cm2 bis 4 J/cm2 hat.
  19. Verfahren nach Anspruch 13, wobei die Fotoresist-Schicht eine Obere-Grenzflächen-Brechzahl oder eine Chromophoren-Verbindung aufweist, die eine höhere Lichtinterferenz näher an einer Grenzfläche zwischen der unteren Schicht und der Fotoresist-Schicht als an einem oberen Bereich der Fotoresist-Schicht erzeugt.
  20. Verfahren nach Anspruch 13, wobei die Fotoresist-Schicht eine Untere-Grenzflächen-Brechzahl oder eine Chromophoren-Verbindung aufweist, die eine höhere Lichtinterferenz näher an einem oberen Bereich der Fotoresist-Schicht als an einer Grenzfläche zwischen der unteren Schicht und der Fotoresist-Schicht erzeugt.
DE112015000546.3T 2014-02-25 2015-02-24 Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists Pending DE112015000546T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461944394P 2014-02-25 2014-02-25
US61/944,394 2014-02-25
PCT/US2015/017353 WO2015130695A1 (en) 2014-02-25 2015-02-24 Chemical Amplification Methods and Techniques for Developable Bottom Anti-reflective Coatings and Dyed Implant Resists

Publications (1)

Publication Number Publication Date
DE112015000546T5 true DE112015000546T5 (de) 2016-11-10

Family

ID=53882086

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112015000546.3T Pending DE112015000546T5 (de) 2014-02-25 2015-02-24 Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists

Country Status (6)

Country Link
US (2) US10020195B2 (de)
JP (2) JP6895600B2 (de)
KR (1) KR102402422B1 (de)
DE (1) DE112015000546T5 (de)
TW (1) TWI553417B (de)
WO (1) WO2015130695A1 (de)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9618848B2 (en) * 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10020195B2 (en) * 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
US20170097570A1 (en) * 2014-05-21 2017-04-06 Osaka University Resist patterning method, latent resist image forming device, and resist material
JP6809843B2 (ja) * 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10386723B2 (en) * 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
US10551743B2 (en) 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
WO2017197288A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of a photo agent
US11112698B2 (en) * 2016-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with gradient composition for improved uniformity
WO2019060570A1 (en) 2017-09-22 2019-03-28 Tokyo Electron Limited METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10910466B2 (en) 2018-10-22 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Process for tuning via profile in dielectric material
KR20220046598A (ko) * 2019-08-16 2022-04-14 도쿄엘렉트론가부시키가이샤 확률 중심 결함 교정을 위한 방법 및 공정

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2541624C2 (de) * 1975-09-18 1982-09-16 Ibm Deutschland Gmbh, 7000 Stuttgart Wässrige Ätzlösung und Verfahren zum Ätzen von Polymerfilmen oder Folien auf Polyimidbasis
US4308337A (en) * 1980-03-10 1981-12-29 Rca Corporation Uniform light exposure of positive photoresist for replicating spiral groove in plastic substrate
US4402571A (en) * 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) * 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
EP0203215B1 (de) * 1985-05-29 1990-02-21 Ibm Deutschland Gmbh Verfahren zur Reparatur von Transmissionsmasken
US4931380A (en) * 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0740543B2 (ja) * 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH04205936A (ja) * 1990-11-30 1992-07-28 Hitachi Ltd 転写用成形媒体およびその製造方法
JP3081655B2 (ja) * 1991-03-12 2000-08-28 株式会社東芝 レジストパターンの形成方法
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
JPH06275484A (ja) * 1993-03-23 1994-09-30 Hitachi Ltd パターン形成方法
JPH08181049A (ja) * 1994-12-21 1996-07-12 Fujitsu Ltd パターン形成方法
JPH1083947A (ja) * 1996-09-09 1998-03-31 Hitachi Ltd レジストパターン形成方法およびその装置
US5905019A (en) * 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11237737A (ja) * 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6040118A (en) * 1998-10-30 2000-03-21 Advanced Micro Devices, Inc. Critical dimension equalization across the field by second blanket exposure at low dose over bleachable resist
JP3253604B2 (ja) * 1998-11-13 2002-02-04 セイコーエプソン株式会社 半導体装置の製造方法
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
US6420101B1 (en) * 2000-06-21 2002-07-16 Infineon Technologies A G Method of reducing post-development defects in and around openings formed in photoresist by use of non-patterned exposure
US20020072488A1 (en) * 2000-12-12 2002-06-13 Merkulov Gennady V. Isolated human transporter proteins, nucleic acid molecules encoding human transporter proteins, and uses thereof
US6555479B1 (en) * 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
JP3901997B2 (ja) 2001-11-27 2007-04-04 富士通株式会社 レジスト材料、レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US6699641B1 (en) * 2001-12-12 2004-03-02 Advanced Micro Devices, Inc. Photosensitive bottom anti-reflective coating
US7070914B2 (en) * 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) * 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP4545426B2 (ja) 2003-12-12 2010-09-15 ルネサスエレクトロニクス株式会社 パターン形成方法
JP4417090B2 (ja) * 2003-12-12 2010-02-17 株式会社ルネサステクノロジ パターン形成方法、マスクおよび露光装置
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
US20060269879A1 (en) * 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
JP2007334036A (ja) * 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
JP5610176B2 (ja) 2006-09-15 2014-10-22 三菱レイヨン株式会社 重合体、その製造方法、レジスト組成物及びその応用
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US7648918B2 (en) * 2007-08-20 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pattern formation in semiconductor fabrication
US8088548B2 (en) * 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
KR101585992B1 (ko) * 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US8236476B2 (en) * 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
JP5654479B2 (ja) * 2008-12-10 2015-01-14 ダウ コーニング コーポレーションDow Corning Corporation 切り替え可能な反射防止膜
KR20110096155A (ko) * 2008-12-10 2011-08-29 다우 코닝 코포레이션 습식 에칭가능한 반사방지 코팅
JP5840954B2 (ja) * 2009-02-19 2016-01-06 ブルーワー サイエンス アイ エヌシー. 酸感応性、現像剤可溶性の下層反射防止膜
US8124326B2 (en) * 2009-03-03 2012-02-28 Micron Technology, Inc. Methods of patterning positive photoresist
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
CN102712561A (zh) 2010-01-14 2012-10-03 三菱瓦斯化学株式会社 二环己烷衍生化合物及其制造方法
US8124319B2 (en) * 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
KR101909567B1 (ko) 2011-07-08 2018-10-18 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
CN103034048B (zh) 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
CN103309164A (zh) 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP2014143415A (ja) * 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
JP5988115B2 (ja) * 2013-02-20 2016-09-07 国立大学法人大阪大学 レジストパターン形成方法
CN106030417B (zh) * 2014-02-21 2020-02-28 东京毅力科创株式会社 光增感化学放大型抗蚀剂材料及使用了其的图案形成方法、以及半导体器件、光刻用掩模和纳米压印用模板的制造方法
JP6524388B2 (ja) * 2014-02-24 2019-06-05 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
JP6283120B2 (ja) * 2014-02-24 2018-02-21 東京エレクトロン株式会社 光増感化学増幅レジスト内の光増感剤濃度の測定メトロロジー
US9618848B2 (en) * 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
US9645495B2 (en) * 2014-08-13 2017-05-09 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
WO2019060570A1 (en) * 2017-09-22 2019-03-28 Tokyo Electron Limited METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE

Also Published As

Publication number Publication date
TW201541194A (zh) 2015-11-01
US20150241782A1 (en) 2015-08-27
TWI553417B (zh) 2016-10-11
JP7074990B2 (ja) 2022-05-25
US10020195B2 (en) 2018-07-10
WO2015130695A1 (en) 2015-09-03
KR20160127069A (ko) 2016-11-02
KR102402422B1 (ko) 2022-05-25
JP2017507372A (ja) 2017-03-16
JP2019215562A (ja) 2019-12-19
US20180315596A1 (en) 2018-11-01
JP6895600B2 (ja) 2021-06-30

Similar Documents

Publication Publication Date Title
DE112015000546T5 (de) Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists
US10534266B2 (en) Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
DE10346561B4 (de) Verfahren zur Herstellung einer Photomaske mit einer Transparenzeinstellschicht
DE102006053074B4 (de) Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
DE112007000997B4 (de) Verfahren zum Verkleinern des minimalen Teilungsmaßes in einer Struktur
DE10014083A1 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102006058795B4 (de) Verfahren zum Ausbilden einer Resiststruktur und Herstellungsverfahren für eine Halbleiter-Vorrichtung
DE102006049920A1 (de) Härtung eines Lacks nach dessen Bestrahlung
DE102010000033A1 (de) Verfahren zum Herstellen eines Halbleiterbauelements
DE10361257B4 (de) Verfahren zur Herstellung von feinen Mustern
DE4317925C2 (de) Verfahren zur Herstellung einer Halbleitereinrichtung
DE102021113271A1 (de) Verfahren zur Herstellung eines Halbleiterbauelement und Musterbildungsverfahren
DE102017122398A1 (de) Feuchtesteuerung bei der euv-lithografie
DE4041409C2 (de) Verfahren zur Herstellung eines Reliefbildes
DE3337315A1 (de) Zweifach-lichtempfindliche zusammensetzungen und verfahren zur erzeugung bildmustergemaesser photoresistschichten
DE102019123605A1 (de) Verbesserte gleichförmigkeit kritischer dimensionen (cd) von fotolack-inselstrukturen unter verwendung einer phasenverschiebungswechselmaske
DE102006002032A1 (de) Fotoempfindliche Beschichtung zum Verstärken eines Kontrasts einer fotolithographischen Belichtung
DE102018123498B4 (de) Photoresistzusammensetzung für tiefes ultraviolettes Licht und Verfahren zur Herstellung einer Halbleitervorrichtung
DE102021101198A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE10218955B4 (de) Verfahren zur Herstellung einer strukturierten Schicht auf einem Halbleitersubstrat
DE10106861C1 (de) Verfahren zur Herstellung feiner Resiststrukturen bei der Herstellung mikroelektronischer Bauelemente
WO2003102694A1 (de) Photosensitiver lack zur beschichtung auf einem halbleiter-substrat oder einer maske
DE10313893A1 (de) Vermeidung der Bildung eines Resistsockels auf einer TERA-Hartmaske
EP1421445A1 (de) Photolithographische maske
DE102014119634B4 (de) Verfahren zum herstellen von halbleitervorrichtungen und photolitographiematerial

Legal Events

Date Code Title Description
R012 Request for examination validly filed