TWI553417B - 用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術 - Google Patents

用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術 Download PDF

Info

Publication number
TWI553417B
TWI553417B TW104105998A TW104105998A TWI553417B TW I553417 B TWI553417 B TW I553417B TW 104105998 A TW104105998 A TW 104105998A TW 104105998 A TW104105998 A TW 104105998A TW I553417 B TWI553417 B TW I553417B
Authority
TW
Taiwan
Prior art keywords
layer
wavelength
light
photoresist layer
interface
Prior art date
Application number
TW104105998A
Other languages
English (en)
Other versions
TW201541194A (zh
Inventor
史帝芬 希爾
麥可A 卡卡希
班傑明M 盧斯薩克
馬克H 桑末薇拉
約書亞S 豪格
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201541194A publication Critical patent/TW201541194A/zh
Application granted granted Critical
Publication of TWI553417B publication Critical patent/TWI553417B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Electromagnetism (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術
本發明係關於基板處理方法,尤其是使用包含第一光波長活化閾值與第二光波活化閾值之抗反射層或光阻層的基板處理方法。
[交互參考之相關案件]
本申請案主張2014年2月25日申請名為「Chemical Amplification Methods and Techniques for Developable Bottom Anti-reflective Coatings and Dyed Implant Resists」的美國專利臨時申請案US 61/944,394為優先權母案,將其所有內容包含於此作為參考。
可溶於顯影劑的底抗反射塗層(DBARC)技術提供一種能提供基板反射控制但毋需BARC開口蝕刻的獨特能力,此獨特能力對於電子裝置製造的應用而言是具有吸引力的。植入微影技術受惠於DBARC技術,因為較佳的反射控制尤其是針對193nm植入層的較佳反射控制。DBARC技術亦藉著以DBARC取代傳統的微影BARC而省去了BARC開口蝕刻步驟,對所 有微影層提供較低的所有權費用。因此,能改善反射控制並降低費用的技術是令人想望的。
本申請案係主要關於能協助用以製造電子裝置之微影技術尤其是植入層微影技術之DBARC製程整合及CD/輪廓控制的材料與製程改善。改善光敏材料內之酸生成的一方案可以是包含能放大在曝光製程期間發生之化學反應的化學化合物。此方案的一實例為,可增加光敏材料或光阻內之化學反應的化學放大型光阻(CAR)。在此方式下,於相同的曝光類型與曝光量下,可將光阻中的化學物濃度增加至一較高程度。光敏材料可包含但不限於光阻、經染色的光阻或抗反射塗層。
可溶於顯影劑的底抗反射塗層(DBARC)技術因為其提供基板反射控制但毋需BARC開口蝕刻製程的獨特能力而被業界考慮。與DBARC相關的,有至少三種針對DBARC的實施路徑:1)非光敏但可溶於顯影劑的系統,其具有等方向性顯影的特性如聚醯胺酸衍生物。2)光敏之DBARC,能啟動顯影可溶性用的光敏裂解反應如甲基丙烯酸苄酯(BMA)與甲基丙烯酸甲羥戊酸內酯(MLMA),或能啟動顯影可溶性用之交聯/去交聯反應如具有含羥基之聚合物的乙烯氧材料。3)在無光酸產生劑之DBARC(無PAG)中光阻引發之顯影行為。在此第三方案中,DBARC並非是天生光敏的,對於顯影其取決於自已曝光之光阻擴散的光酸。或者,亦可將酸放大技術應用至光阻,申請專利範圍並不限於DBARC應用。
在三種途徑的兩種中,可藉著將光敏化學放大型光阻(PS-CAR)化學品含入DBARC本身中而非含入光阻中或除了光阻外額外添加光敏化學放大型光阻化學品,施行其中一種方案。此方案可助於消除因在DBARC中來自PS-CAR化學品/UV全面給劑的酸濃度放大所造成的有機殘留物留存。此技術亦允許分離的DBARC CD控制手段,這使得吾人能藉由UV全面給劑而獲得更容易的曝光範圍匹配/控制。另一方案可以是,將PSCAR化學品含入光阻中並利用反射率控制來確保光阻/BARC界面處的高酸濃度。此實施例亦可助於消除因DBARC中來自光阻/DBARC擴散程序的較高酸濃度所留存的有機殘留物。此技術亦允許分離的DBARC CD控制手段,這使得吾人能藉由UV全面給劑而獲得更容易的曝光範圍匹配/控制。
對於選擇性地控制DBARC及/或光阻層內的酸濃度,存在著幾種可能的方案。一方案可以是,使PS-CAR化學品引發或改變PS-CAR化學品而引發PAG或其他化合物對一薄膜界面的親和力高於對另一薄膜界面的親和力。在此方式下,PAG或其他化合物可至少部分基於表面能或化學勢的表異而擴散或遷移至薄膜內的某些位置處。或者,薄膜疊層可在薄膜疊層內的某些位置處包含吸光成分(如發色團)。吸光成分可吸收光並將能量轉移至可用以在吸光成分附近產生酸的相鄰的化學品。在此方式下,可控制薄膜內或薄膜疊層之界面處的酸生成,以在薄膜或薄膜疊層的期望區域內產生比未包含吸光材料之其他區域內更高的酸濃度。在薄膜或薄膜疊層內產生較高酸濃度的另一方案可以是在薄膜疊層的選定區域處選擇性地導入較高位準的能量。例如,在疊加原理下,在薄膜疊層內入射光與反射光的建設性干涉可至少部分基於光波長、薄膜的折射率及/或薄膜的厚度而在薄膜 疊層的局部區域內產生較高位準的能量。建設性干涉區域內的光可將較大量的能量轉移至薄膜中,這可導致在建設性干涉的區域內比未發生建設性干涉或發生較低量建設性干涉的區域內有更大量的酸生成。另一方案可使用上述方案中的一或多者的組合。
薄膜疊層可包含設置於基板上之一光阻層與一下層之間的一抗反射塗層(ARC)。PS-CAR化學品可被含入ARC層或光阻層中。薄膜疊層內的酸生成可發生在ARC層內或光阻層內以最佳化當光阻層受到顯影時開口的幾何特性。可最佳化薄膜疊層的化學及/或物理配置並配合曝光製程以在薄膜疊層的選定區域內選擇性地產生較高的酸濃度。
在一實施例中,ARC層或光阻層可包含能放大曝光製程期間可發生之化學反應的化學化合物。此方案的一實例為使用可增加光敏材料或光阻內之化學反應或化學物質濃度的PS-CAR。可藉著使用一兩步驟曝光製程而控制光阻內的化學物質濃度。
第一曝光可以是一圖案化的曝光,其藉由光阻內的化學物質的反應而自光酸產生劑(PAG)產生光敏劑(PS)與酸。可調變該第一曝光製程,以最大化藉由PS的吸光度以及最大化PAG的吸光度。這在一實施例中可藉著選擇小於300nm的光波長而達成。第二曝光可激發PS,PS可分解額外的PAG以在已受到曝光的圖案化區域中獲得酸放大但最小化在第一曝光期間處於黑暗或未受到曝光的區域中的酸濃度。在一實施例中,兩步驟曝光製程可使用兩相異波長(例如極紫外光(EUV)、紫外光)。圖案化的曝光可使用EUV或UV光譜波長而全面曝光可使用UV光譜波長。PS-CAR化學品可具有第一光波長活化閾值,此第一光波長活化閾值將ARC層或光阻層中的酸生成控 制至第一酸濃度。由於具有第二光波長活化閾值的PS-CAR化學品能增將第一酸濃度增加第二酸濃度,第二曝光可自第一酸濃度產生第二較高濃度。
在另一實施例中,可藉著改變薄膜疊層之結構以在薄膜疊層的期望區域內引發建設性干涉,以在薄膜疊層內選擇性地產生酸。這可藉著最佳化膜層厚度、膜層的折射率及/或光波長及/或劑量而達成。在一替代性的實施例中,光可在薄膜疊層中選擇性包含光吸收材料(如發色團)的局部區域內受到吸收。
100‧‧‧圖示
102‧‧‧橫剖面圖
104‧‧‧基板
106‧‧‧酸
108‧‧‧PS
110‧‧‧光阻層
112‧‧‧下層
114‧‧‧光
118‧‧‧圖示
120‧‧‧酸
122‧‧‧PS
124‧‧‧光
126‧‧‧橫剖面圖
128‧‧‧ARC層
130‧‧‧橫剖面圖
132‧‧‧橫剖面圖
200‧‧‧流程圖
202‧‧‧橫剖面圖
204‧‧‧接收包含一下層的基板
206‧‧‧將一光阻層沈積在下層上,光阻層包含一第一光波長活化閾值及一第二光波長活化閾值
208‧‧‧經由一圖案化遮罩將第一光波長之光曝露至基板上
210‧‧‧將第二光波長之光曝露至基板上
212‧‧‧顯影基板上的光阻層
304‧‧‧接收包含一下層、一抗反射塗層(ARC)與一光阻層的基板
306‧‧‧經由一圖案化遮罩將第一光波長之光曝露至基板上
308‧‧‧將第二光波長之光曝露至基板上
310‧‧‧顯影光阻層與ARC層
被包含於說明書中並構成說明書之一部分的附圖、上面的本發明之發明內容及下面的實施方式係用以解釋本發明。此外,參考標號的最左碼(複數碼)能用以識別參考標號首先出現的圖示。
圖1A顯示PS-CAR抗反射塗層(ARC)在曝露至第一光波長範圍後的化學物濃度輪廓以及受到第一光波長範圍之圖案化曝光之基板上的薄膜疊層的橫剖面圖。
圖1B顯示圖1A之PS-CAR ARC層在曝露至第二光波長範圍後的化學物濃度輪廓以及受到第二光波長範圍之全面曝光之基板上的薄膜疊層的橫剖面圖。
圖1C顯示PS-CAR光阻層在曝露至第二光波長範圍後的化學物濃度輪廓以及受到第二光波長範圍之全面曝光之基板上的薄膜疊層的橫剖面圖。
圖1D顯示PS-CAR光阻層在曝露至第二光波長範圍後的化學物濃度輪廓以及受到第二光波長範圍之全面曝光之基板上的薄膜疊層的橫剖面圖。
圖2包含薄膜疊層(如光阻層)之兩步驟曝光製程用的方法的流程圖以及該方法之一實施例的隨附橫剖面圖。
圖3A-3B包含另一薄膜疊層(如光阻層與ARC層)之兩步驟曝光製程用的方法的流程圖以及該方法之一實施例的隨附橫剖面圖。
應瞭解,以下敘述僅意在說明本發明。熟知此項技藝者在不脫離本發明的範疇的情況下可思及各種替代與修改。因此,本發明意在包含落在隨附申請專利範圍內的所有此類替代、變化及修改。
在半導體製造中,使用光阻或光敏材料並藉由沈積、圖案化及蝕刻基板上的薄膜而製造電子裝置。為了改善裝置的效能與良率,必須要微縮電子裝置的尺寸並維持影像品質(例如解析度、線寬粗糙度及敏感度)。極紫外光(EUV)微影技術為用以微縮尺寸的一方案,其天生的限制會衝擊現行的光阻化學品。例如,EUV光阻可能需要對EUV光源的較低能量進行補償並同時維持光阻敏感度及影像解析度。解決較低能量限制的一方案包含使用下列文獻中所揭露的光敏化學放大型光阻(PS-CAR):Seiichi Tagawa等人在Journal of Photopolymer Science and Technology之第26卷(2013)第6號825-830頁所發表的“Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist(PS-CAR)Process”。雖然使用PS-CAR提供了致能EUV製程的機會,但可使用額外的技術改善光阻層或ARC層中的吸收與 反射控制,以改良EUV製程的影像品質。然而,此些技術可不限於EUV製程,其亦可被用於UV微影製程。
PS-CAR化學品可藉著兩步驟曝光製程而致用,兩步驟曝光製程可至少基於塗覆有光阻之基板曝光的光類型而產生不同濃度的酸及/或光敏劑(PS)。當光與光阻中的PAG交互作用時可產生酸,且當光與可被包含至光阻中之PS產生劑交互作用時可產生PS。
PS-CAR光阻可包含光敏劑生成化合物與光酸產生(PAG)化合物。光敏劑分子可吸收光能並將光能轉移至另一分子(如PAG)。某些PS化合物可在基態中轉換能量但其他者可在激發態中轉移能量。PS生成化合物可包含但不限於苯乙酮、三伸苯、二苯甲酮、芴酮、蒽醌、菲或上述者的衍生物。光酸產生劑(PAG)可以是能將受到吸收的光能轉換為化學能(例如酸性反應)的陽離子型光引發劑。酸生成化合物可包含但不限於下列的至少一者:三氟甲磺酸三苯基鋶、全氟丁基磺酸三苯基鋶、全氟辛基磺酸三苯基鋶、三氟甲磺酸三芳基鋶、全氟丁基磺酸三芳基鋶、全氟辛基磺酸三芳基鋶、三苯基鋶鹽、三芳基鋶鹽、三芳基鋶六氟銻鹽、N三氟甲磺酸羥基萘亞胺、1,1-二[對氯苯基]-2,2,2-三氯乙烷(DDT)、1,1-二[對甲氧苯基]-2,2,2-三氯乙烷、1,2,5,6,9,10-六溴環十二烷、1,10-二溴癸烷、1,1-二[對氯苯基]2,2-二氯乙烷、4,4-二氯-2(三氯甲基)二苯甲醇、1,1-二(氯苯基)2-2,2-三氯乙醇、六氯二甲基碸、2-氯-6-(三氯甲基)吡啶或上述者的衍生物。
參考圖1A & 1B,圖1A包含第一曝光後酸106與PS 108之濃度的圖示100以及基板104上之薄膜疊層的橫剖面圖102。圖1B包含第二曝光後酸120與PS 122之濃度的圖示118以及基板104上之薄膜疊層的橫剖面圖126。 在一實施例中,第一曝光可具有小於300nm之主要光114的波長,第二曝光之一部分可具有大於之主要光波長。
圖1A中的圖示100說明了第一曝光的結果,在第一曝光期間PS-CAR可用以產生相對較低濃度的酸106與PS 108。第一曝光的光波長可具有相對較低的能量以產生初始量之酸106與初始量之PS 108。圖示100係用於解釋的目的,其意在顯示在兩步驟製程期間的濃度差異而非限制第一或第二曝光期間的濃度規模或範圍。意圖在強調,在第一曝光期間PAG可能無法完全分解或轉換為酸,第二曝光可增加ARC層128內的酸濃度。
在一實施例中,薄膜疊層可包含沈積在抗反射塗層(ARC)128上的光阻層110以及形成或沈積在基板104上的下層112。ARC層128可包含但不限於PS-CAR化學品以及可用以控制光之反射率或吸光度的額外成分。在第一曝光期間,當經由圖案化遮罩116暴露具有第一波長之光114時,可產生初始量之酸106及初始量之PS 108。當光與ARC層128之曝光區域相互作用時,會啟動PS-CAR化學反應。光未與ARC層128相互作用之未曝光區域不會發生PS-CAR化學反應或者相較於曝光區域發生最少量或可忽略量的PS-CAR化學反應。如橫剖面圖102中所示,在ARC層128之曝光區域處有較高的酸/PS濃度。在此特定的實施例中,在ARC層128與下層112的界面處或ARC層128與光阻層110的界面處有較高的酸/PS濃度。酸/PS濃度的峰值位置可隨著薄膜疊層與曝光特性而改變。
在圖1B中,第二圖示118顯示了ARC層128在第二曝光後酸濃度120及/或PS濃度122的較高位準。第二曝光可以是第二波長範圍之光124的全面曝光。然而,PS 122會增加酸濃度或PAG的分解速率。PS 122可位於 光阻層110在第一曝光期間被暴露至第一波長114的部分中。因此,如第二曝光之橫剖面圖126中所示,在該些位置處的酸濃度120可高於在第一曝光期間未受暴露位置處的酸濃度。
除了在下列文獻中所述的該些技術外,藉著使用光阻層110、ARC層128及/或下層112的各種特性控制ARC層128內的酸濃度120量或酸濃度位置,可最佳化酸120的濃度與位置:Seiichi Tagawa等人在Journal of Photopolymer Science and Technology第26卷6號(2013)825-830頁中發表之“Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist Process”。此些技術可增加在曝光步驟期間可被轉移至PAG/PS的能量的量,以特製光阻層110在顯影後的輪廓或幾何特性。
在其他實施例中,可使用圖案化的曝光以選擇性地在薄膜疊層的不同區域內產生酸。在一方案中,可將酸產生劑或促進劑的位置導向薄膜疊層內的局部區域。概括而言,這可包含變化ARC層128或光阻層110的化學組成或特性。此方案可包含但不限於,使PS-CAR化學品引發或改變PS-CAR化學品而引發PAG或其他化合物對一薄膜界面的親和力高於對另一薄膜界面的親和力。在此方式下,PAG或其他化合物可基於表面能或化學勢差異而擴散或遷移。
在另一方案中,較高位準的能量可選擇性地被轉移至ARC層128或光阻層110以在該些選定的位置處產生較高濃度的酸。在一實施例中,薄膜疊層可包在薄膜疊層內的特定位置中包含吸光成分(如發色團)。吸光成分可吸收光並將能量轉移至相鄰的產生劑化學品,產生劑化學品可用以在吸光成分附近產生酸。在此方式下,可控制薄膜內或薄膜疊層之界面處的酸 產生以在薄膜或薄膜疊層的期望區域內產生高於不包含吸光材料之其他區域的較高酸濃度。
在一實施例中,ARC層128或光阻層110可包含非均勻摻入的PSCAR化學品。這可包含將PSCAR化學品的分離能力導入ARC層128或光阻層110內。這通常涉及特製化各別ARC層128或光阻層110的化學成分以對薄膜疊層內之複數界面具有不同親和力。可藉著製作塗膜與烘烤硬體而對化學成分分離提供增加的驅動力,以強化分離能力。一實施例可以是將較高濃度的PSCAR化學品/光敏劑驅動至基板104(Si、氧化物、氮化物等),有助於確保足夠的酸存在而去保護(de-protect)靠近基板表面的ARC層128以確保在ARC層128或光阻層110/基板104的界面處沒有留下有機殘留物。另一實施例可以是將較高濃度的PSCAR化學品/光敏劑驅動至ARC層128/光阻層110的界面,確保足夠的酸存在以去保護(de-protect)在接近ARC層128/光阻層110界面處的ARC層128及/或確保ARC層128的壁自上至下傾斜。可將此些實施例應用至較佳地使用斜邊光阻/ARC側壁以避免遮蔽效應的斜角植入。又,此些實施例允許分離的ARC CD控制手段,這使得吾人能藉由UV全面給劑而獲得更容易的曝光範圍匹配/控制。此實施例亦有助於消除因ARC中來自光敏劑/UV全面激發與接續ARC PAG分解製程所留存的有機殘留物。最後,此實施例允許額外的側壁角度(SWA)控制,這有助於針對斜角植入製作輪廓(斜邊)以避免遮蔽效應。
在另一實施例中,ARC層128或光阻層110可包含特別針對UV全面曝光波長的一或多種發色團(複數發色團)/染料,目的在於光敏ARC內的PS-CAR與光敏劑活化/濃度操控但不會不利地影響圖案化曝光波長下的光 阻抗反射控制。這可涉及針對ARC層128選擇發色團(複數發色團),此發色團仍允許光阻層110內的抗反射控制。這亦可使吾人能對ARC層128或光阻層110內的不同區域訂製出因UV全面吸收效應所造成的最高強度。藉著選擇特別針對UV全面曝光的發色團(複數發色團),可將較高濃度的PSCAR化學品/光敏劑驅趕至ARC/光阻界面,協助確保足夠的酸存在而去保護(de-protect)靠近ARC/光阻界面的ARC層128以確保ARC壁自上至下傾斜。這有助於較佳地使用斜邊光阻/ARC側壁以避免遮蔽效應的接續斜角植入製程。此實施例亦允許分離的ARC CD控制手段,這使得吾人能藉由UV全面給劑而獲得更容易的曝光範圍匹配/控制。
可選擇發色團吸收特定光波長以最佳化可被反射回ARC層128及/或光阻層110中的光量或光波長。一般而言,發色團通常為包含碳元素以及下列元素中至少一或多者的有機化合物:H、O、N、Bl、Br、S或I。發色團化合物的最大吸收波長可介於135nm至400nm之間。可選擇一或多種發色團以濾除可被下層112所反射或被光阻層110之上層(未顯示)吸收之非所欲光124的波長。發色團化合物可包含但不限於CH3-CH3、CH4、CH3OH或CH3-SH。除了種類與濃度外,發色團在ARC層128或光阻層110內的位置亦可影響反射率。反射率至少部分會基於發色團在ARC層128或光阻層110內最高濃度的所處位置而受到影響。最高濃度可位於光阻層110或下層112內的任何位置處。一般而言,高濃度區域與低濃度區域的濃度差異可大於至少5體積%、質量%或莫耳%。
在薄膜或薄膜疊層內選擇性地產生較高酸濃度的另一方案可是以,利用薄膜疊層的特性、入射光及/或來自下層112的反射光在薄膜疊層 的選定區域處導入較高位準的能量。例如,在疊加原理下,在薄膜疊層內入射光與反射光的建設性干涉可至少部分基於光波長、薄膜的折射率及/或薄膜的厚度而在薄膜疊層的局部區域內產生較高位準的能量。建設性干涉區域內的光可將較大量的能量轉移至薄膜中,這可導致在建設性干涉的區域內比薄膜未發生建設性干涉的其他部分內有更大量的酸生成。另一方案可使用上述方案中的一或多者的組合。
在一實施例中,可客製UV全面曝光之波長、薄膜疊層的厚度及薄膜疊層的折射率以獲得光敏劑活化同時使來自UV全面曝光之入射光與反射光之干涉的最高強度較佳地位在ARC層128或光阻層110中。可客製上述變數(例如波長等)以將PSCAR化學劑/光敏劑的較高濃度驅趕至薄膜疊層之複數界面的至少一界面處。在一實施例中,較高的酸濃度可確保足夠的酸存在而去保護(de-protect)靠近下層112的ARC層128以確保在ARC/下層的界面處沒有留下有機殘留物。在另一實施例中,可客製前述變數以將較高濃度的PSCAR化學品/光敏劑驅趕至ARC/光阻界面以協助確保足夠的酸存在而去保護(de-protect)靠近ARC/光阻界面的ARC層128以確保ARC壁自上至下傾斜。此些實施例可用於較佳地使用斜邊光阻/ARC側壁以避免遮蔽效應的斜角植入製程。此實施例亦允許分離的ARC CD控制手段,這使得吾人能藉由UV全面給劑而獲得更容易的曝光範圍匹配/控制。此實施例亦有助於消除因ARC中來自光敏劑/UV全面激發與接續ARC PAG分解製程所留存的有機殘留物。最後,此實施例允許額外的SWA控制,這有助於針對斜角植入製作輪廓(斜邊)以避免遮蔽效應。
在此實施例中,可利用對ARC層128或光阻層110折射率(RI)的客製化/共最佳化,控制反射率並允許光敏ARC層128或光阻層110內的PSCAR光敏劑活化/濃度操控。這可包含選擇一ARCRI,此ARCRI仍允許光阻層110內的抗反射控制但亦允許來自UV全面曝光之入射光與反射光之干涉的最高強度較佳地位在ARC層128或光阻層110中。例如,選擇一ARC層128折射率A可將較高濃度的PSCAR化學品/光敏劑驅趕至ARC/下層的界面,以協助確保足夠的酸存在而去保護(de-protect)靠近下層112的ARC層128以確保在ARC/下層的界面處沒有留下有機殘留物。在另一情況中,選擇ARC層128折射率B可致使較高濃度的PSCAR化學劑/光敏劑至光阻/ARC的界面,以協助確保足夠的酸存在而去保護(de-protect)靠近ARC/光阻界面的ARC層128以確保ARC壁自上至下傾斜。在一實施例中,針對第二曝光(例如>200nm)的折射率可取決於薄膜疊層的組成與特性而在0.95至1.7之間變化。在其他實施例中,針對第二曝光(如~193nm)的折射率可取決於薄膜疊層的組成與特性而大於1.7。
上述的技術可單獨使用或組合使用,以選擇性地控制ARC層128或光阻層110內的酸濃度。例如,在一實施例中,薄膜疊層可包含將發色團(複數發色團)/染料含入薄膜疊層的至少一界面處以及客製至少一薄膜疊層膜層的化學成分,以對薄膜疊層的一或多個界面具有不同的親和力。此些技術亦可被應用至將PS-CAR化學品含入光阻層110中且ARC層128可能存在或不存在於薄膜疊層中的實施例。
圖1C顯示類似於圖1A & 1B實施例之薄膜疊層的橫剖面圖130,但此實施例將PS-CAR化學品含入光阻層110中而非含入ARC層128中。橫剖面 圖反應了在經過類似於圖1A之圖案化曝光後再經過全面曝光之後薄膜疊層的狀態。因此,在光阻層110內而非在ARC層128內產生化學物濃度輪廓(如酸120、PS 122)。亦可應用上述用以控制ARC層128內之酸位置及/或酸濃度的技術以控制光阻層110內之酸位置及/或酸濃度。
圖1D顯示類似於圖1A & 1B實施例之薄膜疊層的橫剖面圖132,但此實施例將PS-CAR化學品含入光阻層110中且自薄膜疊層省略ARC層128。橫剖面圖反應了在經過類似於圖1A之圖案化曝光後再經過全面曝光之後薄膜疊層的狀態。因此,化學物濃度輪廓(如酸120、PS 122)係產生於光阻層110內。亦可應用上述用以控制ARC層128內之酸位置及/或酸濃度的技術以控制光阻層110內之酸位置及/或酸濃度。光阻層110可包含可用以圖案化基板104之任何類型的光敏材料。光阻層110可包含但不限於正型光阻、負型光阻、經摻雜之光阻、或經染色之光阻。
圖1A-1D中所示之薄膜疊層實施例的變化可導致用以圖案化可能具有各種組成(如PAG)或特性(如厚度、RI等)之薄膜疊層的不同製程條件。為了便於解釋的目的,用以顯影光阻層110與ARC層128的方法的說明將涵蓋三個主要的實施例。圖1A/1B實施例將酸產生化合物含入介於光阻層110與下層112之間的ARC層128內。圖1CC實施例將酸產生化合物含入ARC層128上的光阻層110內。最後,圖D實施例將酸產生化合物含入光阻層110內,其中薄膜疊層不包含ARC層128。
圖2包含薄膜疊層(如光阻層110、無ARC層128)之兩步驟曝光製程用的方法的流程圖200以及隨附的橫剖面圖202。在此實施例中,PS-CAR化學品可被含入光阻層110中。光阻層110可包含可用以圖案化基板104之任何 類型的光敏材料。光阻層110可包含但不限於正型光阻、負型光阻、經摻雜之光阻、或經染色之光阻。兩步驟曝光製程可用以致使來自光阻層110內之PS-CAR成分的不同反應。PS-CAR成分可包含PSCAR化學品、酸產生劑或用以產生酸的PAG,其可改變光阻層110的感光特性。流程圖200之方法可藉由可沈積、圖案化及/或顯影光阻層110的一或多個製程室施行。
在步驟204處,以塗佈設備接收基板104,塗佈設備可將光阻層110分散至基板104上。基板104可包含一或多層下層112,下層112可藉由光阻層110而加以圖案化。可最佳化下層112的厚度及組合以控制光自基板104之表面反射的方式。在一實施例中,可基於下層112的折射率與厚度選擇下層112的類型。可利用光阻層110的特性(如折射率、厚度等)最佳化此些特徵,以控制在兩步驟曝光製程期間能量轉移至光阻層110的方式。
在步驟206處,可將光阻層110沈積或分散至下層112上。光阻層110可包含兩或更多個光波長活化閾值,這能致使在光阻層110內發生順序經過控制的化學反應,以在選定位置處產生酸以及產生不同濃度的酸。光阻層110可包含PS-CAR化學品,PS-CAR化學品可取決於光114的波長及/或劑量而產生不同濃度或不同量的酸。例如,當第一波長範圍內的光114對光阻層110曝光時,PS-CAR化學品可在光阻層110內產生第一量的酸。然而,當PSCAR化學品被曝光至第二波長範圍內的光時,PSCAR化學品可部分分解且仍能產生額外的酸。
在一實施例中,光114/124的第一波長範圍與第二波長範圍可彼此互斥且不包含任何重疊的波長。例如,第一範圍可為小於200nm的任何波長,第二範圍可大於或等於200nm。在其他實施例中,波長可重疊俾使第 一波長可小於100nm而第二範圍可為大於5nm的任何波長。在一特定的實施例中,光114的第一波長範圍可小於30nm(如為13.5nm)而光114的第二波長範圍可大於200nm。在另一特定實施例中,光114的第一波長範圍可小於或等於193nm而光114的第二波長範圍可大於200nm。在另一特定實施例中,第一波長範圍可小於或等於248nm而第二波長範圍可大於200nm。光阻層110可用於乾式或浸潤式微影製程或KrF雷射微影製程或EUV微影製程。
除了發色團之外,反射率可受到光阻層110及/或下層112之折射率及/或厚度影響。折射率可為當光124傳播進入一介質中時會彎曲或折射多少的量化表示。折射率可為光在真空中之速度與光在介質中之速度的比值。變化光124的方向可使得更多能量被轉移至光阻層110及/或下層112中。例如,光可沿著薄膜疊層的較長部分反射或者可自下層112及/或基板104反射回到光阻層110中。因為入射光與反射光之間的干涉(未顯示),入射光及反射光可在薄膜疊層內產生更多能量。藉著最佳化薄膜疊層成分(如光阻層110、下層112及/或基板104)的折射率及/或厚度,可將干涉位置最佳化至薄膜疊層內的期望位置處。在一實施例中,可選擇光阻層110使其包含一上界面折射率,可調變上界面折射率以及光阻層厚度、光波長及/或下層的反射率以在光阻層110內產生較高程度之入射光與反射光之間的建設性干涉。在此情況中,最高的干涉量會較靠近光阻層110的上表面而非光阻層110與下層112的界面。在另一實施例中,可選擇光阻層110以使其包含一下界面折射率,可調變下界面折射率以及光阻層厚度、光波長及/或下層的反射率以在光阻層110內產生較高程度之入射光與反射光之間的建設性干涉。在 此情況中,最高的干涉量會較靠近光阻層110與下層112的界面而非光阻層110的上表面。
廣泛地來說,光阻層110的折射率可在0.95至1.75之間變化而厚度可在30nm至250nm之間變化。例如,目標波長約為248nm之光阻層110可具有介於1.3至1.75的折射率及介於80nm至250nm更較佳地介於100nm至120nm的厚度。目標波長約為193nm之光阻層110可具有介於1.65至1.75的折射率及介於80nm至250nm更較佳地介於100nm至120nm的厚度。目標波長小於15nm之EUV光阻層110可具有介於0.95至1.05的折射率及介於30nm至60nm的厚度。
在一實施例中,可最佳化薄膜疊層特性以在光阻層110與下層112之間的界面處獲得較高之入射光114與反射光(未顯示)之間的光干涉。可調變較高的光干涉,使其發生在橫跨薄膜疊層之一水平平面內。在一實施例中,干涉平面可在光阻層110與下層112之間的界面處或靠近此界面。然而,干涉平面並不限於該界面,可利用上述申請案中的技術或熟知此項技藝者當瞭解的技術調整此干涉平面。分佈於整個干涉平面的較高能量能在平面各處產生濃度高於光阻層110其他區域的酸。在界面處之酸106的較高濃度可用以移除或分解下層112之表面上的有機物質或客製光阻層110受到顯影時的輪廓角度或幾何特徵。
在另一實施例中,干涉平面可位於與光阻層110和下層112間之界面相對的光阻層110表面。在光阻層110中具有較高酸濃度處可產生較高的光干涉。較高酸濃度可改變經顯影之光阻層110的輪廓角度或幾何特徵。例如,在經顯影之光阻層110中的開口在開口上部處較寬而在光阻層110與下 層112的界面處較窄。控制酸106的位置與濃度能調整光阻層110中之開口的輪廓角度或幾何特徵。可使用此些最佳化的開口幾何特徵來最小化線/間距圖案化時的微橋接及/或接觸洞圖案化時的殘渣現象。
在步驟208處,兩步驟製程可包含經由圖案化遮罩116將第一波長之光114曝露至基板104上的薄膜疊層上。在一實施例中,光阻層110可包含PS-CAR化學品,當被曝露至波長小於300nm之光時PS-CAR化學品可產生低量的酸106。酸生成可在光阻層110受到曝光的部分附近發生。來自圖案化遮罩116的光114可使光阻層110未受曝光部分具有較低酸濃度而光阻層110的已曝光部分具有較高酸濃度。
在其他實施例中,可變化光124的劑量與波長以調整光阻層110之已曝光部分內的酸濃度量。例如,在EUV實施例中,光114的波長可小於15nm或接近13.5nm。在此情況中,曝光製程可將光114限制至EUV光譜,俾使範圍以外的輻射(如UV光~>30nm)不會被曝露至光阻層110。然而,在其他實施例中,光114可包含比EUV實施例波長更廣泛的波長範圍。例如,光114可包含EUV與UV光譜內的特定波長但可不包含來自整個EUV或UV光譜內的波長。
在一特定實施例中,光114可包含EUV光譜以及一部分上至200nm的UV光譜,但可不包含波長大於200nm的114。例如,曝光製程可包含波長不大於193nm的光114。然而在另一實施例中,曝光製程可包含波長不大於248nm的光114且可包含波長13.5nm與193nm。
在另一特定實施例中,光114可包含UV光譜且可排除EUV光譜。是以,曝光製程可包含193nm製程或248nm製程但可不包含波長小於30nm的光114。
藉著將酸106與PS 108曝露至額外的能量(如光124)而增加酸的量及/或在光阻層10內擴散酸,可將可在光阻層110之已曝光區域中產生的酸106與PS 108的初始量增加至一較大的量。
在步驟210處,可將光阻層110曝露至具有第二波長範圍的光124,光124的第二波長範圍可不同於第一曝光的波長。此外,第二曝光可為全面曝光(blanket or flood exposure),即將整個光阻層110曝露至光124。然而,可能不需要全面曝光且在替代方案中可進行圖案化的曝光。
在一實施例中,可使用全面曝光使得在靠近光阻層100的上部區域處(即接近光阻層110的上部或表面處)有較高酸濃度。大致上,在光阻層110之上表面附近的酸濃度係高於光阻層110與下層112之界面處的酸濃度。可使用來自全面曝光的能量並搭配薄膜疊層的特性(如RI、厚度、組成)以成就此酸輪廓。可最佳化入射波長以在光阻層110的上部區域或一部分中產生較高量的入射光與反射光之間的建設性干涉。全面曝光可包含介於300nm至400nm之間的波長及/或介於0.1J/cm2至4J/cm2之間的劑量。可鑑於薄膜疊層的組成來決定特定的製程條件,此些製程條件可源自所提供的範圍。
在一實施例中,可使用全面曝光使得在接近光阻層110與下層112的界面處有較高酸濃度。大致上,在光阻層110與下層112之界面處的酸濃度係高於光阻層110之上表面處的酸濃度。可使用來自全面曝光的能量並搭 配薄膜疊層的特性(如RI、厚度、組成)以成就此酸輪廓。可最佳化入射波長以在光阻層110的上部區域或一部分中產生較高量的入射光與反射光之間的建設性干涉。全面曝光可包含介於300nm至400nm之間的波長及/或介於0.1J/cm2至4J/cm2之間的劑量。可鑑於薄膜疊層的組成來決定特定的製程條件,此些製程條件可源自所提供的範圍。
在一實施例中,當第一曝光具有波長小於300nm的光時,第二曝光可包含波長大於300nm的光。更具體而言,當第一曝光具有EUV光譜範圍內的波長時。在一特定實施例中,當第一曝光具有小於或等於約248nm、193nm或13.5nm的波長時,第二曝光波長可約為365nm。在一特定實施例中,光124的波長可約為365nm。
在另一實施例中,可改變光阻層110或下層112的組成以影響反射率。例如,可以發色團(未顯示)摻雜光阻層110或下層112,發色團藉著吸收光124或選擇性地吸收某些光124的波長並反射其他光124的波長可限制反射率。在此方式下,可選擇發色團以吸收某些光波長以最佳化可反射回光阻層110中的光量或光波長。一般而言,發色團通常為包含碳元素以及下列元素中之至少一或多者的有機化合物:H、O、N、Bl、Br、S或I。發色團化合物的最大吸收波可介於250nm至400nm之間。可選擇一或多種發色團以濾除可被下層112所反射或被光阻層110之上層(未顯示)吸收之非所欲光124的波長。發色團化合物可包含但不限於CH3-CH3、CH4、CH3OH或CH3-SH。除了種類與濃度外,發色團在ARC層128或光阻層110內的位置亦可影響反射率。反射率至少部分會基於發色團在ARC層128或光阻層110內最高濃度的所處位置而受到影響。最高濃度可位於光阻層110或下層112內的任何位 置處。一般而言,高濃度區域與低濃度區域的濃度差異可大於至少5體積%、質量%或莫耳%。
在一實施例中,發色團包含在光阻層110與下層112之界面處的較高濃度及在下層112或光阻層110之剩餘部分處的較低濃度。在另一實施例中,發色團包含在與光阻層110與下層112之界面相對之光阻層110表面附近的較高濃度。光阻層110所具有的發色團濃度可高於下層112所具有的發色團濃度。在此情況下,光阻層110之表面或上部可具有在此薄膜疊層實施例中最高的發色團濃度。光124可被光阻層110的上表面反射或吸收,這可最小化可被用以產生酸106或PS 108的能量(如光124)的量。
在步驟212處,可利用化學處理顯影光阻層110以移除光阻層110被兩步驟曝光製程變得可溶的部分214。光阻層110可包含正型光阻或負型光阻。正型光阻被曝露至光之已曝光部分可變得可溶,而負型光阻的已曝光部分變得不可溶。兩步驟曝光製程可使用任何類型的光阻而不受限。
在兩步驟製程的另一實施例中,可在第一曝光(如EUV)之後但在第二曝光(如UV全面曝光)之前或第二曝光本身期間包含烘烤步驟。當EUV曝光劑量接近其最低界限時,會有光子/二次電子散粒(photon/secondary electron shot)的統計問題即已知的EUV散粒雜訊。由於PS 122被產生在任何已轉變之PAG附近,PS 122會大量地複製此問題。若未在UV全面激活之前或原位期間平滑化PS濃度散粒雜訊效應,取決於特徵部,PS 108濃度散粒雜訊效應可能會導致非最佳化的線寬粗糙度(LWR)、LER與CER。藉著在UV全面曝光步驟之前或期間包含烘烤步驟而引發PS 108擴散次10nm的位準以平滑化PS 108濃度散粒雜訊可克服此問題並依據特徵部類型導致較佳 的LWR、LER與CER。理想地,可設計PS 108擴散的活化能俾使其遠低於酸擴散與放大的活化能,如此一來在全面曝光前或原位期間的烘烤可有效地只導致PS 108擴散。若非如此,則可能需要某個程度的全面烘烤及傳統的曝光後(在PS 122已將額外的PAG轉變為酸後)烘烤的共最佳化。
在一實施例中,烘烤步驟可包含在第一曝光後將基板加熱至介於30C至60C的溫度不超過120秒。或者,亦可在介於30C至90C的溫度下進行加熱不超過120秒。在某些情況中,可依據特徵部類型在第二曝光後進行烘烤步驟以改善LWR、LER與CER。第二加熱製程可介於80C至130C且不超過120秒。
兩步驟製程的其他實施例可包含用以改變光124如何在薄膜疊層內反射的額外技術。這可包含將一中間層設置於光阻層110與下層112之間。此中間層(未圖示)可用以在曝光期間控制反射率。此外,此中間層亦可包含PS-CAR化學品以在可能不包含PS-CAR化學品的光阻層110中產生更高濃度的酸。或者,在其他實施例中,可設計新的薄膜疊層以在光阻層中而非在中間層中產生較高濃度的酸。例如,光阻層110而非中間層包含PS-CAR化學品。
圖3A-3B包含另一薄膜疊層(如光阻層及抗反射層)之兩步驟曝光製程之方法的流程圖300以及此方法之一實施例的隨附橫剖面圖。除了改變光阻層110與下層112之外,亦可藉著添加及/或移除薄膜疊層的成分以變化薄膜疊層中光的反射率與吸收。在一方案中,薄膜疊層可包含設置在光阻層110與下層112之間的ARC層128。ARC層128可影響干涉平面的位置,如在圖2實施例中所述其可影響光阻層110內的酸濃度。然而,在其他實施例 中,PSCAR化學品可被含入ARC層128中而非光阻層110中。在此方式下,利用類似於圖2實施例中所述的該些技術可經由ARC層128變化酸濃度。因此,薄膜疊層的特性及曝光條件可依據薄膜疊層內的PS-CAR化學品的位置而改變。
在步驟304處,接收基板,基板包含下層112、設置於下層112上方的ARC層128及設置在ARC層128上方的光阻層110。可配置薄膜疊層將PS-CAR化學品含入ARC層128及/或光阻層110以在各種曝光條件與薄膜疊層特性(如RI、厚度等)於薄膜疊層內的不同位置處產生較高酸濃度。
ARC層128或光阻層100可包含兩或更多個光波長活化閾值,這能致使在ARC層128或光阻層110內發生順序經過控制的化學反應,以在選定位置處產生酸以及產生不同濃度的酸。ARC層128或光阻層100可包含PS-CAR化學品,PS-CAR化學品可取決於光的波長及/或劑量以及薄膜疊層特性(如RI、厚度、組成等)而產生不同濃度或不同量的酸。亦可利用針對圖1A-1D與2所述之用以最佳化反射率或吸光度的技術配置ARC層128、光阻層110與下層112。
在步驟306處,經由圖案化遮罩116將第一波長範圍之光114曝露至基板104。如針對圖1A-1B與2所述,經曝光之區域比未經曝光區域具有更高濃度的酸106與PS 108。例如,當第一波長範圍內之光114被曝露至ARC層128與光阻層110時,PSCAR化學品可在ARC層128或光阻層110內產生第一量之酸106。當PS-CAR化學品已被含入ARC層128或光阻層110中或者當PS-CAR化學品已被含入光阻層110中時,可在ARC層128中產生初始酸 106。然而,當PSCAR化學品被曝光至第二波長範圍內的光時,PSCAR化學品可部分分解且仍能產生額外的酸。
在步驟308處,可將基板104曝露至第二波長範圍(如>300nm)的光126,其可分解PAG及/或激發PS 122而分解PAG以在ARC層128或光阻層110內產生較高濃度的酸120。第二曝光可為全面性曝光,將光124分佈至整個ARC層128與光阻層110。由於全面曝光,ARC層128或光阻層110包含PS 122的部分會比ARC層128或光阻層110不包含PS 122或至少具有較低濃度之PS 122的部分具有酸120的較高產生率或較高濃度。
藉著改變曝光製程條件(如波長、劑量等)及薄膜疊層的特性(如RI、厚度、組成等),可最佳化被轉移至PAG或PS 122的能量的量。可如上面針對圖1A-1D &圖2所述,最佳化製程條件與特性以控制干涉平面的位置,如此能使較高量的能量沿著干涉平面轉移至ARC層128或光阻層110中的PAG或PS 122或轉移至靠近干涉平面之ARC層128或光阻層110中的PAG或PS 122。
在第一實施例中,可最佳化曝光製程條件(如波長、劑量等)與薄膜疊層的特性以在靠近ARC層128與光阻層100間之界面處而非ARC層128與下層112間之界面處產生較高濃度的酸120。第二曝光製程條件可包含但不限於介於300nm至400nm之間的波長及介於0.1J/cm2至1J/cm2之間的劑量。可鑑於薄膜疊層的組成來決定特定的製程條件,此些製程條件可源自所提供的範圍。
在第二實施例中,可最佳化曝光製程條件(如波長、劑量等)與薄膜疊層的特性以在靠近ARC層128與下層112間之界面處而非ARC層128與 光阻層100間之界面處產生較高濃度的酸120。第二曝光製程條件可包含但不限於介於300nm至400nm之間的波長及介於0.1J/cm2至4J/cm2之間的劑量。可鑑於薄膜疊層的組成來決定特定的製程條件,此些製程條件可源自所提供的範圍。
在不包含ARC層128的第三實施例中,可最佳化曝光製程條件(如波長、劑量等)與薄膜疊層的特性以在靠近光阻層110的上部處而非光阻層110與下層112間的界面處產生較高濃度的酸120。第二曝光製程條件可包含但不限於介於300nm至400nm之間的波長及介於0.1J/cm2至4J/cm2之間的劑量。可鑑於薄膜疊層的組成來決定特定的製程條件,此些製程條件可源自所提供的範圍。
在不包含ARC層128的第四實施例中,可最佳化曝光製程條件(如波長、劑量等)與薄膜疊層的特性以在靠近光阻層110與下層112間的界面處而非光阻層110的上部處產生較高濃度的酸120。第二曝光製程條件可包含但不限於介於300nm至400nm之間的波長及介於0.1J/cm2至4J/cm2之間的劑量。可鑑於薄膜疊層的組成來決定特定的製程條件,此些製程條件可源自所提供的範圍。
在可與前面四個實施例一起使用的第五實施例中,可最佳化薄膜疊層以控制在ARC層128或光阻層110內之干涉平面的位置。在此情況中,ARC層128或光阻層110包含一上界面折射率或發色團化合物,其可以在較靠近ARC層128與光阻層110的界面處而非ARC層128與下層112的界面處產生較高的光干涉。折射率可在上面圖1A-1D與圖2之敘述中所述的範圍間變化以調整ARC層128或光阻層110中之干涉平面的位置。此實施例的一變化 型可包含省略ARC層128的薄膜疊層。此實施例可以在較靠近光阻層110的上部處而非光阻層110與下層112的界面處產生較高的光干涉。
在可與前面四個實施例一起使用的第六實施例中,可最佳化薄膜疊層以控制在ARC層128或光阻層110內之干涉平面的位置。在此情況中,ARC層128或光阻層110包含一下界面折射率或發色團化合物,其可以在較靠近ARC層128與下層112的界面處而非ARC層128與光阻層110的界面處產生較高的光干涉。折射率可在上面圖1A-1D與圖2之敘述中所述的範圍間變化以調整ARC層128或光阻層110中之干涉平面的位置。此實施例的一變化型可包含省略ARC層128的薄膜疊層。此實施例可以在較靠近光阻層110與下層112的界面處而非光阻層110的上部處產生較高的光干涉。
在其他實施例中,第二曝光可以是可使用遮罩以在光阻層110中產生經曝光與未經曝光區域的圖案化曝光。該遮罩可以是或可不是在第一曝光中所使用之相同遮罩116。在此方式下,光阻層110可包含具有各種酸量的三或更多不同區域。因此,經顯影的光阻層110可具有至少有兩個不同輪廓角度或幾何特徵的複數開口。
在步驟310處,可利用化學處理顯影光阻層110以移除光阻層110被兩步驟曝光製程變得可溶的部分214。光阻層110可包含正型光阻或負型光阻。正型光阻被曝露至光之已曝光部分可變得可溶,而負型光阻的已曝光部分變得不可溶。兩步驟曝光製程可使用任何類型的光阻而不受限。
在兩步驟製程的另一實施例中,可在第一曝光(如EUV)之後但在第二曝光(如UV全面曝光)之前或第二曝光本身期間包含烘烤步驟。當EUV曝光劑量接近其最低界限時,會有光子/二次電子散粒(photon/secondary electron shot)的統計問題即已知的EUV散粒雜訊。由於PS 122被產生在任何 已轉變之PAG附近,PS 122會大量地複製此問題。若未在UV全面激活之前或原位期間平滑化PS濃度散粒雜訊效應,取決於特徵部,PS 108濃度散粒雜訊效應可能會導致非最佳化的線寬粗糙度(LWR)、LER與CER。藉著在UV全面曝光步驟之前或期間包含烘烤步驟而引發PS 108擴散次10nm的位準以平滑化PS 108濃度散粒雜訊可克服此問題並依據特徵部類型導致較佳的LWR、LER與CER。理想地,可設計PS 108擴散的活化能俾使其遠低於酸擴散與放大的活化能,如此一來在全面曝光前或原位期間的烘烤可有效地只導致PS 108擴散。若非如此,則可能需要某個程度的全面烘烤及傳統的曝光後(在PS 122已將額外的PAG轉變為酸後)烘烤的共最佳化。
在一實施例中,烘烤步驟可包含在第一曝光後將基板加熱至介於30C至60C的溫度不超過120秒。或者,亦可在介於30C至90C的溫度下進行加熱不超過120秒。在某些情況中,可依據特徵部類型在第二曝光後進行烘烤步驟以改善LWR、LER與CER。第二加熱製程可介於80C至130C且不超過120秒。
應瞭解,前面敘述僅意在說明本發明。熟知此項技藝者在不脫離本發明的範疇的情況下可思及各種變化與修改。因此,本發明意在包含落在隨附申請專利範圍內的所有此類替代、變化及修改。
104‧‧‧基板
110‧‧‧光阻層
112‧‧‧下層
118‧‧‧圖示
120‧‧‧酸
122‧‧‧PS
124‧‧‧光
126‧‧‧橫剖面圖
128‧‧‧ARC層

Claims (20)

  1. 一種基板處理方法,包含:接收一基板,該基板在該基板之一表面上包含一下層、在該下層上的一抗反射塗層(ARC)與在該ARC層上的一光阻層,該ARC層包含:一第一光波長活化閾值,用以在該ARC層中控制酸生成至一第一酸濃度;及一第二光波長活化閾值,用以將該第一酸濃度增加至一第二酸濃度,該第二光波長係不同於該第一光波長;將一第一光波長之光曝露至該基板上,此第一曝光為該基板之一圖案化曝光,其中該第一曝光在該ARC層中產生一第一濃度的酸;將一第二光波長之光曝露至該基板上,此第二曝光為該基板之一全面曝光,其中該第二曝光在該ARC層中產生一第二濃度的酸,該第二濃度係高於該第一濃度;及顯影該ARC層以在該ARC層中產生與該圖案化曝光對準的一或多個開口。
  2. 如申請專利範圍第1項之基板處理方法,其中該光阻層包含:一第一光波長活化閾值,用以將該ARC層中產生的酸控制至一第一酸濃度;及一第二光波長活化閾值,用以將該第一酸濃度增加至一第二酸濃度,該第二光波長係不同於該第一光波長。
  3. 如申請專利範圍第1項之基板處理方法,其中該第二光波長之該第二曝光包含:一上界面波長與一上界面劑量使得該酸的較高濃度係靠近該ARC層與該光阻層之間的一界面處而非該ARC層與該下層之間的一界面處。
  4. 如申請專利範圍第3項之基板處理方法,其中該上界面波長包含介於300nm至400nm的一波長大小而該上界面劑量包含介於0.1J/cm2至4J/cm2之間的一劑量大小。
  5. 如申請專利範圍第1項之基板處理方法,其中該第二光波長之該第二曝光包含:一下界面波長與一下界面劑量使得該酸的較高濃度係靠近該ARC層與該下層之間的一界面處而非該ARC層與該光阻層之間的一界面處。
  6. 如申請專利範圍第5項之基板處理方法,其中該下界面波長包含介於300nm至400nm的一波長大小而該下界面劑量包含介於0.1J/cm2至4J/cm2之間的一劑量大小。
  7. 如申請專利範圍第1項之基板處理方法,其中該ARC層包含一上界面折射率或一發色團化合物以在靠近該ARC層與該光阻層之間的一界面處而非該ARC層與該下層之間的一界面處產生較高的光干涉。
  8. 如申請專利範圍第1項之基板處理方法,其中該ARC層包含一下界面折射率或一發色團化合物以在靠近該ARC層與該下層之間的一界面處而非該ARC層與該光阻層之間的一界面處產生較高的光干涉。
  9. 如申請專利範圍第1項之基板處理方法,其中該ARC層包含:一光敏劑生成化合物,其包含:苯乙酮;三伸苯;二苯甲酮;芴酮;蒽醌;菲;或上述者的衍生物;及 一光酸生成化合物,其包含下列者的至少一者:三氟甲磺酸三苯基鋶、全氟丁基磺酸三苯基鋶、全氟辛基磺酸三苯基鋶、三氟甲磺酸三芳基鋶、全氟丁基磺酸三芳基鋶、全氟辛基磺酸三芳基鋶、三苯基鋶鹽、三芳基鋶鹽、三芳基鋶六氟銻鹽、N-三氟甲磺酸羥基萘亞胺、1,1-二[對氯苯基]-2,2,2-三氯乙烷(DDT)、1,1-二[對甲氧苯基]-2,2,2三氯乙烷,1,2,5,6,9,10-六溴環十二烷、1,10-二溴癸烷、1,1-二[對氯苯基]2,2-二氯乙烷,4,4-二氯-2(三氯甲基)二苯甲醇、1,1-二(氯苯基)2-2,2-三氯乙醇、六氯二甲基碸、2-氯-6-(三氯甲基)吡啶、或上述者之衍生物。
  10. 如申請專利範圍第1項之基板處理方法,其中該ARC包含甲基丙烯酸苄酯或甲基丙烯酸甲羥戊酸內酯。
  11. 如申請專利範圍第1項之基板處理方法,其中該ARC層包含介於30nm至120nm之間的一厚度。
  12. 如申請專利範圍第1項之基板處理方法,其中該光阻層包含介於30nm至60nm之間或介於80nm至250nm之間的一厚度。
  13. 一種基板處理方法,包含:接收一基板,該基板在該基板上包含一下層;在該下層上沈積一光阻層,該光阻層包含:一第一光波長活化閾值,用以在該光阻層中控制酸生成至一第一酸濃度;及一第二光波長活化閾值,用以將該第一酸濃度增加至一第二酸濃度,該第二光波長係不同於該第一光波長;及經由一圖案化遮罩將一第一光波長之光曝露至該基板上,其中該第一曝光在該光阻層中產生一第一濃度的酸; 將一第二光波長之光曝露至該基板,該第二光波長的該光包含不同於該第一光波長的一波長,其中該第二曝光在該光阻層中產生一第二濃度的酸,該第二濃度係高於該第一濃度;及顯影該光阻層以在該光阻層中產生與該圖案化遮罩對準的一或多個開口。
  14. 如申請專利範圍第13項之基板處理方法,更包含:將一抗反射塗層(ARC)沈積至該基板上,該ARC層係設置於該下層與該光阻層之間。
  15. 如申請專利範圍第13項之基板處理方法,其中該第二光波長之該第二曝光包含一上界面波長與一上界面劑量以使得該酸的較高濃度係靠近該光阻層的一上部處而非該光阻層與該下層之間的一界面處。
  16. 如申請專利範圍第15項之基板處理方法,其中該上界面波長包含介於300nm至400nm的一波長大小而該上界面劑量包含介於0.1J/cm2至4J/cm2之間的一劑量大小。
  17. 如申請專利範圍第13項之基板處理方法,其中該第二光波長之該第二曝光包含:一下界面波長與一下界面劑量使得該酸的較高濃度係靠近該光阻層與該下層之間的一界面處而非該光阻層的一上部處。
  18. 如申請專利範圍第17項之基板處理方法,其中該下界面波長包含介於300nm至400nm的一波長大小而該下界面劑量包含介於0.1J/cm2至4J/cm2之間的一劑量大小。
  19. 如申請專利範圍第13項之基板處理方法,其中該光阻層包含一上界面折射率或一發色團化合物以在靠近該下層與該光阻層之間的一界面處而非該光阻層的一上部處產生較高的光干涉。
  20. 如申請專利範圍第13項之基板處理方法,其中該光阻層包含一下界面折射率或一發色團化合物以在靠近該光阻層的一上部處而非該下層與該光阻層之間的一界面處產生較高的光干涉。
TW104105998A 2014-02-25 2015-02-25 用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術 TWI553417B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201461944394P 2014-02-25 2014-02-25

Publications (2)

Publication Number Publication Date
TW201541194A TW201541194A (zh) 2015-11-01
TWI553417B true TWI553417B (zh) 2016-10-11

Family

ID=53882086

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104105998A TWI553417B (zh) 2014-02-25 2015-02-25 用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術

Country Status (6)

Country Link
US (2) US10020195B2 (zh)
JP (2) JP6895600B2 (zh)
KR (1) KR102402422B1 (zh)
DE (1) DE112015000546T5 (zh)
TW (1) TWI553417B (zh)
WO (1) WO2015130695A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI575566B (zh) * 2014-02-24 2017-03-21 東京威力科創股份有限公司 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
DE112015000546T5 (de) 2014-02-25 2016-11-10 Tokyo Electron Limited Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists
US20170097570A1 (en) * 2014-05-21 2017-04-06 Osaka University Resist patterning method, latent resist image forming device, and resist material
JP6809843B2 (ja) * 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10386723B2 (en) * 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
WO2017197288A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of a photo agent
WO2017197279A1 (en) * 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
US11112698B2 (en) * 2016-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with gradient composition for improved uniformity
WO2019060570A1 (en) 2017-09-22 2019-03-28 Tokyo Electron Limited METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10910466B2 (en) * 2018-10-22 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Process for tuning via profile in dielectric material
WO2021034567A1 (en) * 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200947117A (en) * 2008-01-08 2009-11-16 Ibm Multiple exposure photolithography methods and photoresist compositions
US20100109054A1 (en) * 2007-08-20 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern formation in semiconductor fabrication

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2541624C2 (de) * 1975-09-18 1982-09-16 Ibm Deutschland Gmbh, 7000 Stuttgart Wässrige Ätzlösung und Verfahren zum Ätzen von Polymerfilmen oder Folien auf Polyimidbasis
US4308337A (en) * 1980-03-10 1981-12-29 Rca Corporation Uniform light exposure of positive photoresist for replicating spiral groove in plastic substrate
US4402571A (en) * 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) * 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
DE3576088D1 (de) * 1985-05-29 1990-03-29 Ibm Deutschland Verfahren zur reparatur von transmissionsmasken.
US4931380A (en) * 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0740543B2 (ja) * 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH04205936A (ja) * 1990-11-30 1992-07-28 Hitachi Ltd 転写用成形媒体およびその製造方法
JP3081655B2 (ja) * 1991-03-12 2000-08-28 株式会社東芝 レジストパターンの形成方法
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
JPH06275484A (ja) * 1993-03-23 1994-09-30 Hitachi Ltd パターン形成方法
JPH08181049A (ja) * 1994-12-21 1996-07-12 Fujitsu Ltd パターン形成方法
JPH1083947A (ja) * 1996-09-09 1998-03-31 Hitachi Ltd レジストパターン形成方法およびその装置
US5905019A (en) * 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11237737A (ja) * 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6040118A (en) * 1998-10-30 2000-03-21 Advanced Micro Devices, Inc. Critical dimension equalization across the field by second blanket exposure at low dose over bleachable resist
JP3253604B2 (ja) * 1998-11-13 2002-02-04 セイコーエプソン株式会社 半導体装置の製造方法
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
US6420101B1 (en) * 2000-06-21 2002-07-16 Infineon Technologies A G Method of reducing post-development defects in and around openings formed in photoresist by use of non-patterned exposure
US20020072488A1 (en) * 2000-12-12 2002-06-13 Merkulov Gennady V. Isolated human transporter proteins, nucleic acid molecules encoding human transporter proteins, and uses thereof
US6555479B1 (en) * 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
JP3901997B2 (ja) 2001-11-27 2007-04-04 富士通株式会社 レジスト材料、レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US6699641B1 (en) * 2001-12-12 2004-03-02 Advanced Micro Devices, Inc. Photosensitive bottom anti-reflective coating
US7070914B2 (en) * 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP4410977B2 (ja) * 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) * 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP4417090B2 (ja) * 2003-12-12 2010-02-17 株式会社ルネサステクノロジ パターン形成方法、マスクおよび露光装置
JP4545426B2 (ja) 2003-12-12 2010-09-15 ルネサスエレクトロニクス株式会社 パターン形成方法
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
US20060269879A1 (en) * 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
JP2007334036A (ja) * 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
JP5610176B2 (ja) 2006-09-15 2014-10-22 三菱レイヨン株式会社 重合体、その製造方法、レジスト組成物及びその応用
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US8088548B2 (en) * 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
KR101585992B1 (ko) * 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
KR20110096155A (ko) * 2008-12-10 2011-08-29 다우 코닝 코포레이션 습식 에칭가능한 반사방지 코팅
CN102245722B (zh) * 2008-12-10 2014-12-10 陶氏康宁公司 可转换的抗反射涂层
KR101668505B1 (ko) * 2009-02-19 2016-10-28 브레우어 사이언스 인코포레이션 산-민감성, 현상제-용해성 바닥부 반사방지 코팅
US8124326B2 (en) * 2009-03-03 2012-02-28 Micron Technology, Inc. Methods of patterning positive photoresist
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
KR20120058572A (ko) 2009-08-24 2012-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
CN102712561A (zh) 2010-01-14 2012-10-03 三菱瓦斯化学株式会社 二环己烷衍生化合物及其制造方法
US8124319B2 (en) * 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
KR101909567B1 (ko) 2011-07-08 2018-10-18 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
CN103034048B (zh) * 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
CN103309164A (zh) * 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) * 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP2014143415A (ja) * 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
KR101779683B1 (ko) * 2013-02-20 2017-09-18 오사카 유니버시티 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료
CN106030417B (zh) * 2014-02-21 2020-02-28 东京毅力科创株式会社 光增感化学放大型抗蚀剂材料及使用了其的图案形成方法、以及半导体器件、光刻用掩模和纳米压印用模板的制造方法
TWI575566B (zh) * 2014-02-24 2017-03-21 東京威力科創股份有限公司 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
KR101846109B1 (ko) * 2014-02-24 2018-04-05 도쿄엘렉트론가부시키가이샤 감광성 화학적 증폭 레지스트 내에서의 감광제 농도의 측정을 위한 계측
US9746774B2 (en) * 2014-02-24 2017-08-29 Tokyo Electron Limited Mitigation of EUV shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist (PS-CAR)
DE112015000546T5 (de) 2014-02-25 2016-11-10 Tokyo Electron Limited Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists
WO2016025210A1 (en) * 2014-08-13 2016-02-18 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
WO2019060570A1 (en) * 2017-09-22 2019-03-28 Tokyo Electron Limited METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100109054A1 (en) * 2007-08-20 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern formation in semiconductor fabrication
TW200947117A (en) * 2008-01-08 2009-11-16 Ibm Multiple exposure photolithography methods and photoresist compositions

Also Published As

Publication number Publication date
US10020195B2 (en) 2018-07-10
TW201541194A (zh) 2015-11-01
DE112015000546T5 (de) 2016-11-10
JP2019215562A (ja) 2019-12-19
JP7074990B2 (ja) 2022-05-25
JP6895600B2 (ja) 2021-06-30
US20180315596A1 (en) 2018-11-01
KR102402422B1 (ko) 2022-05-25
WO2015130695A1 (en) 2015-09-03
US20150241782A1 (en) 2015-08-27
JP2017507372A (ja) 2017-03-16
KR20160127069A (ko) 2016-11-02

Similar Documents

Publication Publication Date Title
TWI553417B (zh) 用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術
JP7009568B2 (ja) 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
US9645495B2 (en) Critical dimension control in photo-sensitized chemically-amplified resist
JP6909374B2 (ja) 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御
TW201923828A (zh) 使用泛照式曝光之敏化光阻的方法
CN101206407B (zh) 光刻曝光方法
US11163236B2 (en) Method and process for stochastic driven detectivity healing
TWI840599B (zh) 用於隨機驅動的缺陷性治癒之方法及製程
JPH06275484A (ja) パターン形成方法
KR20040079756A (ko) 반도체소자의 미세패턴 형성방법