KR101668505B1 - 산-민감성, 현상제-용해성 바닥부 반사방지 코팅 - Google Patents

산-민감성, 현상제-용해성 바닥부 반사방지 코팅 Download PDF

Info

Publication number
KR101668505B1
KR101668505B1 KR1020117021875A KR20117021875A KR101668505B1 KR 101668505 B1 KR101668505 B1 KR 101668505B1 KR 1020117021875 A KR1020117021875 A KR 1020117021875A KR 20117021875 A KR20117021875 A KR 20117021875A KR 101668505 B1 KR101668505 B1 KR 101668505B1
Authority
KR
South Korea
Prior art keywords
antireflective
layer
group
composition
polymer
Prior art date
Application number
KR1020117021875A
Other languages
English (en)
Other versions
KR20110137316A (ko
Inventor
짐 디. 메도
조이스 에이. 로웨스
라밀-마르셀로 엘. 메르카도
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20110137316A publication Critical patent/KR20110137316A/ko
Application granted granted Critical
Publication of KR101668505B1 publication Critical patent/KR101668505B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Abstract

산-민감성, 현상제-민감성 바닥부 반사방지 코팅 조성물이 이러한 조성물을 이용하는 방법 및 상기 조성물로 형성된 마이크로전자 구조물과 함께 제공된다. 조성물은 바람직하게는 용매 시스템에 용해되거나 분산된 가교 가능한 고분자를 포함한다. 고분자는 바람직하게는 아다만틸기를 가지는 반복 단량체 단위를 포함한다. 조성물은 또한 바람직하게는 고분자와 함께 용매 시스템에 분산되거나 용해된 비닐 에테르 가교제와 같은 가교제를 포함한다. 일부 구체예에서, 조성물은 또한 광산 발생제 (PAG) 및/또는 정지제를 포함할 수 있다. 바닥부 반사방지 코팅 조성물은 열적으로 가교 가능하지만, 산의 존재에서 탈가교되어 현상제 용해성으로 될 수 있다.

Description

산-민감성, 현상제-용해성 바닥부 반사방지 코팅 {ACID-SENSITIVE, DEVELOPER-SOLUBLE BOTTOM ANTI-REFLECTIVE COATINGS}
관련 출원에 대한 교차 참조
본 출원은 2009년 2월 19일에 출원된 출원번호 61/153,909의 결합제 고분자 중 산-분열성 아다만틸 단량체를 포함하는 반사방지 코팅(ANTI-REFELECTIVE COATINGS WITH ACID-CLEAVABLE, ADAMANTYL MONOMER IN BINDER POLYMER)의 우선권 이익을 청구하고, 상기 특허는 전체가 본 명세서에 참조로 포함된다.
발명의 배경
발명의 분야
본 발명은 고분자 중에서 아다만틸 단량체를 이용하여 형성되고, 뛰어난 반사율 제어 및 우수한 포토레지스트 적합성(compatibility)을 가지는, 신규한 습식 현상 가능한 바닥부 반사방지 코팅에 관한 것이다.
관련 기술의 설명
집적회로(IC) 산업이 정보 저장 용량을 증가시키기 위하여 더 작은 피처 크기를 계속하여 지향함에 따라, 뛰어난 반사방지 기술이 193-nm 리소그래피를 위한 원하는 임계치수(critical dimension, CD) 제어를 제공하기 위하여 필요할 것이다. 바닥부 반사방지 코팅은 필수적인 적용, 그리고 심지어 주입(implant)과 같은 비필수적인 적용을 위하여 선택된 반사방지 물질일 것이다. 상부 반사방지 코팅를 포함하는 염색된 레지스트 이용은 45-, 32-, 및 22-nm 노드 주입 레이어에 충분하지 않을 것이다. 45-nm 노드의 주입을 위한 원하는 CD는 약 150 nm이고, 주입을 위한 32- 및 22-nm 노드를 위한 원하는 CD는 약 130 nm이다.
비록 가장 최근의 적용에 사용되는 바닥부 반사방지 코팅이 플라스마-현상 (건식)되기는 하지만, 덜 사용되는 현상제-민감성(습식) 바닥부 반사방지 코팅이 건식 현상에 필요한 반응성 이온 식각(reactive ion etching, RlL) 단계, 뿐만 아니라 스택에서 플라스마-민감성 레이어에 대한 잠재적인 손상이 없음을 포함하는 특정한 장점을 제공한다. 노광 레지스트 및 현상제-민감성 바닥부 반사방지 코팅은 포토레지스트 현상제(예를 들어, 수성 테트라메틸암모늄 하이드록사이드 (TMAH))를 이용하여 동일한 단계에서 제거된다. 이러한 현상제-민감성 반사방지 코팅은 바닥부 반사방지 코팅 현상 단계 동안 비노광 레지스트의 제거를 최소화하여 식각 비용을 증가시킨다. 그러나, 현상제-민감성 바닥부 반사방지 코팅은 건조 바닥부 반사방지 코팅으로써 획득 가능한 해상도를 항상 제공하지는 않으며, 대체로 해상도 요건이 엄격하지 않은 주입 레이어와 같은 비필수적 적용을 지향한다.
광-민감성, 포지티브 작용성(positive-working), 현상제-용해성 바닥부 반사방지 코팅을 제조하기 위한 여러 상이한 화학적 플랫폼이 전에 설명되었고, 이러한 바닥부 반사방지 코팅은 보통 열경화성이며 다음을 포함한다: a) 고분자 결합제를 이용하는 염료-충전된 바닥부 반사방지 코팅; b) 고분자-결합된 발색단을 포함하는 산분해성 초분지(hyperbranched) 고분자를 이용하여 형성된 코팅; 또는 c) 염료-부착된 선형 고분자를 이용하여 형성된 코팅. 이러한 세 가지의 강조된 접근법에 있어서, 고분자 필름은 고온 플레이트 베이킹 단계 동안 용매 불용성이 된다 (가교된다). 적절한 광원에 노광시키고 추후 노광 후 베이킹(post-exposure bake, PEB)하면, 고분자 필름은 현상제-용해성 또는 수용성 물질로 분해된다. 그럼에도 불구하고, 필수적인 마이크로리소그래피 적용에 필요한 가공 범위 및 개선된 해상도를 가지는 바닥부 반사방지 코팅 플랫폼에 대한 요구가 여전히 존재한다.
발명의 요약
본 발명은 마이크로전자 구조물을 형성하는 방법에 폭넓게 관련된다. 상기 방법은 표면을 가지는 기판을 제공하는 단계, 반사방지 레이어를 기판 표면에 형성하는 단계, 및 포토레지스트를 반사방지 레이어에 도포하여 이미징 레이어를 형성하는 단계를 포함한다. 반사방지 레이어는 용매 시스템에 용해되거나 분산된 가교 가능한 고분자를 포함하는 반사방지 조성물로 형성된다. 고분자는 아다만틸기를 가지는 반복 단량체 단위를 포함한다.
본 발명은 또한 표면을 가지는 기판, 상기 기판 표면에 인접한 경화된 반사방지 레이어, 및 상기 반사방지 레이어에 인접한 포토레지스트 레이어를 포함하는 마이크로전자 구조물에 관한 것이다. 반사방지 레이어는 용매 시스템에 용해되거나 분산된 가교 가능한 고분자를 포함하는 반사방지 코팅 조성물로 형성된다. 상기 고분자는 아다만틸기를 가지는 반복 단량체 단위를 포함한다.
용매 시스템에 용해되거나 분산된 가교 가능한 고분자 및 가교 작용제를 포함하는 반사방지 코팅 조성물이 또한 제공된다. 상기 고분자는 아다만틸기를 가지는 반복 단량체 단위 및 산기를 가지는 반복 단량체 단위를 포함한다.
도 1은 본 발명의 조성물 및 공정에 의하여 형성된 구조물을 나타내는 개략도이고 (비례하지는 않음);
도 2 (a)는 수행 실시예의 실시예 2, 5, 8, 14, 및 16에 대하여 제조되고, 110℃의 PEB를 이용하여 포토레지스트 없이 노광된 다양한 바닥부 반사방지 코팅에 대한 대조 곡선의 그래프를 나타내고;
도 2(b)는 수행 실시예의 실시예 2, 5, 8, 14, 및 16에 대하여 제조되고, 120℃의 PEB를 이용하여 포토레지스트 없이 노광된 다양한 바닥부 반사방지 코팅에 대한 대조 곡선의 그래프를 나타내고;
도 2(c)는 수행 실시예의 실시예 2, 5, 8, 14, 및 16에 대하여 제조되고, 110℃의 PEB를 이용하여 피복하는 포토레지스트와 함께 노광된 다양한 바닥부 반사방지 코팅에 대한 대조 곡선의 그래프를 나타내고;
도 3은 지정된 노광 시간에 다양한 바닥부 반사방지 코팅을 이용하여 실시예 2, 5, 8, 및 14에서 수행된 193-nm 리소그래피의 주사 전자 현미경(scanning electron microscope, SEM) 횡단면 사진이고;
도 4는 a) 다양한 노광 시간에서 38-nm 바닥부 반사방지 코팅: 및 b) 다양한 노광 시간에서 54-55-nm 바닥부 반사방지 코팅의, 실시예 3에서 수행된 193-nm 리소그래피의 SEM 횡단면 사진을 나타내고;
도 5는 다양한 노광 시간에서 실시예 6에서 제조된 바닥부 반사방지 코팅을 이용하여 수행된 193-nm 리소그래피의 SEM 횡단면 사진을 나타내고;
도6은 다양한 노광 시간에서 실시예 10에서 제조된 바닥부 반사방지 코팅을 이용하요 수행된 193-nm 리소그래피의 SEM 횡단면 사진을 나타내고;
도 7은 다양한 노광 시간에서 실시예 17에서 제조된 바닥부 반사방지 코팅을 이용하여 수행된193-nm 리소그래피의 SEM 횡단면 사진을 나타내고;
도 8(a)는 다양한 노광 시간에서 실시예 18에서 제조된 바닥부 반사방지 코팅을 이용하여 수행된193-nm 리소그래피의 조밀(dense) 및 고립(isolated) 라인의 SEM 횡단면 사진을 나타내고;
도 8(b)는 도 8(a)의 조밀 및 고립 라인의 가장 우수한 SEM 횡단면의 확대도를 나타내고;
도 9(a)는 다양한 노광 시간에서 실시예 20에서 제조된 바닥부 반사방지 코팅을 이용하여 수행된193-nm 리소그래피의 조밀 및 고립 라인의 SEM 횡단면 사진을 나타내고;
도 9(b)는 도 9(a)의 조밀 및 고립 라인의 가장 우수한 SEM 횡단면의 확대도를 나타내고;
도 10은 실시예 21에서 제조된 바닥부 반사방지 코팅 및 두 가지의 상이한 상용화되어 구입 가능한 포토레지스트: a) TarF-Pi6-001(TOK사에서 구입); 및 b) SAIL-X-181(Shin-Etsu사에서 구입)을 이용하여 수행된 193-nm 리소그래피의 SFM 횡단면 사진을 나타내고;
도 11은 실시예 22에서 제조된 바닥부 반사방지 코팅과 두 가지의 상용화되어 구입 가능한 193-nm 건조 바닥부 반사방지 코팅의 시간에 따른 승화를 비교하는 그래프이고;
도 12는 각 반사방지 코팅에 대하여 실시예 22에서 수집된 총 승화물의 막대 그래프이고;
도 13은 상이한 노광 선량에서 실시예 23의 본 발명의 바닥부 반사방지 코팅의 실리콘 웨이퍼 상의 현상 후 잔류물을 상용화되어 구입 가능한 습식 현상 가능한 반사방지 코팅의 잔류물과 비교한 두 그래프를 나타내고;
도 14는 실시예 24의 본 발명의 바닥부 반사방지 코팅의 실리콘 니트라이드 상의 노광 후 잔류물을 상용화되어 구입 가능한 습식 현상 가능한 반사방지 코팅의 잔류물과 비교한 그래프이고;
도 15(a)는 106℃의 PEB에서 110 nm 내지 160 nm의 해상도에 대한 실시예 25에서 193-nm 리소그래피의 조밀, 반-조밀(semi-dense), 및 고립 라인의 SEM 횡단면 사진을 나타내고;
도 15(b)는 실시예 25에서 상이한 PEB 온도에서 수행된 193-nm 리소그래피의 SEM 횡단면 사진을 나타내고;
도 16은 실시예 26에서 상이한 PEB 온도에서 본 발명의 반사방지 코팅 조성물을 이용하여 수행된 193-nm 리소그래피의 SEM 횡단면 사진을 나타낸다.
발명의 상세한 설명
본 발명은 신규한 바닥부 반사방지 코팅 조성물, 상기 조성물을 이용하는 방법, 그리고 상기 조성물로 형성된 구조물에 관한 것이다. 상기 조성물은 경화 시 바람직하게는 유기 용매 및 포토레지스트 현상제 모두에 불용성이지만, 산의 존재에서 탈가교되고 현상제로써 제거될 수 있다. 일부 양태에서, 경화된 (즉, 가교된) 반사방지 코팅 조성물은 복사선에 노출되고, 이어서 PEB 시 탈가교된다. 즉, 조성물은 광민감성이다. 다른 양태에서, 조성물은 본래 광민감성이 아니지만, 경화된 조성물을 탈가교시키기 위한 노광 동안 또 다른 레이어(예컨대 포토레지스트)로부터 확산되는 산에 의존한다. 어느 경우에나, 이는 상이한 용해 속도를 가지는 반사방지 코팅의 노광 및 비노광 부분을 생성하여, 비노광 부분이 제거되지 않고 노광 부분이 제거되도록 한다. 따라서, 두 양태 모두에서, 본 발명의 바닥부 반사방지 코팅 조성물은 습식 현상 가능하다. 본 명세서에서 사용된 용어 "현상제 용해성" 또는 '"습식 현상 가능"은 조성물이 탈가교되면 현상제 또는 물에 용해성으로 될 수 있어 앞에 기재된 바와 같이 통상적인 수성 현상제 또는 물로써 실질적으로 제거될 수 있음을 의미한다.
조성물은 용매 시스템에 용해되거나 분산된 가교 가능한 고분자(고분자 결합제)를 바람직하게는 포함하고, 더욱 바람직하게는 열적으로 가교 가능한 고분자를 포함한다. 조성물은 또한 바람직하게는 고분자와 함께 용매 시스템에 분산되거나 용해된 가교제(가교 작용제와 상호 교환적으로 지칭됨)를 포함한다. 일부 구체예에서, 조성물은 또한 광산 발생제(photoacid generator, PAG) 및/또는 정지제(quencher)를 포함할 수 있다.
고분자는 바람직하게는 아다만틸기를 가지는 반복 단량체 단위를 포함한다. 아다만틸기는 고분자 뼈대의 일부일 수 있거나, 펜던트(pendant) 아다만틸기일 수 있다. 한 구체예에서, 아다만틸기는 바람직하게는 연결기(예컨대 에스테르, 또는 에테르 등)를 통하여 고분자 뼈대에 결합된다. 아다만틸기는 바람직하게는 산-분해성(분열성)이다. 본 명세서에서 사용된 용어 "산-분해성" 또는 "산-분열성" 아다만틸은 고분자에 대한 연결기의 산소에 부착된 탄소(α-탄소)에서 치환기(예를 들어, 메틸, 에틸, 이소프로필, 또는 시아노메틸)를 가지는 아다만틸기를 의미한다. 아다만틸기를 가지는 반복 단량체 단위는 바람직하게는 열 가교 동안 고분자의 가교에 참여하지 않는다. 아다만틸기 자체는 또한 바람직하게는 어떠한 산기도 포함하지 않는다 (예를 들어, -OH 기가 없다). 고분자 형성에 사용하기에 특히 바람직한 아다만틸 단량체에는 아다만틸 아크릴레이트 및 메타크릴레이트가 포함되는데, 아다만틸 메타크릴레이트가 특히 바람직하고 2-이소프로필-2-아다만틸 메타크릴레이트(IPM), 2-에틸-2-아다만틸 메타크릴레이트(EM), (2-아다만틸옥시)메틸 메타크릴레이트(AM), 2-(시아노메틸)-2-아다만틸 메타크릴레이트(CAM), 및 2-[(2-메틸-아다만틸)-옥시]-카르보닐 메틸 메타크릴레이트(MACM)로 이루어진 군에서 선택된다. 아다만틸 단량체는 고분자의 총 중량을 100중량%로 취한 것을 기준으로, 바람직하게는 적어도 약 10중량%, 더욱 바람직하게는 약 10중량% 내지 약 60중량%, 더욱더 바람직하게는 약 15중량% 내지 약 55중량%의 수준으로 고분자에 존재한다.
바람직한 고분자는 또한 산 작용기를 가지는 반복 단량체 단위를 포함할 것이다 (즉, 펜던트 산성 작용기를 가질 것이다). 바람직한 산기는 하이드록실기 (-OH), 카르복실기 (-COOH), 페놀 (-Ar-OH), 플루오로알코올 (-C(CF3)2OH), 및 이들의 혼합으로 이루어진 군에서 선택된다. 앞에서 언급한 바와 같이, 펜던트 아다만틸기는 바람직하게는 산을 포함하지 않는다. 마찬가지로, 본 발명에서, 산성 작용기를 가지는 반복 단량체 단위는 바람직하게는 아다만틸-기초 단량체가 아니다 (즉, 아다만틸이 없음). 산기는 고분자의 총 중량을 100중량%로 취한 것을 기준으로, 바람직하게는 적어도 약 3중량%, 바람직하게는 약 4중량% 내지 약 30중량%, 더욱더 바람직하게는 약 5중량% 내지 약 25중량%의 수준으로 고분자에 존재한다. 선행 기술의 조성물과는 달리, 가교 부위(또는 가교 가능 모이어티) 역할을 하는 산기는 바람직하게는 보호기에 의하여 보호되지 않는다. 즉, 산기의 적어도 약 95%, 바람직하게는 적어도 약 98%, 바람직하게는 약 100%에 보호기가 없다. 보호기는 산기가 반응성인 것을 방지하는 기이다.
따라서, 특히 바람직한 고분자는 다음의 반복 단량체 단위로 이루어질 것이고,
Figure 112011072760109-pct00001
Figure 112011072760109-pct00002
여기서 각 R1은 -H, 분지 및 비분지 알킬 (바람직하게는 C1-C6 알킬, 더욱 바람직하게는 C1-C4 알킬), 및 사이클릭 (헤테로사이클릭 포함; 바람직하게는 3-12 고리 멤버, 더욱 바람직하게는 4-8 고리 멤버)로 이루어진 군에서 선택되고; 각 R2는 -OH, -L-OH, -COOH, -L-COOH, 및 -L-C(CF3)2OH로 이루어진 군에서 개별적으로 선택되고, 여기서 L은 임의의 적절한 연결기(예컨대 분지 및 비분지 알킬 (C1-C100, 바람직하게는 C1-C20, 더욱 바람직하게는 C1-C6), 방향족 (-Ar), 또는 아미드)일 수 있고; 각 R3는 다음으로 이루어진 군에서 개별적으로 선택되고
Figure 112011072760109-pct00003
Figure 112011072760109-pct00004
여기서 각 R4는 분지 및 비분지 알킬 (C1-C4 알킬, 및 바람직하게는 C1-C3 알킬), 시아노 알킬로 이루어진 군에서 개별적으로 선택된다. 고분자 중 x:y의 퍼센트 몰 비는 바람직하게는 약 90:10 내지 약 30:70, 더욱 바람직하게는 약 85:15 내지 약 60:40이다.
대안으로, 펜던트 아다만틸기를 가지는 반복 단량체 단위 대신에, 또는 이에 추가하여, 앞에서 언급한 바와 같이 산-분해성 아다만틸기가 고분자 뼈대에 편입될 수 있다. 대안의 중합 기술을 통하여, 당업자는 고분자에 다음과 같은 기를 포함할 수 있고,
Figure 112011072760109-pct00005
여기서 각 R4는 상기 R4 기 중 하나가 또한 -H일 수 있는 것을 제외하고 앞에서 정의한 바와 같고, 각 R5 기는 중합 가능 작용기를 포함한다. 추가로, 상이한 수준의 산-분해성 민감도를 나타내는 상이한 단량체가 선택될 수 있다.
염료(예를 들어, 광 감쇄 모이어티 또는 화합물 또는 발색단)가 또한 조성물에 포함될 수 있다. 염료는 용매 시스템에 고분자와 함께 염료를 용해하거나 분산시키는 것과 같이 반사방지 조성물에 단순히 물리적으로 혼합될 수 있다. 염료가 조성물에 물리적으로 혼합될 때, 적절한 염료에는 소분자, 및 소중합체 또는 고분자 발색단 (예를 들어, 폴리(하이드록시스타이렌), 예컨대 분지 폴리(4-하이드록시스타이렌), 폴리(비닐벤조산); 3,7-디하이드록시2-나프토산; 3,7-디하이드록시2-나프토산-부착된 트리스(2,3-에폭시 프로필) 이소시아네이트 (TEPIC); 스타이렌-말레산 무수물 공중합체, 9-안트라센 카르복실산; 하이드록시-벤조산-부착된 TEPIC; 시아노벤조산-부착된 TEPIC; 및 이들의 혼합)가 포함된다.
더욱 바람직하게는, 염료는 고분자의 작용기에 결합되거나, 더욱 바람직하게는, 고분자 뼈대에 직접 부착된다 (즉, 그 자체의 단량체 반복 단위로부터). 염료는 또한 고분자 뼈대로 구축될 수 있다(즉, 상기 단량체 단위의 부분으로서, 또는 추가적인 단량체 단위 그 자체로서). 반사방지 코팅 조성물에 사용하기에 적절한 염료는 바람직하게는 스타이렌, 페닐, 나프탈렌, 안트라센, 이들의 유도체, 및 이들의 조합으로 이루어진 군에서 선택된다. 염료 부착된 구체예에서, 염료는 고분자의 총 중량을 100중량%로 취한 것을 기준으로, 바람직하게는 적어도 약 15중량%, 바람직하게는 약 18중량% 내지 약 65중량%, 더욱더 바람직하게는 약 20중량% 내지 약 55중량%의 수준으로 고분자에 존재한다.
더욱 상세하게는, 고분자는 바람직하게는 아다만틸 아크릴레이트 및 아다만틸 메타크릴레이트로 이루어진 군에서 선택된 제1 화합물과 스타이렌, 아크릴, 메타크릴, 비닐, 비닐 에테르, 이들의 유도체 및 이들의 조합으로 이루어진 군에서 선택된 적어도 하나의 제2 화합물의 중합으로 형성된다.
고분자에 편입될 수 있는 추가적인 단량체는 가교 부위에 부가된 펜던트 폴리사이크릭 방향족 작용기, 또는 임의의 잠재적 산 가교기, 또는 고분자의 극성 또는 소수성을 변화시킬 다른 기를 포함할 수 있고, 반사방지 필름의 가교 밀도, 소수성, 또는 극성을 변화시켜, 탈가교되기 더욱 어려운 필름을 만들고, 및/또는 비노광 영역에서 더욱 소수성이고 현상제에 대하여 덜 민감하게 하기 위하여 사용될 수 있다. 적절한 단량체는 2-나프토산-3-메타크릴레이트(NAMA), 모노-2-(메타크릴오일옥시)에틸석시네이트, 플루오르화 알코올 메타크릴레이트, 및 t-Boc-옥시스타이렌(tBSM)으로 이루어진 군에서 선택된다. 존재할 경우, 이러한 단량체는 고분자의 총 중량을 100중량%로 취한 것을 기준으로, 바람직하게는 약 0.5중량% 내지 약 50중량%, 더욱 바람직하게는 약 2중량% 내지 약 22중량%, 더욱더 바람직하게는 약 3중량% 내지 약 20중량%, 가장 바람직하게는 약 4중량% 내지 약 15중량%의 수준으로 고분자에 존재한다.
또 다른 구체예에서, 본 발명에서 사용하기에 특히 바람직한 고분자는 필수적으로 아다만틸기를 가지는 반복 제1 단량체 단위, 산기를 가지는 반복 제2 단량체 단위, 및 염료를 가지는 반복 제3 단량체 단위로 구성된다.
구체예에 관계 없이, 고분자는 반사방지 조성물의 총 중량을 100중량%로 취한 것을 기준으로, 최대 약 10중량%, 바람직하게는 약 0.6중량% 내지 약 3.6중량%, 더욱 바람직하게는 약 0.7중량% 내지 약 3.2중량%, 더욱더 바람직하게는 약 0.8중량% 내지 약 3중량%의 수준으로 반사방지 조성물에 존재한다. 고분자는 또한 바람직하게는 최대 약 100,000 g/'moL 더욱 바람직하게는 약 2.500 g/mol 내지 약 70.000 g/mol, 더욱더 바람직하게는 약 4,000 g/mol 내지 약 60,000 g/mol의 중량평균 분자량(Mw)을 가진다.
앞에서 언급한 바와 같이, 조성물은 또한 바람직하게는 가교 작용제를 포함한다. 바람직한 가교 작용제는 비닐 에테르 가교제이다. 가교제가 다작용성(이-, 삼-, 및 사작용성)인 것이 특히 바람직하다. 상용화되어 구입 가능한 비닐 에테르의 예에는 상표명 VECTomer™으로 판매되는 것(미주리주, 세인트 루이스 소재의 Aldrich)이 포함된다. 적절한 비닐 에테르 가교제는 또한 본 명세서에 기재된 바와 같이 제조될 수 있다. 가교제는 조성물의 총 중량을 100중량%로 취한 것을 기준으로, 바람직하게는 약 0.15% 내지 약 1.08중량%, 바람직하게는 약 0.2% 내지 약 0.9중량%, 더욱더 바람직하게는 약 0.22% 내지 약 0.8중량%의 수준으로 조성물에 존재한다.
더욱 바람직하게는, 비닐 에테르 가교제는 존재할 경우, 다음 화학식을 가지고
R'-(X-O-CH=CH2)n,
여기서 R'은 아릴(바람직하게는 C6-C14) 및 알킬(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10)로 이루어진 군에서 선택되고, 각 X는 알킬(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10), 알콕시(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10), 카르보닐, 및 상기 중 둘 이상의 조합으로 이루어진 군에서 개별적으로 선택되고, n은 적어도 2, 바람직하게는 2-6이다. 가장 바람직한 비닐 에테르에는 에틸렌 글리콜 비닐 에테르, 트리메틸올프로판 트리비닐 에테르, 1,4-사이클로헥산 디메탄올 디비닐 에테르, 및 이들의 혼합으로 이루어진 군에서 선택된 것이 포함된다. 또 다른 바람직한 비닐 에테르는 다음으로 이루어진 군에서 선택된 화학식을 가진다.
Figure 112011072760109-pct00006
Figure 112011072760109-pct00007
Figure 112011072760109-pct00008
Figure 112011072760109-pct00009
Figure 112011072760109-pct00010
Figure 112011072760109-pct00011
반사방지 조성물에서 사용하기에 바람직한 PAG는 are selected from the group consisting of: 오늄 염 (예를 들어, TPS 노나플레이트, TPS 트리플레이트, TPS 토실레이트와 같은 트리페닐 설포늄 퍼플루오로설포네이트, 및 트리스(4-tert-부틸페닐)설포늄 퍼플루오로-1-부탄설포네이트(알킬-치환된 TPS 노나플레이트)와 같은 이들의 치환된 형태, 모두 Sigma-Aldrich로부터 구입 가능); 옥사임-설포네이트 (예를 들어, CIBA에 의하여 제품명 CGl®로 판매되는 것;. 트리아진 (예를 들어, Midori Kagaku Company로부터 구입 가능한 TAZ108®); 석신이미딜 기초-설포네이트 (Midori Kagaku Company); 나프탈이미딜-기초 설포네이트 (Midori Kagaku Company); 아이오도늄 염, 및 이들의 조합으로 이루어진 군에서 선택된다. 존재할 경우, PAG는 조성물의 총 중량을 100중량%로 취한 것을 기준으로, 약 0.005% 내지 약 0 08중량%, 바람직하게는 약 0.008% 내지 약 0.07중량%, 더욱 바람직하게는 약 0.01 % 내지 약 0 06중량%의 수준으로 조성물에 존재해야 한다.
일부 구체예에서, 반사방지 조성물에는 바람직하게는 실질적으로 산 발생제(PAG 또는 열 산 발생제(thermal acid generator, TAG))가 없고, 따라서 반사방지 조성물은 광민감성이 아니다. 즉, 반사방지 코팅 조성물은 바람직하게는 약 0.01 중량% 이하의 산 발생제, 더욱 바람직하게는 약 0.005중량% 이하의 산 발생제, 더욱 바람직하게는 약 0중량%의 산 발생제를 포함한다. 이러한 구체예에서, 앞에서 언급한 바와 같이, 반사방지 코팅은 탈가교 반응을 개시하여 조성물을 현상제-민감성으로 만들기 위하여 스택에서 다른 레이어로부터의 산 확산에 의존한다.
조성물에서 사용하기에 바람직한 정지제는 바람직하게는, 뼈대 또는 펜던트 모이어티의 일부로서 염기성 작용기를 가지는 아민 또는 고분자와 같은 소분자이다. 적절한 정지제에는 1-Boc-4-하이드록시피페리딘, 트리에탄올아민, 트리에틸아민, 트리메탄올아민, 트리메틸 아민, 트리이소프로판올아민, 트리이소프로필아민, 트리-t-부탄올아민, 트리-t-부틸아민, 트리-n-부탄올아민, 트리-n-부틸아민, 디에탄올아민, 디에틸아민, 디메탄올아민, 디메틸아민, 디이소프로판올아민, 디이소프로필아민, 디-t-부탄올아민, 디-t-부틸아민, 디-n-부탄올아민. 디-n-부틸아민, 에탄올아민, 에틸아민, 메탄올아민, 메틸아민, 이소프로판올아민, 이소프로필아민, t-부탄올아민, t-부틸아민, n-부탄올아민, 및 n-부틸아민, t-Boc-옥시스타이렌/4-비닐 피리딘 공중합체, 4-비닐 피리딘을 단량체로 이용하는 임의의 고분자, 및 이들의 조합으로 이루어진 군에서 선택되는 것이 포함된다.
존재할 경우, 반사방지 코팅 조성물은 조성물의 총 중량을 100중량%로 취한 것을 기준으로, 바람직하게는 약 0.05중량% 이하의 정지제, 더욱 바람직하게는 약 0.0002중량% 내지 약 0.02중량%, 더욱 바람직하게는 약 0.00025중량% 내지 약 0.018중량%, 더욱더 바람직하게는 약 0.0003중량% 내지 약 0.017중량%의 정지제를 포함할 것이다. 또한 반사방지 코팅 조성물에는 정지제가 실질적으로 없을 수 있다. 이러한 구체예에서, 조성물은 바람직하게는 약 0.0001중량% 이하의 정지제, 더욱 바람직하게는 약 0.00005중량% 이하의 정지제, 더욱더 바람직하게는 약 0중량% 정지제를 포함한다.
조성물에 선택적으로 포함될 수 있는 추가 성분에는 계면활성제, 부착 촉진제, 항산화제, 광개시제, 확산 촉진제, 분해 억제제, 및 상기의 조합이 포함된다.
구체예에 관계 없이, 반사방지 코팅 조성물은 바람직하게는 주위 조건에서, 실질적으로 균질한 용액을 형성하기에 충분한 시간 동안 적절한 용매 시스템에 고분자를 단순히 분산시키거나 용해하여 형성된다. 임의의 추가 성분은 또한 바람직하게는 고반자와 함께 용매 시스템에 분산된다.
바람직한 용매 시스템은 에틸 락테이트(EL). 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 메틸 에테르(PGME), 프로필렌 글리콜 n-프로필 에테르(PnP), 사이클로헥사논, 감마-부티로락톤 및 이들의 혼합으로 이루어진 군에서 선택되는 용매를 포함한다. 바람직하게는, 용매 시스템은 약 118℃ 내지 약 160℃, 더욱 바람직하게는 약 118℃ 내지 약 146℃의 끓는점을 가진다. 용매 시스템은 조성물의 총 중량을 100중량%로 취한 것을 기준으로, 적어도 약 90중량%, 바람직하게는 약 96.5% 내지 약 99.4중량%, 더욱 바람직하게는 약 96.9% 내지 약 99.2중량%, 더욱더 바람직하게는 약 97.5중량% 내지 약 99중량%의 수준으로 사용되어야 한다. 조성물은 조성물의 총 중량을 100중량%로 취한 것을 기준으로, 바람직하게는 약 0.6중량% 내지 약 3.5중량%, 바람직하게는 약 0.8중량% 내지 약 3.1 중량%, 더욱더 바람직하게는 약 1중량% 내지 약 2.5중량%의 고체 내용물을 포함할 것이다.
도 1(A)-1(D)는 본 발명의 반사방지 코팅을 사용하여 구조물을 형성하는 방법을 도해한다. 상기 방법에서, 표면(10a)를 가지는 기판(10)이 제공된다. 임의의 마이크로전자 기판이 본 발명에서 사용될 수 있다. 바람직한 기판에는 실리콘, SiGe, SiO2, Si3N4, 알루미늄, 텅스텐, 텅스텐 실리사이드. 갈륨 아르세나이드, 게르마늄, 탄탈럼, 탄탈럼 니트라이드, 산호, 블랙 다이아몬드, 인 또는 붕소 도핑된 유리, 이온 주입 레이어, 티타늄 니트라이드, 하프늄 옥사이드, 실리콘 옥시니트라이드, 및 상기의 혼합으로 이루어진 군에서 선택되는 것이 포함된다. 상기 방법은 다량의 본 발명의 반사방지 조성물을 기판(10)에 도포하여 기판(10)의 표면(10a)상의 조성물의 레이어(12)를 형성하는 단계를 포함한다. 조성물은 임의의 공지 도포 방법으로 도포될 수 있고, 한 바람직한 방법은 조성물을 약 750 rpm 내지 약 5,000 rpm(바람직하게는 약 750 rpm 내지 약 4,000 rpm, 더욱 바람직하게는 약 1,000 rpm 내지 약 3,500 rpm)의 속도에서 약 20 초 내지 약 90 초(바람직하게는 약 30 초 내지 약 60 초)의 시간 동안 스핀-코팅하는 것이다. 기판(10)은 평평한 표면을 가질 수 있거나, 토포그래피 피처(비아 홀, 트렌치, 컨택트 홀, 양각(raised) 피처, 라인 등)를 포함할 수 있다. 본 명세서에서 사용된 "토포그래피"는 기판 표면 안 또는 표면상의 구조물의 높이 또는 깊이를 지칭한다. 예를 들어, 기판(10)은 측벽 및 바닥 벽을 포함하는 홀을 한정하는 구조물을 포함할 수 있다. 따라서, 반사방지 조성물을 기판에 도포하는 방법은 바람직하게는 이러한 홀 측벽 및 바닥 벽의 적어도 일부에 조성물을 도포하는 단계를 포함할 것이다.
원하는 커버리지(coverage)가 달성된 후, 조성물 레이어(12)는 조성물의 열 가교를 유도하여 경화된 레이어(12)를 형성하도록 베이킹된다. 바람직한 베이킹 조건은 적어도 약 125℃, 바람직하게는 약 150℃ 내지 약 230℃, 더욱 바람직하게는 약 150℃ 내지 약 205℃, 더욱더 바람직하게는 약 155℃ 내지 약 180℃의 온도에서, 약 30 초 내지 약 90 초(바람직하게는 약 45 초 내지 약 75 초)의 시간 동안을 포함한다. 베이킹 후 반사방지 코팅 레이어(12)의 두께는 바람직하게는 약 20 nm 내지 약 85 nm, 더욱 바람직하게는 약 30 nm 내지 약75 nm, 더욱더 바람직하게는 약 32 nm 내지 약 70 nm, 가장 바람직하게는 약 33 nm 내지 약 65 nm이다. 기판 표면(10a)이 토포그래피를 포함할 경우, 반사방지 코팅(12)은 바람직하게는 기판 토포그래피를 실질적으로 감싸기에 충분한 두께로 도포된다.
고분자 산기가 카르복실산기이고 가교제가 비닐 에테르 가교제인 구체예에서, 가교 고분자는 다음 화학식을 가지는 아세탈 연결을 포함할 것이고,
Figure 112011072760109-pct00012
여기서 R은 아릴(바람직하게는 약 C6 내지 약 C12), -CO-, 및 분지 및 비분지 알킬로 이루어진 군에서 선택된다. 앞에서 언급한 바와 같이, 아다만틸기를 가지는 반복 단량체 단위 바람직하게는 가교에 참여하지 않는다.
가교 레이어(12)는 충분히 가교되어, 전형적인 포토레지스트 용매에서 실질적으로 불용성일 것이다. 따라서, 스트리핑 테스트를 거칠 때, 본 발명의 코팅 레이어는 약 5% 이하, 바람직하게는 약 1% 이하, 더욱더 바람직하게는 약 0%의 스트리핑 퍼센트를 가질 것이다. 스트리핑 테스트는 먼저 (다섯 군데의 상이한 위치에서의 측정치의 평균을 구하여) 경화된 레이어의 두께를 결정하는 것을 포함한다. 이는 평균 초기 필름 두께이다. 그 다음, 에틸 락테이트(EL)를 경화된 필름에 약 20 초 동안 퍼들링하고, 이어서 약 3.000 rpm으로 약 30 초 동안 스핀 건조하여 용매를 제거한다. 두께를 타원계측법(ellipsometry)을 이용하여 웨이퍼 상의 상이한 다섯 지점에서 다시 측정하고, 이 측정치의 평균을 결정한다. 이는 평균 최종 필름 두께이다. 스트리핑의 양은 초기 평균 필름 두께와 최종 평균 필름 두께의 차이이다. 퍼센트 스트리핑은:
% 스트리핑 = (스트리핑의 양/초기 평균 필름 두께) X 100
가교 레이어(12)는 본 명세서에서 기재한 바와 같이 전형적인 포토레지스트 현상제에서 또한 바람직하게는 실질적으로 불용성이다. 현상제에서 가교 반사방지 필름의 용해도는 포토레지스트 용매(EL) 대신에 현상제가 사용됨을 제외하고 상기 스트리핑 테스트에 대한 것과 동일한 절차 및 계산을 이용하여 평가된다. 가교 레이어는 또한 110℃에서 60 초 동안 PHB를 거친다. 그 다음, 0.26 N TMAH 현상제가 레이어에 45 초 동안 퍼들링되고, 5-초 탈이온수 헹굼, 및 스핀 건조가 이어진다. 경화된 레이어의 임의의 두께 손실은 "다크 로스(dark loss)"로 정의된다. 경화된 레이어는 약 5% 이하, 바람직하게는 약 1.5% 이하, 더욱 바람직하게는 약 1% 이하, 더욱더 바람직하게는 약 0.8% 이하, 가장 바람직하게는 약 0%의 다크 로스를 가질 것이다.
필름의 습식 현상은 또한 스트리핑 테스트에 대한 것과 유사한 절차 및 계산을 이용하여 평가될 수 있다. 경화된 레이어는 먼저 OrielT M DUV 광대역 노광 유닛 상에서 20 mJ/㎠로 광대역광원에 노광된다. 이후 노광된 레이어는 130℃에서 90 초 동안 PEB를 거친다. 이후 포토레지스트 현상제(0.26 N TMAH)가 필름에 60 초 동안 퍼들링되고, 이어서 300 rpm로 스피닝하면서 5-초 탈이온수 헹굼된 다음, 약 3,000 rpm으로 약 30 초 동안 스핀 건조되어 현상제가 제거된다. 레이어의 두께가 다시 측정되고, 현상 %가 계산된다. 광민감성 반사방지 코팅은 바람직하게는 약 95% 내지 약 100%, 더욱 바람직하게는 약 99% 내지 약 100%의 현상 %를 가질 것이다. 반사방지 코팅 조성물이 광민감성이 아닌 구체예에서, 습식 현상 %는 바람직하게는 약 1.5% 이하, 바람직하게는 약 0.8% 이하, 더욱더 바람직하게는 약 0%일 것이다.
경화된 반사방지 레이어 또는 코팅(12)의 굴절률(n 값)은 적어도 약 1.3, 바람직하게는 약 1.4 내지 약 2, 더욱 바람직하게는 약 1.45 내지 약 1.8, 더욱더 바람직하게는 약 1.5 내지 약 1.75일 것이다. 반사방지 코팅 레이어(12)는 또한 바람직하게는 사용 파장(예를 들어, 193 nm, 248 nm, 또는 365 nm)에서 적어도 약 0.2, 바람직하게는 약 0.25 내지 약 0.65, 더욱 바람직하게는 약 0.3 내지 약 0.6의 흡광계수(k 값)를 가진다.
도 1(B)를 참조하면, 이후 포토레지스트 조성물이 경화된 레이어(12)에 도포되어 이미징 레이어(14)를 형성하고, 그 결과 스택(16)이 생성될 수 있다. 이후 이미징 레이어(14)가 바람직하게는 적어도 약 95℃, 바람직하게는 약 100℃ 내지 약 135℃, 더욱 바람직하게는 약 100℃ 내지 약 130℃의 온도에서 약 45 초 내지 약 75 초의 시간 동안 도포 후 베이킹(post-application baked, PAB)된다. 이미징 레이어(14)의 두께가 약 50 nm 내지 약 2,000 nm. 바람직하게는, 이미징 레이어(14)의 두께는 약 100 nm 내지 약 250 nm, 더욱 바람직하게는 약 120 nm 내지 약 240 nm, 더욱더 바람직하게는 약 130 nm 내지 약 230nm, 가장 바람직하게는 약 170 내지 약 225 nm 범위일 수 있음이 이해될 것이다. 적절한 이미징 조성물에는 상용화되어 구입 가능한 포토레지스트 (예를 들어, (일본) 가나가와, 가와사키 시 소재의 TOK의 TarF-Pi6-001; 캘리포니아주, 서니베일 소재의 JSR Micro의 ARX3001JN, ARX3340J, 및 AM2073J; (일본) 도쿄 소재의 Shin-Etsu의 SAlL-X-181), 또는 임의의 다른 광민감성 조성물이 포함된다. 반사방지 코팅 조성물이 본래 광민감성이 아닌 경우 (즉, PAG-무포함 반사방지 코팅), 적절한 포토레지스트 조성물은 아래에서 더욱 상세히 설명할 것과 같이, 바람직하게는 산 발상제(바람직하게는 PAG)를 포함할 것이고, 인접한 반사방지 코팅을 탈가교 및 탈보호하여 현상제-민감성으로 만들기에 충분한 산을 발생시킬 수 있을 것이다.
이미징 레이어(14)는 적절한 파장의 빛에 노광되고, 뒤이은 노광된 포토레지스트의 현상에 의하여 패턴화될 수 있다. 더욱 구체적으로는, 도 1 (C)를 참조하면, 이미징 레이어(14)는 이미징 레이어(14)의 표면 위에 놓인 마스크(18)을 이용하여 노광된다. 마스크(18)는 복사선(hv)이 마스크(18) 및 컨택트 이미징 레이어(14)를 통과하도록 설계된 개방 영역(18a)을 가진다. 마스크(18)의 잔류 솔리드 부분(18b)은 복사선이 특정 영역에서 이미징 레이어(14)의 표면에 접촉하는 것을 방지하도록 설계된다. 당업자는 개방 영역(10a) 및 솔리드 부분(10b)의 배열이 이미징 레이어(14)에, 궁극적으로 기판(10)에 형성될 원하는 패턴에 기초하여 설계됨을 쉽게 이해할 것이다.
유리하게도, 이미징 레이어(14)가 복사선(즉, 빛)에 노광되므로, 본 발명의 반사방지 코팅 레이어(12)도 그러하다. 빛에 노광되면, 산이 PAG로부터 발생되고 (반사방지 코팅 자체에서 또는 포토레지스트 조성물로부터), 이러한 산은 반사방지 코팅 레이어(12)에서 고분자를 "탈가교"시킨다. 즉, 반사방지 코팅이 본래 광민감성이 아닐 경우일지라도, 반사방지 코팅 레이어(12)의 노광 부분은 이미징 레이어(14)의 노광 부분으로부터 이미징 레이어(14)에 인접한 반사방지 레이어(12)의 대응하는 부분으로의 산 확산에 의하여 빛에 노광되면 여전히 현상제-민감성이 된다. (포토레지스트 또는 반사방지 코팅 중 어느 것으로부터든) 산이 반사방지 코팅에서 고분자와 가교제 사이에 열 가교 시 형성된 결합을 파괴한다. 예를 들어, 카르복실산이 고분자의 산기일 경우, 탈가교는 다음 화학식을 가지는 연결의 결합 (*)의 파괴를 야기한다.
Figure 112011072760109-pct00013
산은 또한 바람직하게는 산-분해성 아다만틸기를 분열시키며, 또한 고분자의 용해도를 증진시킨다. 따라서 반사방지 레이어(12)의 노광 부분은 현상 동안 제거되는 유리 아다만틸을 포함한다. 노광 후, 이미징 레이어(14) 및 반사방지 코팅 레이어(12)는 바람직하게는 약 85℃ 내지 약 140℃, 더욱 바람직하게는 약 95℃ 내지 약 135℃, 더욱 바람직하게는 약 105℃ 내지 약 130℃의 온도에서 약 45 초 내지 약 75 초의 시간 동안 PEB를 거친다.
상기 공정에 의하여 용해성이 된 이미징 레이어(14) 및 반사방지 코팅 레이어(12)의 노광 부분은 이후, 포토레지스트 현상제와 접촉하여 노광 부분이 제거된다. 이미징 레이어(14)가 이미징 레이어(14) 및 방사방지 코팅 레이어(12)에 원하는 패턴(20)을 동시에 형성하도록 제거되기 때문에, 이미징 레이어(14)의 노광 부분 아래의 반사방지 코팅 레이어(12)의 노광 부분은 현상제에 의하여 제거된다. 패턴(20)은 궁극적으로 식각 또는 이온 주입 공정을 이용하여 기판(10)에 전달될 비아 홀, 트렌치, 라인, 스페이스 등일 수 있다. 바람직하게는, 이미징 레이어(14) 및 반사방지 코팅 레이어(12)의 노광 부분의 적어도 약 95%가 현상제에 의하여 제거될 것이고, 더욱 바람직하게는 적어도 약 99%, 더욱더 바람직하게는 약 100 %가 제거될 것이다.
적절한 현상제는 포타슘 하이드록사이드 (KOH), TMAH와 같은 유기 또는 무기 알칼라인 용액이고, 바람직하게는 0.26N 이하 농도의 TMAH 수용액을 포함한다. 이러한 현상제 중 일부는 상표명 PD523AD(워싱턴주, 모세스 레이크 소재의 Moses Lake Industries, Inc.로부터 구입 가능), MF-319(매사추세츠 소재의 Shipley로부터 구입 가능), MF-320(Shipley로부터 구입 가능), 및 NMD3(일본 소재의 TOK로부터 구입 가능)로 상용화된다.
또 다른 구체예에서, ArF 침윤 리소그래피(나타나지 않음)가 패턴 포토레지스트에 사용될 수 있다. (통상적인 리소그래피에서와 같이) 공기 대신, 노광 동안 복사선이 통과하는 매질이 액체이다. 이미징 레이어는 리소그래피 시스템의 광학 투사 요소(즉, 렌즈)를 통하여 복사선에 노광되고, 침윤 액체가 리소그래피 시스템의 광학 요소의 적어도 일부 및 구조물(즉, 스택)의 일부와 접촉한다. 더욱더 바람직하게는, 광학 요소가 액체에 잠기도록, 액체가 시스템 및 이미징 레이어에서 최종 광학 요소 사이의 공간을 채운다. 적절한 침윤 액체는 바람직하게는 1 이상(바람직하게는 약 1 내지 약 2, 더욱 바람직하게는 약 1.3 내지 약 1.4)의 굴절률을 가지고, 물 (바람직하게는 정제된 물) 또는 유기 용매로 이루어진 군에서 선택된다. 침윤 리소그래피 시스템은 당해 분야에 공지이고, Amphibian™ Systems(뉴욕주, 로체스터 소재)의 Amphibian Interferometer, 및 ASML(네덜란드, 벨트호벤 소재)의 1900i를 포함한다. 구체예에 관계 없이, 통상적인 식각, 금속배선 등이 패턴화 스택에서 수행되어 디바이스 제조를 완료할 수 있다. 노광-현상 공정은 또한 다중 노광 공정이 바람직할 경우, 패턴화 반사방지 코팅에 인접하여 도포된 제2 이미징 레이어를 이용하여 반복될 수 있다.
실시예
다음 실시예는 본 발명에 따른 방법을 제시한다. 그러나, 이 실시예들은 설명을 위하여 제공되고, 어떤 것도 발명의 전체 범위를 한정하는 것으로 간주되어서는 안됨을 이해해야 한다.
실시예 1
삼원중합체 I 합성 및 이의 침전
Figure 112011072760109-pct00014
이 절차에서, 삼원중합체가 12.9 mol % IPM, 메타크릴산, 및 스타이렌을 이용하여 합성되고, 침전이 이어졌다. 자석 교반 막대 및 온도계를 구비한 500-ml 3-구 플라스크를 9.08 g(105.5 mmol)의 메타크릴산(미주리주, 세인트 루이스 소재의 Sigma-Aldrich), 12.38 g(118 9 mmol)의 스타이렌(미주리주, 세인트 루이스 소재의 Sigma-Aldrich), 8.71 g(33.2 mmol)의 Adamantate® X-M-105(IPM; 일본, 도쿄 소재의 Idemitsu Kosan Co., Ltd.), 및 203.72 g의 PGME로 채웠다. 혼합물을 5 분 동안 실온에서 교반하여 용액을 생성했다. 이후 질소 유입 어댑터를 가진 적하 깔때기 및 질소 유출 어댑터를 가진 응축기를 플라스크에 부착했다.
투명한 125-ml Nalgene 병을 0.68 g(4.14 mmol)의 2.2'- 아조비스이소부티로니트릴(AIBN; 미주리주, 세인트 루이스 소재의 Sigma-Aldrich) 및 67.63 g의 PGME로 채웠다. 혼합물을 실온에서 0.64 시간 동안 흔들어 용액을 제조했다. 플라스크에 부착된 적하 깔때기를 AIBN 용액으로 채우고, 이후 반응 시스템을 질소로 실온에서 2.0 시간 동안 퍼징(purging)했다. 그 다음, 플라스크를 102.5-103℃의 오일조에 담그었다. 반응 혼합물을 질소하에 교반했다. 103℃의 반응 용액 온도로, AlBN 용액을 3 분에 걸쳐 반응 플라스크에 점차 첨가했다. 이후 생성된 반응 혼합물을 질소하에 98℃-105℃에서 24 시간 동안 교반했다. 산출: 299.5 g 용액 (99% 회수); 10.18 wt.% 고분자 고체. 겔 투과 크로마토그래피(gel permeation chromatography, GPC)에 의한 용액 중 삼원중합체 I Mw는 15,150 g/mol이고, 분산지수(D)가 2.8이었다.
용액으로부터 삼원중합체 I을 침전시키기 위하여, 오버헤드 교반기 및 교반봉을 구비한 4-리터 비커를 2 리터의 헥산으로 채웠다. 적하 깔때기를 200.7 g의 IPM 삼원중합체 용액으로 채우고, 이후 이를 깔때기로부터 교반되는 헥산에 0.5 시간에 걸쳐 한 방울씩 첨가했다. 혼합물을 실온에서 추가 5 분 동안 교반한 다음, 가라앉혔다. 용매를 진공 여과로 제거했다. 이후 삼원중합체 고체를 200 ml의 새로운 헥산을 사용하여 2 분 동안 교반하고, 진공 여과를 통한 용매 제거가 이어졌다. 삼원중합체 고체를 다시 202 ml의 새로운 헥산을 사용하여 5 분 동안 교반하고, 진공 여과를 통한 용매 제거가 이어졌다. 삼원중합체 고체를 40℃ 진공 오븐에서 건조하고, 막자사발 및 막자를 이용하여 분말로 분쇄하고, 40℃ 진공 오븐에서 일정 중량으로 건조했다. 산출: 12.6 g의 분말 (62% 수율). GPC를 통한 삼원중합체 Mw는 16,050 g/mol이고, D는 2.1이었다.
실시예 2
삼원중합체 I을 이용한 바닥부 반사방지 코팅의 제조
이 절차에서, 바닥부 반사방지 코팅을 PAG 및 정지제와 함께 상기 실시예 1의 삼원중합체 I(IPM)을 이용하여 제조했다. 250-ml 호박색 Nalgene 병을 0.718 g의 본사의 비닐 에테르 가교제(실시예 27 참조), 2.423 g의 삼원중합체 I, 156.1861 g의 PGMH, 및 39.36 g의 PGMEA로 채웠다. 혼합물을 실온에서 15.2 시간 동안 흔들었다. 그 다음, PGME 용액에 섞인 1.2596 g의 1% 정지제(1-Boc-4-하이드록시피페리딘; 미주리주, 세인트 루이스 소재의 Sigma Aldrich)를 첨가하고, 이어서 0.0423 g의 CGl TPS-C1(뉴욕주, 태리타운 소재의 Ciba)을 첨가했다. 이후 병을 실온에서 하룻밤 동안 흔들고, 병의 내용물을 0.1-㎛ 엔드포인트(end-point) 필터를 통하여 두 번 네 개의 60-ml 호박색 Nalgene 병으로 여과했다.
이후 결과적인 바닥부 반사방지 코팅의 다양한 특성을 테스트했다. 광학 및 필름 특성 테스트를 위하여, 먼저 바닥부 반사방지 코팅을 실리콘 웨이퍼에 1.500 rpm으로 30 또는 60 초 동안 스핀 도포하고 열경화했고 (즉, 160℃에서 60 초 동안 베이킹함), Gaertner 타원계를 이용하여 결과적인 필름의 초기 두께를 측정하고 기록했다.
레이어의 용매 저항성을 테스트하기 위하여, 포토레지스트 용매(에틸 락테이트)를 필름에 20 초 동안 퍼들링하고, 이어서 약 3,000 rpm으로 약 30 초 동안 스핀 건조하여 용매를 제거했다. 상기한 바와 같이, 타원계를 이용하여 두께를 다시 측정하고, 스트리핑 또는 팽윤(swelling) %를 계산했다.
비노광 (다크 로스) 코팅상의 포토레지스트 현상제(0.26N 수성 TMAH)의 효과를 또한 측정했다. 다크 로스를 평가하기 위하여, 또 다른 웨이퍼를 상기한 바와 같이 반사방지 코팅으로 코팅 및 베이킹하고, 필름의 초기 두께를 측정하고 기록했다. 비노광 레이어는 110℃에서 60 초 동안 PEB를 거쳤다. 이후 포토레지스트 현상제(0.26 N TMAH)를 필름에 45 초 동안 퍼들링하고, 이어서 5-초 탈이온수 헹굼 및 스핀 건조했다. 레이어의 두께를 타원계를 이용하여 측정하고, 다크 로스를 계산했다. 양수의 EL 스트리핑 테스트 또는 다크 로스는 필름의 팽윤을 의미한다.
필름의 n 및 k 값은 이후 J.A. Woollam Co., Inc. VASE® 타원계를 이용하여 193 nm에서 측정되었다. 이 바닥부 반사방지 코팅에 대한 필름 및 광학 특성이 실시예 1-17 결과의 표 2에 나타난다.
이 바닥부 반사방지 코팅에 대한 대조 곡선이 빛이 노광 전에 248-nm 밴드패스 필터를 통과하는 Oriel™ DUV 노광 유닛을 이용하여 결정되었다. 바닥부 반사방지 코팅이 실리콘 웨이퍼에 1,500 rpm으로 30 또는 60 초 동안 스핀 도포되고, 160℃에서 60 초 동안 베이킹되었다. 두 웨이퍼가 노광되고, 이어서 100℃에서 60 초 동안 또는 120℃에서 60 초 동안 PEB가 이어졌다. 두 번째로, 커버링 레지스트(ARX3001 JN; JSR Micro)를 195-nm 두께로 도포하고, 60 초 동안 110℃의 PAB, 노광, 및 60 초 동안 110℃의PEB가 이어졌다. 레지스트 존재 및 부재의 두 가지 PEB에 대한 대조 곡선 결과가 도 2(a)-(c)에 나타난다. 이러한 바닥부 반사방지 코팅은 도 2(a)-(c)에 나타나는 바닥부 반사방지 코팅의 가장 낮은 E0를 제공했다.
그 다음, 커버링 레지스트 (ARX3001JN)를 사용하는 리소그래피에 대한 193-nm 노광이 도 3에 나타나는 바와 같이, 다양한 노광 시간에 60 초 동안 110℃의 PAB 및 PEB와 함께 Amphibian XIS 간섭계 (Amphibian Systems)에서 수행되었다. 150-nm L/S (1:1)가 되었다.
실시예 3
삼원중합체 I을 이용한 PAG-무포함 바닥부 반사방지 코팅의 제조
이 절차에서, 바닥부 반사방지 코팅을 PAG 또는 정지제 없이 삼원중합체 I(IPM)를 이용하여 제조했다. 125-ml 호박색 Nalgene 병을 1.2156 g의 삼원중합체 I, 0.359 g의 실시예 27의 가교제, 74.309 g의 PGME, 및 19.679 g의 PGMEA로 채웠다. 혼합물을 실온에서 하룻밤 동안 흔든 다음, 0.1-㎛ 필터를 이용하여 엔드포인트 여과했다. 결과적인 바닥부 반사방지 코팅을 a) 1,500 rpm에서 30 또는 60 초 동안 또는 b) 2,738 rpm에서 30 또는 60 초 동안 실리콘 웨이퍼에 스핀 도포하고, 이어서 160℃에서 60 초 동안 베이킹했다. 스핀 파라미터 a)는 54-55 nm의 열경화 바닥부 반사방지 코팅을 제공하는 한편, 스핀 파라미터 b)는 38 nm의 열경화바닥부 반사방지 코팅을 제공했다. 그 다음, 193-nm 리소그래피를 195-nm 두께의 커버링 레지스트 ARX3001JN을 이용하여 실시예 2에 기재된 바와 같이 수행했다. SEM 사진(Carl Zeiss SMT Inc.의 LEO 1560을 이용하여 제공됨)이 도 4에 나타난다. 두 가지 두께 모두에 대하여, 매우 우수한 150-nm L/S (1:1)를 얻었다.
이후 이러한 바닥부 반사방지 코팅에 대한 필름 및 광학 특성을 실시예 2에 상기한 바와 같이 결정했다. 결과가 표 2에 나타난다.
실시예 4
삼원중합체 II의 합성 및 이의 침전
Figure 112011072760109-pct00015
이 절차에서, 삼원중합체를 12.9 mol% EM, 메타크릴산, 및 스타이렌을 이용하여 합성했다. 자석 교반 막대 및 온도계를 구비한 500-ml 3-구 플라스크를 9.1 g(106 mmol)의 메타크릴산, 12.40 g(119.15 mmol)의 스타이렌, 8.26 g(33.3 mmol)의 Adamantate® EM (일본, 도쿄 소재의 Ideniitsu Kosan Co, Ltd.), 및 200.78 g의 PGME으로 채웠다. 혼합물을 실온에서 6 분 동안 교반하여 용액에서 제조했다. 질소 유출 어댑터를 가진 응축기 및 질소 유출 어댑터를 가진 적하 깔때기를 플라스크에 부착했다.
그 다음, 125-ml Nalgene 병을 0.654 g(3 98 mmol)의 AIBN 및 66.88 g의 PGMR을 채웠다. 혼합물을 실온에서 0.6 시간 동안 흔들어 용액을 제조했다. 이후 AlBN 용액을 적하 깔때기로 옮겼다. 반응 시스템을 질소로 15 분 동안 플러싱(flushing)한 다음, 플라스크를 100℃의 오일조에 담그었다. 혼합물을 질소하에 24 시간 동안 98-104℃에서 교반했다. 산출: 294.4 g의 용액 (99% 회수); 10.18 wt. % 고분자 고체. GPC를 통한 용액 중 삼원중합체 Mw는 15,250 g/mol이고, D는 2.5였다.
용액으로부터 삼원중합체 Il를 침전시키기 위하여, 4-리터 비커를 2.00 리터의 헥산으로 채웠다. 적하 깔때기를 199.8 g의 삼원중합체 II 용액으로 채운 다음, 이를 교반되는 헥산에 실온에서 13.2 분에 걸쳐 한 방울씩 첨가했다. 이후 혼합물을 추가 6 분 동안 실온에서 교반했다. 용매를 진공 여과로 제거했다. 약 200 ml의 새로운 헥산을 헹굼제로서 삼원중합체에 첨가하고, 혼합물을 추가 6 분 동안 교반하고, 이어서 용매를 진공 여과를 통하여 다시 제거했다. 추가의 200 ml의 새로운 헥산을 첨가하고, 혼합물을 5 분 동안 교반했다. 용매를 다시 진공 여과로 제거했다. 삼원중합체를 40℃ 진공 오븐에서 일정 중량으로 건조했다. 수율: 14.0 g(69% 회수). GPC를 통한 삼원중합체 Mw는 16,850 g/mol이고, D는 2.1이었다.
실시예 5
삼원중합체 II를 이용한 바닥부 반사방지 코팅 제조
이 절차에서, 바닥부 반사방지 코팅을 상기 실시예 4의 삼원중합체 Il (EM), PAG, 및 정지제를 이용하여 제조했다. 250-ml Nalgene 병을 0.5353 g의 실시예 27의 가교제, 1.8215 g의 삼원중합체 II, 117.1579 g의 PGME, 29.5210 g의 PGMEA, PGME에 섞인 0.9456 g의 1 % 정지제 (1-Boc-4-하이드록시피페리딘), 및 0.0316 g의 TPS-C1으로 채웠다. 혼합물을 하룻밤 동안 흔든 다음, 0.1-㎛ 엔드포인트 필터를 통하여 여과했다.
이후 삼원중합체 II 바닥부 반사방지 코팅을 위한 필름 및 광학 특성을 실시예 2에서 상기한 바와 같이 결정했다. 결과는 표 2에 나타난다.
삼원중합체 II 바닥부 반사방지 코팅에 대한 대조 곡선을 또한 실시예 2에서 상기한 바에 따라 결정했고, 이는 도 2에 나타난다. 그 다음, 193-nm 리소그래피를 실시예 2에서 상기한 바와 같이 수행했다. SEM 사진(Carl Zeiss SMT Inc.의 LEO 1560을 이용하여 준비됨)이 각 노광 시간에 대하여 도 3에 나타난다. 도 3에 나타나는 바와 같이, 52-56 nm의 필름 두께에서, 1.2-초 노광으로부터 150-nm L/S (1:1)를 얻었다.
실시예 6
삼원중합체 II를 이용한 PAG-무포함 바닥부 반사방지 코팅의 제조
이 절차에서, 바닥부 반사방지 코팅을 실시예 4의 삼원중합체 II (EM)를 이용하여, PAG 또는 정지제 없이 제조했다. 250-ml 호박색 Nalgene 병을 0.359 g의 실시예 27의 가교제, 78.715 g의 PGME, 19.676 g의 PGMEA, 1.214 g의 삼원중합체 II로 채웠다. 혼합물을 실온에서 3.5 시간 넘게 흔들었다. 이후 코팅을 0.1-㎛ 엔드포인트 필터를 통하여 여과했다.
상기한 바와 같이, 이러한 반사방지 코팅에 대한 필름 및 광학 특성을 결정하고, 193-nm 리소그래피가 이어졌다. 이러한 바닥부 반사방지 코팅에 대한 필름 및 광학 특성이 표 2에 나타난다. SEM 사진이 도 5에 나타난다.
실시예 7
삼원중합체 III 합성 및 이의 침전
Figure 112011072760109-pct00016
이 절차에서, 삼원중합체를 12 9 mol% AM, 메타크릴산 및 스타이렌을 이용하여 합성했다. 자석 교반 막대 및 온도계를 구비한 500-ml 3-구 플라스크를 9.07 g(105.4 mmol)의 메타크릴산, 12.37 g(118.8 mmol)의 스타이렌. 8.32 g(33.2 mmol)의 Adamantate M-101 (AM; 일본, 도쿄 소재의 Idemitsu Kosan Co., Ltd.), 및 200.94 g의 PGML로 채웠다. 혼합물을 실온에서 약 3 분 동안 교반했다. 부착된 질소 유출 어댑터를 가진 응축기 및 부착된 질소 유입 어댑터를 가진 적하 깔때기를 3-구 플라스크에 부착했다.
그 다음, 60-ml Nalgene 병을 0.68 g(4.14 mmol)의 AIBN 및 54.595 g의 PGME로 채웠다. 혼합물을 2 시간 동안 실온에서 흔들어 용액을 제조한 다음, 용액을 적하 깔때기에 첨가했다. 약 12.11 g의 PGME을 60 ml Nalgene 병에 첨가하여 병의 내부를 완전히 헹구고, 이 헹굼액을 또한 적하 깔때기에 첨가했다. 반응 시스템을 질소로 15 분 동안 실온에서 플러싱한 다음, 플라스크를 99.5℃의 오일조에 담그었다. 반응 혼합물을 질소 분위기하에 교반했다. 102.5℃의 반응 용액 온도로, AIBN 용액을 플라스크에 약 3 분에 걸쳐 한 방울씩 첨가했다. 반응 혼합물을 질소하에 24 시간 동안 약 97-105℃에서 교반했다. 산출: 295.4 g의 용액 (99% 회수); 10.17 wt.% 고체. GPC를 통한 용액 중 삼원중합체 III Mw는 18,650 g/mol이고, D는 2.6이었다.
용액으로부터 삼원중합체를 침전시키기 위하여, 4-리터 비커를 2.0 리터의 헥산으로 채웠다. 약 199.9 g의 삼원중합체 III 용액을 교반되는 헥산에 0.5 시간에 걸쳐 한 방울씩 첨가했다. 이후 혼합물을 실온에서 5 분 동안 교반했다. 용매를 진공 여과를 이용하여 삼원중합체 고체로부터 제거했다. 삼원중합체 고체를 새로운 200 ml의 헥산을 사용하여 실온에서 5 분 동안 교반하고, 용매를 다시 진공 여과로 제거했다. 5 분 동안 추후 교반하며 200 ml의 추가 헥산을 첨가했다. 용매 헹굼제를 진공 여과로 제거했다. 삼원중합체를 40℃ 진공 오븐에서 건조하고, 막자사발 및 막자를 이용하여 분말로 분쇄한 다음, 40℃ 진공 오븐에서 일정 중량으로 건조했다. 산출: 15.4 g(76% 회수). GPC를 통한 삼원중합체 III Mw는 19.150 g/mol이고, D는 2.3이었다.
실시예 8
삼원중합체 III를 이용한 바닥부 반사방지 코팅의 제조
이 절차에서, 바닥부 반사방지 코팅을 실시예 7의 삼원중합체 III (AM), PAG, 및 정지제를 이용하여 제조했다. 250-ml 호박색 Nalgene 병을 0.715 g의 실시예 27의 가교제, 2.421 g의 삼원중합체 III, 157.060 g의 PGME, 및 39.54 g의 PGMEA로 채웠다.
그 다음, PGMF 용액에 섞인 약 1.256 g의 1% 정지제(1-Boc-4-하이드록시피페리딘)에 이어, 0.0427 g의 TPS-C1을 첨가했다. 혼합물을 하룻밤에 걸쳐 실온에서 흔든 다음, 0.1-㎛ 엔드포인트 필터를 통하여 여과했다.
이후 삼원중합체 III 바닥부 반사방지 코팅의 필름 및 광학 특성을 실시예 2에서 설명한 바와 같이 결정했고, 이는 아래 결과의 표 2에 제공된다.
삼원중합체 III 바닥부 반사방지 코팅에 대한 대조 곡선을 상기한 바와 같이 결정했고, 이는 도 2에 나타난다.
그 다음, 193-nm 리소그래피를 실시예 2에 상기한 바와 같이 수행했다. 도 3의 SEM 사진으로부터, 1.2- 및 1.4-초 노광 후 150-nm L/S (1:1)가 존재했음이 나타날 수 있다.
실시예 9
삼원중합체 IV의 합성 및 이의 침전
Figure 112011072760109-pct00017
이 절차에서, 삼원중합체를 12.9 mol%의 CAM, 메타크릴산, 및 스타이렌을 이용하여 합성했다. 자석 교반 막대 및 온도계를 구비한 500-ml 3-구 플라스크를 8.59 g(33.1 mmol)의 Adamantate® M-102(CAM: 일본, 도쿄 소재의 Idcmitsu Kosan Co., Ltd.), 9.10 g(105.7 mmol)의 메타크릴산. 12.38 g(118.9 mmol)의 스타이렌, 및 202.70 g의PGME로 채웠다. 혼합물을 2 분 동안 교반하여 용액을 제조했다. 부착된 질소 유출 어댑터를 가진 응축기 및 부착된 질소 유입 어댑터를 가진 적하 깔때기를 3-구 플라스크에 부착했다.
그 다음, 60-ml Nalgene 병을 0.65 g(3.96 mmol)의 AIBN 및 54.91 g의 PGME로 채웠다. 혼합물을 실온에서 0.5 시간 동안 흔들어, 용액을 제공했다. 용액을 적하 깔때기에 첨가했다. Nalgene 병을 헹구기 위하여 약 12.19 g의 PGME를 사용하고, PGME 헹굼액을 적하 깔때기에 첨가했다. 반응 시스템을 질소로 15 분 동안 플러싱한 다음, 플라스크를 103.5℃의 오일조에 담그었다. 반응 혼합물을 질소 분위기하에 교반했다. 101.5℃의 반응 용액 온도로, AIBN 용액을 플라스크에 약 3 분에 걸쳐 한 방울씩 첨가했다. 반응 용액을 질소하에 24 시간 동안 97-106℃에서 교반했다. 산출: 295.9 g의 용액 (98.5% 회수); 10.19 Wt.% 고분자 고체. GPC를 통한 용액 중의 삼원중합체 IV Mw는 14,850 g/mol이고, D는 2.6이었다.
용액으로부터 삼원중합체를 침전시키기 위하여, 오버헤드 교반기를 구비한 4-리터 비커를 2 리터의 헥산으로 채웠다. 적하 깔때기를 200.0 g의 삼원중합체 IV 용액으로 채웠다. 삼원중합체 용액을 교반되는 헥산에 17 분에 걸쳐 한 방울씩 첨가했다. 이후 혼합물을 실온에서 추가 7 분 동안 교반하고, 이어서 진공 여과를 통하여 용매를 제거했다. 200 ml의 새로운 헥산을 플라스크에 첨가하여 공정을 반복하고, 이어서 7.5 분 동안 교반하고, 진공 여과를 통하여 용매를 제거했다. 최중 헹굼을 위하여, 200 ml의 헥산을 삼원중합체 고체에 첨가하고, 혼합물을 8 분 동안 교반하고, 이어서 진공 여과에 의하여 용매 제거했다. 삼원중합체를 40℃ 진공 오븐에서 건조하고, 막자사발 및 막자를 이용하여 분말로 분쇄한 다음, 진공하에 40℃에서 일정 중량으로 건조했다. 산출: 14.0 g(69% 회수). GPC를 통한 삼원중합체 IV Mw는 14,950 g/mol이고, D는 2.2였다.
실시예 10
삼원중합체 IV를 이용한 바닥부 반사방지 코팅의 제조
이 절차에서, 바닥부 반사방지 코팅을 상기 실시예 9의 삼원중합체 IV(CAM), PAG, 및 정지제를 이용하여 제조했다. 125-ml 호박색 Nalgene 병을 0.3585 g의 실시예 27의 가교제, PGME에 섞인 0.6335 g의 1.001% 정지제 (1-Boc-4-하이드록시피페리딘), 1.213 g의 삼원중합체 IV, 78.0985 g의 PGME, 및 9.687 g의 PGMEA로 채웠다. 혼합물을 실온에서 33 분 동안 흔들고, 이어서 20.9 mg의 TPS-C1을 첨가했다. 혼합물을 대략 72 시간 동안 실온에서 흔든 다음, 0.1-㎛ 엔드포인트 필터를 통하여 여과했다.
이후 결과적인 바닥부 반사방지 코팅 필름 및 광학 특성을 실시예 2에서 설명한 바와 같이 결정했고, 이는 아래 결과의 표 2에 제공된다.
그 다음, 193-nm 리소그래피를 195-nm 두께의 레지스트 ARX3001 JN을 이용하여 실시예 2에서 상기한 바와 같이 수행했다. SEM 사진이 도 6에 나타난다.
실시예 11
삼원중합체 V 합성 및 이의 침전
Figure 112011072760109-pct00018
이 절차에서, 삼원중합체를 12.9 mol% MACM, 메타크릴산, 및 스타이렌을 이용하여 합성했다. 온도계 및 자석 교반 막대를 구비한 500-ml 3-구 플라스크를 9.09 g(105.6 mmol)의 메타크릴산, 9.70 g(33.2 mmol)의 Adamantate® M-103 (MACM; 일본, 도쿄 소재의 Idemitsu Kosan Co, Ltd.), 12.365 g(118.7 mmol)의 스타이렌, 및 209.93 g의 PGME로 채웠다. 혼합물을 실온에서 3 분 동안 교반했다. 질소 유입 어댑터를 가진 적하 깔때기 및 질소 유출 어댑터를 가진 응축기를 플라스크에 부착했다.
그 다음, 125-ml Nalgene 병을 0.650 g(3.96 mmol)의 ATBN 및 69.65 g의 PGME로 채웠다. 혼합물을 0.65 시간 동안 흔들어 용액을 제조한 다음, 적하 깔때기에 채웠다. 반응 시스템을 질소로 0.4 시간 동안 대략 실온에서 퍼징하고, 이후 플라스크 95℃의 오일조에 담그었다. 반응 혼합물을 질소하에 교반했다. 102℃의 교반 용액 온도 및 질소 흐름으로써, AlBN 용액을 2.75 분에 걸쳐 반응 용액에 점차 첨가했다. 이후 반응 용액을 약 95℃-106℃에서 24 시간 동안 질소하에 교반했다. 산출: 308.5 g의 용액 (99% 회수): 10.18 wt.% 고분자 고체. GPC를 통한 용액 중의 삼원중합체 V Mw는 17,900 g/mol이고, D는 2.6이었다.
용액으로부터 삼원중합체를 침전시키기 위하여, 적하 깔때기를 92.0 g의 삼원중합체 V 용액으로 채우고, 오버헤드 교반기를 구비한 1-리터 비커를 875 ml의 헥산으로 채웠다. 삼원중합체 용액를 교반되는 헥산에 8.5 분에 걸쳐 한 방울씩 첨가했다. 이후 혼합물을 추가로 0.2 시간 동안 교반하고, 이어서 진공 여과로 용매를 제거했다. 약 85 ml의 헥산을 비커에 첨가하여 삼원중합체를 헹구고, 실온에서 5 분 동안 교반했다. 용매를 진공 여과로 제거했다. 87 ml의 새로운 헥산을 이용하고 실온에서 0.12 시간 동안 교반하여 과정을 반복했다. 용매를 진공 여과로 제거했다. 삼원중합체를 40℃ 진공 오븐에서 건조하고, 막자사발 및 막자를 이용하여 분말로 분쇄하고, 40℃ 진공 오븐에서 일정 중량으로 건조했다. 산출: 7.28 g(78% 회수). GPC를 통한 삼원중합체 V Mw는 17,900 g/mol이고, D는 2.2였다.
실시예 12
삼원중합체 V를 이용한 바닥부 반사방지 코팅의 제조
이 절차에서, 바닥부 반사방지 코팅을 실시예 11의 삼원중합체 V (MACM), PAG, 및 정지제를 이용하여 제조했다. 250-ml 호박색 Nalgene 병을 0.535 g의 실시예 27의 가교제, 1.812 g의 삼원중합체 V, 117.131 g의 PGME, 및 29.517 g의 PGMEA로 채웠다. 혼합물을 실온에서 2.1 시간 동안 흔들고, 이어서 PGME에 섞인 0.943 g의 1.001 wt.% 정지제 (1-Boc-4-하이드록시피페리딘)를 첨가했다. 혼합물을 실온에서 0.5 시간 동안 흔들고, 이어서 32.0 mg의 TPS-C1을 첨가했다. 생성물을 약 72 시간 동안 실온에서 흔들고, 0.1-㎛ 엔드포인트 필터를 통하여 여과했다.
이후 결과적인 바닥부 반사방지 코팅의 필름 및 광학 특성을 실시예 2에서 설명한 바와 같이 결정했고, 이는 아래의 결과의 표 2에 제공된다.
실시예 13
삼원중합체 VI의 합성 및 이의 침전
이 절차에서, 비교를 위하여 12.9 mol% t-부틸 메타크릴레이트, 메타크릴산, 및 스타이렌을 이용하여 전통적인 산-분해성 삼원중합체를 합성했다. 자석 교반 막대, 온도계, 질소 유입구를 가진 투입 깔때기, 및 질소 유출구를 가진 응축기를 구비한 3-구 플라스크를 25.98 g(101.8 mmol)의 메타크릴산, 13.5 g(94.9 mmol)의 t-부틸 메타크릴레이트, 35.37 g(339.6 mmol)의 스타이렌, 및 505.11 g의 PGME로 채웠다.
개별적인 용기에서, 168.3 g의 PGME에 섞인 약 1.864 g(11.35 mmol)의 AIBN의 혼합물을 실온에서 제조하여 용액을 형성했다. AIBN 용액을 적하 깔때기에 첨가했다. 반응 시스템을 질소로 15 분 동안 주위 조건에서 퍼징한 다음, 플라스크를 -103℃의 오일조에 담그고, 질소하에 교반했다. 반응 용액 온도가 100℃에 도달했을 때, AlBN 용액을 적하 깔때기로부터 빠른 적하로 첨가했다. 교반되는 혼합물을 약 100℃에서 24 시간 동안 질소하에 유지시켰다. GPC를 통한 용액 중의 삼원중합체 VI Mw는 17,900 g/mol이고, D는 2.5였다.
용액으로부터 삼원중합체를 침전시키기 위하여, 삼원중합체 VI 용액의 일부(~300 ml)를 교반 막대를 구비하고 약 1,200 ml의 헥산을 포함하는 4-리터 플라스크에 첨가했다. 삼원중합체가 플라스크의 바닥에서 검화되어, 헥산을 따라내고, 삼원중합체를 또 다른 플라스크에 수집했다. 나머지 중합 용액을 이용하여 침전을 반복했다. 잔류 삼원중합체를 용액으로부터 침전시킨 후, 물질을 헥산으로 두 번 헹구었다. 삼원중합체를 1-리터 비커에서 1 시간 동안 실온에서 건조되도록 한 다음, 50℃ 진공 오븐에 넣고 건조했다. GPC를 통한 삼원중합체 VI Mw는 19,600 g/mol이고, D는 2.1이었다.
실시예 14
삼원중합체 VI를 이용한 바닥부 반사방지 코팅의 제조
이 절차에서, 바닥부 반사방지 코팅을 실시예 13의 삼원중합체 VI, PAG, 및 정지제를 이용하여 제조했다. 용기를 1.2136 g의 삼원중합체 VI, 0.3588 g의 실시예 27의 가교제, 78.720 g의 PGME, 19.680 g의 PGMEA, 0.0063 g의 정지제 (1-Boc-4-하이드록시피페리딘), 및 0.0213 g의 TPS-C1로 채웠다. 혼합물을 실온에서 교반하여 용액을 제조한 다음, 이를 0.1-㎛ 엔드포인트 필터를 통하여 여과했다.
이후 결과적인 바닥부 반사방지 코팅의 필름 및 광학 특성을 실시예 2에 설명한 바와 같이 결정했고, 이는 아래의 결과의 표 2에 제공된다.
삼원중합체 III 바닥부 반사방지 코팅에 대한 대조 곡선을 상기한 바와 같이 결정했고, 이는 도 2에 나타난다.
그 다음, 193-nm 리소그래피를 실시예 2에 상기한 바와 같이 수행했고, 결과는 도 3에 나타난다.
실시예 15
삼원중합체 VII 합성 및 이의 침전
이 절차에서, 삼원중합체를 21.9 mol%의 EM, 메타크릴산, 및 스타이렌을 이용하여 합성했다. 자석 교반 막대 및 온도계를 구비한 500-ml 3-구 플라스크를 17.72 g(71.3 mrnol)의 Adamantate® EM, 15.84 g(152.1 mmol)의 스타이렌, 8.80 g(102.2 mmol)의 메타크릴산, 및 267.66 g의 PGME으로 채웠다. 질소 유출 어댑터를 가진 응축기 및 질소 유입 어댑터를 가진 적하 깔때기를 플라스크를 부착했다.
그 다음, 125-ml Nalgene 병을 0.837 g(5.10 mmol)의 AlBN 및 89.196 g의 PGME으로 채웠다. 물질을 실온에서 용해될 때까지 혼합한 다음, 적하 깔때기에 첨가했다. 반응 플라스크를 105℃의 오일조에 담그었다. 반응 용액 온도가 약 100℃에 도달했을 때, AIBN 용액을 빠른 적하로 첨가했다. 반응 혼합물을 온도에서 24 시간 동안 유지시칸 다음, 냉각되도록 했다. GPC를 통한 용액 중의 삼원중합체 VIl Mw는 13.300 g/mol이고, D는 2.3이었다.
삼원중합체 VII 용액의 일부(~380 g)를 1,900 ml의 헥산에 침전시켰다. 삼원중합체를 ~50 ml 분취량의 헥산으로 세 번 헹군 다음, 40℃ 진공 오븐에서 건조했다. 산출: 16.65 g (-44% 회수). GPC를 통한 삼원중합체 VIl Mw는 15.700 g/mol이고, D는 1.7이다.
실시예 16
삼원중합체 VII를 이용한 바닥부 반사방지 코팅의 제조
이 절차에서, 바닥부 반사방지 코팅을 실시예 15의 삼원중합체 VII (21.9 mol% EM), PAG, 및 정지제를 이용하여 제조했다. 용기를 1.2129 g의 삼원중합체 VII, 0.360 g의실시예 27의 가교제, 78 08 g의 PGMF, 10.67 g의 PGMEA, PGME에 섞인 0.639 g의 1 % 정지제 (1-Boc-4-하이드록시피페리딘), 및 0.0220 g의 TPS-C1으로 채웠다. 혼합물을 실온에서 교반하여 용액을 제조한 다음, 0.1-㎛ 엔드포인트 필터를 통하여 여과했다.
이후 삼원중합체 VII 바닥부 반사방지 코팅에 대한 필름 및 광학 특성을 실시예 2에 상기한 바에 따라 결정했다. 결과가 표 2에 나타난다.
삼원중합체 VII 바닥부 반사방지 코팅에 대한 대조 곡선을 또한 실시예 2에 상기한 바에 따라 결정했고, 이는 도 2에 나타난다.
실시예 17
삼원중합체 VIl를 이용한 PAG-무포함 바닥부 반사방지 코팅의 제조
이 절차에서, 바닥부 반사방지 코팅을 PAG 또는 정지제 없이 실시예 15의 삼원중합체 VII를 이용하여 제조했다. 125-ml 호박색 NaIgene 병을 1.2133 g의 삼원중합체 VII. 0.183 g의 실시예 27의 가교제, 68.679 g의 PGME, 및 17.169 g의 PGMHA로 채웠다. 혼합물을 실온에서 4.1 시간 동안 흔든 다음, 0.1-㎛ 엔드포인트 필터를 통하여 여과했다.
결과적인 바닥부 반사방지 코팅을 1500 rpm으로 30 또는 60 초 동안 실리콘 웨이퍼에 스핀 도포하고, 160℃에서 60 초 동안 베이킹하여, 53.3 nm의 필름 두께를 야기했다. 레지스트 ARX3001JN 및 10℃에서 60 초 동안의PAB/PEB를 이용한, 이러한 바닥부 반사방지 코팅에 대한 193-nm 리소그래피가 도 7에 나타난다.
실시예 1-17 결과
실시예 1-12 및 15-17에서, 높은 활성화 에너지 그룹인 t-부틸 에스테르와 같은 전통적인 산-분해성 단량체(실시예 13 및 14 참조)를, 더 낮은 활성와 에너지를 필요로 하는 아다만틸 메타크릴레이트 단량체로 대체했다. 산-분해성 단량체가 전체 고분자의 단지 작은 백분율을 차지한다는 점에서, 이러한 작은 변화가 1) 파생된 바닥부 반사방지 코팅의 대조 곡선 및 도즈-투-클리어(dose-to-clear) (E0); 및 2) 193-nm 리소그래피에서 바닥부 반사방지 코팅 성능에서 극적이고 예기치 않은 변화를 일으킨다.
표 1. 삼원중합체 설명.
삼원중합체 식별 산-분해성 단량체 (투입된 대로의) 산-분해성 단량체의 몰 백분율 (투입된 대로의) AIBN의 몰 백분율 투입된 고분자 용액의 회수 백분율 헥산으로부터 침전의 산출 백분율 삼원중합체
분자량
(Mw/D)
I IPM 12.9 1.6 99 62 15,150/2.8 (soln)
16,050/2.1 (ppt)
II EM 12.9 1.5 99 69 15,250/2.5 (soln)
16,850/2.1 (ppt)
III AM 12.9 1.6 99 75 18,650/2.6 (soln)
19,150/2.3 (ppt)
IV CAM 12.8 1.5 98 69 14,850/2.6 (soln)
14,950/2.2 (ppt)
V MACM 12.9 1.5 98 78 17,900/2.6 (soln)
17,900/2.2 (ppt)
VI 알킬 에스테르 12.9 1.5 - ~68 17,900/2.5 (soln)
19,600/2.1 (ppt)
VII EM 21.9 1.5 - ~44 13,300/2.3 (soln)
15,700/1.7 (ppt)
표 2. 바닥부 반사방지 코팅 필름 및 광학 특성.
바닥부 반사방지
코팅
삼원중합체 필름 두께,
nm
EL 스트리핑 현상, 비노광
영역
(다크 로스)
193-nm n/k
실시예 2 I 53.0 +0.5% +0.0% 1.69/0.54
실시예 3 I 54.0 +0.47% +0.21% 1.69/0.54
실시예 5 II 53.9 +1.3% +0.2% 1.70/0.54
실시예 6 II 47.8 +0.96% +0.58% 1.68/0.54
실시예 8 III 52.8 +0.4% +0.0% 1.68/0.51
실시예 10 IV 54.4 +0.4% +0.3% 1.67/0.51
실시예 12 V 52.6 +0.8% +1.8% 1.72/0.48
실시예 14 VI 56.4 +0.9% -0.8% 1.68/0.56
실시예 16 VII 53.5 +0.4% -1.5% 1.69/0.49
실시예 18
삼원중합체 I 및 고분자 아민 첨가제를 이용한 PAG-무포함 바닥부 반사방지 코팅의 제조
이 절차에서, PAG-무포함 바닥부 반사방지 코팅을 고분자 아민 첨가제(정지제)와 함께 상기 실시예 1의 삼원중합체 I(IPM)을 이용하여 제조했다. 250-ml 호박색 Nalgeae 병을 0.536 g의 실시예 27의 가교제, 4-비닐 피리딘 및 t-Boc-옥시스타이렌(본사 합성)의0.52 mg의 1:1 mol 공중합체, 116.710 g의 PGME, 29.186 g의 PGMEA, 및 1.817 g의 삼원중합체 I로 채웠다. 혼합물을 실온에서 17 시간 동안 흔들어 용액을 생성한 다음, 0.1 ㎛ 엔드포인트 필터를 통하여 두 번 여과했다.
결과적인 바닥부 반사방지 코팅을 실리콘 웨이퍼에 1,500 rpm으로 60 초 동안 스핀 도포한 다음, 160℃에서 60 초 동안 베이킹했다. 결과적인 바닥부 반사방지 코팅의 광학 및 필름 특성이 상기 실시예 3의 절차에 따라 제조된 PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅(아민 첨가제 없음)의 특성과 함께, 아래 표 3에 제공된다.
193-nm 리소그래피를 위하여, 반사방지 코팅을 실리콘 웨이퍼에 1,500 rpm으로 30 초 동안 스핀 도포하고, 이어서 160℃에서 60 초 동안 베이킹했다. 결과적인 필름은 약 52 nm의 10 두께를 가졌다. 포토레지스트(190 nm의 ARX3001 JN)를 도포하고, 110℃에서 60 초 동안의 PAB가 이어졌다. 노광을 위하여 ASML 1100-ArF 스캐너를 사용하고, 106℃에서 60 초 동안의 PEB가 이어졌다. 노광 조건은 아래 나타난다.
조사 모드 - 환형
NA - 0 75
시그마 - 0.85/0.57
목표 CD - 130-nm L/260-nm P (브라이트 필드)
현상 - 45 초 동안 OPD262A
A TMAH, ARCH Semiconductor Chemicals
도 8 (a) 및 8(b)에 나타나는 바와 같이, 130-nm 조밀 (1:1) 및 고립 라인이 약간의 언더컷팅(undercutting)을 보였다. 27 mJ/㎠의 노광 선량에서 조밀 및 고립 라인 모두에 대한 초점심도(Depth-of-focus, DOF)가 약 0.3 ㎛였다. 스페이스는 조밀 패턴에서 관찰되는 일부 레지스트 미세가교(microbridging)를 제외하고 깨끗했다. 이러한 바닥부 반사방지 코팅은 심지어 더 작은 CD에 대한 잠재성을 나타낸다.
실시예 19
NAMA를 포함하는 아다만틸 고분자의 합성
이 절차에서, 새로운 고분자 용액을 네 번째 단량체 2-나프토산-3-메타크릴레이트(NAMA)를 삼원중합체 I 결합제에 14 wt.%의 단량체 혼합물로 혼입하여 제조했다. 자석 교반 막대 및 온도계를 구비한 500-ml 3-구 플라스크를 9.09 g(105.6 mmol)의 메타크릴산, 10.56 g(101.4 mmol)의 스타이렌, 8.72 g(33.2 mmol)의 Adamantate® M-105 (IPM; 일본, 도쿄 소재의 Idemitsu Kosan Co., Ltd.), 4.56 g(17.8 mmol)의 NAMA, 및 221.55 g의 PGME로 채웠다. 질소 유출 어댑터를 가진 응축기 및 질소 유입 어댑터를 가진 적하 깔때기를 플라스크에 부착했다. 혼합물을 실온에서 약 4.5 분 동안 교반하여 분진-유사 현탁액/용액을 생성했다.
이후 73.60 g의 PGME에 섞인 0 680 g(4 14 mmol)의 AIBN의 용액을 제조하고, 적하 깔때기에 채웠다. 반응 혼합물을 질소으로 실온에서 약 15 분 동안 퍼징하고, 이후 플라스크를 106℃의 오일조에 담그었다. 교반되는 반응 용액이 104℃에 도달했을 때, AIBN 용액을 2 분에 걸쳐 한 방울씩 첨가했다. 반응 혼합물을 약 96-104℃에서 24 시간 동안 교반한 다음, 냉각되도록 했다. 산출: 321.1 g의 용액 (98% 회수); 10.19 wt% 고분자 고체. GPC를 통한 용액 중 NAMA-아다만틸 고분자에 대한 Mw는 13.200 g/mol이고, D는 2.34였다.
용액으로부터 고분자를 침전시키기 위하여, 오버헤드 교반기 및 교반봉을 구비한 4-리터 비커를 1 리터의 헥산으로 채웠다. 적하 깔때기를 100.5 g의 고분자 용액으로 채우고, 이후 교반되는 헥산을 9 분에 걸쳐 한 방울씩 첨가하고, 이어서 추가 10 분 동안 실온에서 교반했다. 용매를 진공 여과로 제거했다. 고분자를 포함하는 비커를 50℃ 진공 오븐에 0.5 시간 동안 넣고, 이어서 실온에서 3 일 동안 건조했다. 이후 비커를 50℃ 진공 오븐에 21.5 시간 동안 다시 넣었다. 이후 고분자를 막자사발 및 막자를 이용하여 분말로 분쇄하고, 이어서 50℃ 진공 오븐에서 일정 중량으로 건조했다. 산출: 6.36 g(62% 회수). GPC를 통한 침전된 고분자의 Mw는 13,450 g/mol이고, D는 2.16이었다.
실시예 20
NAMA-아다만틸 고분자로부터 바닥부 반사방지 코팅 제조
이 절차에서, 바닥부 반사방지 코팅을 상기 실시예 20에서 제조된 NAMA-함유 아다만틸 고분자를 이용하여 제조했다. 250-ml 호박색 Nalgene 병을 0.542 g의 실시예 27의 가교제, 1.826 g의 NAMA 고분자, 118.011 g의 PGME, 및 29.169 g의 PGMEA로 채웠다. 혼합물을 실온에서 하룻밤 동안 흔든 다음, 0.1-㎛ 엔드포인트 필터를 통하여 두 번 여과했다.
결과적인 바닥부 반사방지 코팅을 실리콘 웨이퍼에 1,500 rpm으로 30 초 동안 스핀 도포한 다음, 160℃에서 60 초 동안 베이킹했다. 결과적인 NAMA-함유 아다만틸 바닥부 반사방지 코팅의 필름 및 광학 특성이 상기 실시예 3의 절차에 따라 제조된 PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅의 특성과 함께, 아래 표 3에 제공된다.
그 다음, 193-nm 리소그래피를 ASML 1100-ArF 스캐너를 이용하여 수행했다. 반사방지 코팅을 실리콘 웨이퍼에 1,500 rpm으로 30 초 동안 스핀 도포하고, 이어서 160℃에서 60 초 동안 베이킹했다. 결과적인 필름은 약 49 nm의 두께를 가졌다. 포토레지스트(190 nm의 ARX3001 JN)를 도포하고, 110℃에서 60 초 동안 PAB 및 PEB했다. 106℃에서 60 초 동안의 PEB가 조밀 대 고립 라인 모두에 대하여 더 더러운 스페이스를 생성함이 밝혀졌다. 노광 조건이 아래에 나타난다.
조사 모드 - 환형
NA - 0.75
시그마 - 0.85/0.567
목표 CD - 130-nm L/260-nm P (브라이트 필드)
현상 - 45 초 동안 OPD262
도 9(a) 및 9(b)의 SEM 횡단면 사진에 나타나는 바와 같이, 우수한 130-nm L/S (1:1 및 고립 라인)를 25 mJ/㎠의 노광 선량에서 조밀 및 고립 라인 모두에 대하여 약 0.2 ㎛ DOF로써 수득했다.
필름 및 광학 결과 실시예 18-20
나타나는 필름 두께는 단지 EL 스트리핑에 사용된 웨이퍼에서 기인한 것이다. 다크 로스 평가를 위하여, 코팅이 용매 퍼들링 전에 110℃에서 60 초 동안의 PEB를 거쳤다.
표 3. 바닥부 반사방지 코팅 필름 및 광학 특성.
바닥부
반사방지 코팅
고분자 결합제 필름 두께 EL 스트리핑, % 다크 로스, % 193-nm n/k
실시예 3 삼원중합체 I 52 nm +0.8 +0.6 1.66/0.54
실시예 18 삼원중합체 I 52.5 nm +0.8 +0.6 1.65/0.54
실시예 20 삼원중합체 I + NAMA 49 nm -0.4 +0.8 1.67/0.50
실시예 21
PAG-무포함 삼원중합체 I 반사방지 코팅 및 추가 193-nm 포토레지스트로써 리소그래피 비교
이 절차에서, 선택된 리소그래피를 두 가지의 상용화되어 구입 가능한 포토레지스트 및 상기 실시예 3의 절차에 다라 제조된 PAG-무포함 바닥부 반사방지 코팅(IMP 삼원중합체 1)을 이용하여 수행했다. 각 테스트에 대하여, 바닥부 반사방지 코팅을 실리콘 웨이퍼에 1,500 rpm으로 30 초 동안 스핀 도포하고, 이어서 160℃에서 60 초 동안 베이킹했다. 필름은 55 nm의 두께를 가졌다. 이후 상용화되어 구입 가능한 포토레지스트(TOK의 TArF-Pi6-001; 또는 Shin-Etsu의 SAlL-X-181)를 필름에 도포했다. 각 포토레지스트에 대한 조건 및 노광 파라미터가 아래 제공된다.
TArF - Pi6 -001 SAlL -X-181
PAB 60 초 동안 110℃ 60 초 동안 105℃
레지스트 두께 130 nm 155 nm
조사 모드 통상 dipole35Y
NA 0 75 0.75
시그마 0.89 0.89:0.65
목표 CD 130-nm L/260-nm P 80-nm L/160-nm P
(브라이트 필드) (브라이트 필드)
PEB 60 초 동안 114℃ 60 초 동안 110℃
현상 OPD262 45 초 OPD262 45 초
노광을 위하여 ASML 1100-ArF 스캐너를 사용했다. SEM 횡단면이 도 10에 나타난다. 레지스트 TArF-Pi6-001 (a)에 대하여, 선택된 전체-해상도(through-resolution) 리소그래피 결과가 0.0 ㎛ 초점에서 나타난다. 레지스트 SAIL-X-181 (b)에 대하여, 해상도는 1:1에서130 nm, 그리고 0.0 및 +0.1 ㎛ 초점에서 1:1.5 L/S였다. 이러한 레지스트는 더 적은 광산 확산/활성을 나타낸다.
결국, 이러한 실시예는 본 발명의 PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅이 다양한 레지스트를 사용하여 놀라운 적합성을 나타냄을 증명한다.
실시예 22
PAG-무포함 삼원중합체 I 반사방지 코팅의 승화
이 절차에서, 베이킹 단계 동안 실시예 3의 절차에 따라 제조된 PAG-무포함 반사방지 코팅의 승화를 두 가지의 상용화되어 구입 가능한 193-nm 건조 바닥부 반사방지 코팅으로부터의 승화와 비교했다. 석영 결정 미량천칭(quartz crystal microbalance, QCM)을 유리 종형 단지(bell jar)에 부착된 노츨 위에 장착했다. 진공을 걸어 승화물이 수입을 위한 결정으로 이동하도록 했고, 여기서 응축 승화물은 결정 진동수 변화에 기초하여 기록된다. 응축되지 않는 용매는 측정되지 않는다. 이후 결정에 연결된 전극이 데이터를 컴퓨터에 전송하고, 컴퓨터는 실시간으로 진동수 변화를 그래프로 나타낸다. 이는 승화물 나노그램 대 초로 나타낸 가열 시간으로 계산된다. 표준 193-nm 건식-현상된 바닥부 반사방지 코팅 대조군은 ARC® 29A-8 및 ARC® 162-304-2(두 가지 모두 미주리주, 롤크 소재의 Brewer Science Inc.로부터 구함)였다. 베이킹 파라미터는 PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅(49.5 nm의 두께)에 대하여 120 초 동안 160℃, 건조 바닥부 반사방지 코팅(각각 75.3 nm 및 39.1 nm의 두께)에 대하여 120 초 동안 205℃였다. 고온 플레이트를 승화 수집을 위한 유리 종형 단지 아래에 방금 코팅된 웨이퍼를 넣기 전에 명시된 온도에서 대략 1 분 동안 유지시켰다. 결과 및 비교가 도 11-12에 나타난다. PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅은 건조 바닥부 반사방지 코팅 ARC® 29A-8보다 훨씬 더 적게, 그리고 건조 바닥부 반사방지 코팅 ARC® 162-304-2와 대략 동일한 수준으로 승화되었다.
실시예 23
실리콘 웨이퍼 상의 현상 후 잔류물의 비교
이 절차에서, 실시예 3에 따라 제조된 PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅의 실리콘 기판 상의 현상 후 잔류물의 양을 상용화되어 구입 가능한 습식 현상 가능 반사방지 코팅의 잔류물과 비교했다. 코팅된 웨이퍼를 PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅, 및 ARC® DS-A520(Brewer Science Inc.로부터 구함)을 모두와 함께 포토레지스트(ARX3001JN)를 이용하여 제조했고, ACT8 Tel 트랙 및 노광을 위한 ASML PAS5500TM/1100 스캐너를 이용하여 가공했다. 필름 두께는 두 가지 반사방지 코팅에 대하여 동일했다 (55 nm). PAG-무포함 바닥부 반사방지 코팅을 위한 PEB는 60 초 동안 106℃인 한편, 60 초 동안 110℃의 PEB가 ARC® DS-A520을 위하여 사용되었다. 포토레지스트 필름 두께는 60 초 동안 110℃의 PAB로써 190 nm 내지 200 nm의 범위였다. 구불구불한 선량(dose) 매트릭스가 통상적인 조사로써 개방 프레임 노광을 이용하여 형성되었다. 45 초 동안 OPD262를 사용하여 현상한 후, Woollam M2000타원계를 사용하여 나머지 유기 잔류물을 측정했다.
결과가 도 13에 나타나고, 실리콘 기판 상의 PAG-무포함 바닥부 반사방지 코팅에 대한 현상 후 잔류물이 이전 세대의 ARC® DS-A520보다 초기 필름 두께에 훨씬 덜 의존적임을 증명한다. PAG-무포함 삼원중합체 I 반사방지 코팅에 대한 필름 두께 증가와 함께 잔류물 감소를 나타내는 약간의 경향이 나타날 수 있다. 유사한 경향이 전체-노광 선량 측정으로부터 관찰되었다. 기판 토포그래피에 걸쳐, 두께 변화 결과는, 잔류물 유출이 전통적인 습식 현상 가능한 코팅에 대한 것보다 본 발명의 PAG-무포함 바닥부 반사방지 코팅에 대하여 더 적어야 함을 나타낸다. 15 mJ/㎠ 내지 61 mJ/㎠ 범위의 복사 에너지 변화는 ARC® DS-A520로써 현상 후 잔류물 차이를 초했다. 그러나, 레지스트 ARX3001 JN 및 PAG-무포함 바닥부 반사방지 코팅을 이용하여, 15 mJ/㎠ 내지 61 mJ/㎠ 노광 선량에 걸쳐 현상 후 잔류물이 최소로 변화했다.
실시예 24
실리콘 니트라이드 기판 상의 현상 후 잔류물 비교
이 절차에서, 실리콘 니트라이드-증착된 기판 상의 잔류물 양을 두 가지의 습식 현상 가능한 반사방지 코팅을 이용하여 비교했다. 실시예 3에 따라 제조된 PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅, ARC® DS-A520(Brewer Science Inc.로부터 구함)을 동일한 실리콘 니트라이드 기판에 스핀 도포한 다음, 160℃에서 60 초 동안 베이킹하여 각 조성물에 대하여 55 nm의 필름 두께를 제공했다. 이후 두 가지의 코팅된 웨이퍼를 포토레지스트(ARX3001JN)로 스핀 코팅하여, 110℃에서 60 초 동안의 PAB 후 190 nm 레지스트 필름을 생성했다. 개방 프레임 ArF 복사를 Nikon NSR-S307E 장비를 사용하여 수행하고, 본 발명의 PAG-무포함 바닥부 반사방지 코팅에 대하여 60 초 동안 106℃의 PEB, 및 ARC® DS-A520에 대하여 60 초 동안 114℃의 PEB가 이어졌다. 이후 노광된 스택을 60 초 동안 NMD-32.38%(TMAH; 캘리포니아주, 밀피타스 소재의 Ohka America, Inc.)로 현상했다. 두 가지의 바닥부 반사방지 코팅에 대한 잔류물 데이터가 잔류물 두께 대 노광 선량으로서 도 14에 도시된다. 니트라이드 두께를 각 데이터 지점 측정치에서 또는 그 근처에서 측정했다. PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅의 잔류물은 점근선이 거의 18 mJ/㎠에 도달할 때까지 선량에 따라 급격히 감소하고, 이후 잔류물의 양이 선량에 따라 안정하게 유지되었다. 따라서, 14 내지 25 mJ/㎠의 ArF 노광 선량에 대하여, PAG-무포함 삼원중합체 I 바닥부 반사방지가 실리콘 니트라이드 기판 상의 전통적인 습식 현상 가능한 코팅보다 더 적은 잔류물을 생성할 것으로 기대될 것이다. 본 발명의 PAG-무포함 바닥부 반사방지 코팅은 또한 특히 도즈-투-클리어 (E0)에서 최소한의 잔류물을 생성하는 것으로 관찰되었다.
실시예 25
PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅을 이용한 추가적인 리소그래피
이 절차에서, 포토레지스트 ARX3001 JN(190-nm 두께)을 이용하여 실시예 3에 따라 제조된 PAG-무포함 아다만틸 바닥부 반사방지 코팅의 해상도 성능을 연구했다. 노광을 위하여 ASML 1100-ArF 스캐너를 사용하고, 60 초 동안 110℃ 및 다양한 PEB 온도(102℃, 106 ℃, 110 ℃, 114 ℃)에서 각각 60 초 동안 PAB했다. 노광 조건은 아래에 나타난다.
조사 모드 - 통상적
NA - 0.75
시그마 - 0.89
목표 CD - 130-nm L/260-nm P (브라이트 필드)
현상 - 45 초 동안 OPD262
횡단면의 SEM 사진을 25 mJ/㎠의 SEM-횡단면-식별된 최우수 노광 선량 및 0.0 ㎛ 초점에서 준비했다. 106℃의 PEB에서 110 nm 내지 160 nm의 해상도에 대한 사진이 도 15 (a)에 나타난다. 달성 가능한 L/S(1:1. 1:3, 및 고립 라인)를 130-, 140-, 150-, 및 160-nm 해상도에서 획득했다. 조밀 (1:1) L/S는 120-nm 해상도에서 달성 가능했지만, 고립 라인이 손실되었다. 최소 잔류물이 개방 영역에서 관찰되었다.
130-nm 해상도에서, KLA 8100XP를 이용하는 CD-SEM에 의한 고립/조밀 바이어스는 약 10 nm였고, 이는 1:3 L/S 및 고립 라인에 대한 목표 CD의 10% 이내이다. 나타나는 모든 SEM 사진에서, 라인은 120 nm 내지 160 nm의 해상도에서 기부(base)에 언더컷(undercut) 또는 핀치(pinch)를 나타냈다. 102℃ 내지 106℃ PEB에 대한 DOF는 0.30 ㎛인 한편, 110℃ PEB는 약간 더 큰 0.40 ㎛의 DOF를 제공했다. PFB 증가와 함께 언더컷이 증가함에 따라, 114℃ PFB가 0.10 ㎛ DOF를 산출했다. 도 15(b)는 전체-PEB를 테스트한 것에 대한 리소그래피 결과를 포함한다. 이러한 데이터는 PEB가 증가함에 따라, 바닥부 반사방지 코팅이 더욱 심하게 언더컷됨을 증명하고, 비노광 영역으로의 실질적인 산 확산을 나타낸다. 이러한 반사방지 코팅과 포토레지스트 조합은 약 8℃ PEB 창(window)을 가지는 것으로 간주되었다. 이러한 포토레지스트는 도 15(a)-(b)에서 프로파일에 나타나는 바와 같이 바닥부 반사방지 코팅으로의 일관된 산 확산을 야기한다.
실시예 26
PAG-삼원중합체 I 바닥부 반사방지 코팅을 이용한 추가적인 리소그래피
이 절차에서, 230-nm 두께의 포토레지스트 ARX3340J(JSR Micro)를 이용하여 실시예 3에 따라 제조된 PAG-무포함 삼원중합체 I 바닥부 반사방지 코팅의 해상도 성능을 연구했다. 노광을 위하여 ASML 1250-ArF 스캐너를 사용하고, 60 초 동안 110℃ 및 다양한 PEB 온도(106℃, 110℃, 114℃, 및 118℃)에서 각각 60 초 동안 PAB했다. 노광 조건은 아래에 나타난다.
조사 모드 - 통상적
NA - 0.85
시그마 - 0.5
목표 CD - 150-nm S/375-nm P (다크 필드)
현상 - 45 초 동안 OPD262
횡단면의 결과적인 SFM 사진이 도 16에 나타난다. 이러한 패턴에 대한 DOF는 (각각) 21, 20. 및 20 mJ/㎠의 노광 선량을 이용하여 세 가지의 모든 더 낮은 PEB 온도에 대하여 약 0.25 ㎛였지만, 20 mJ/㎠의 노광 선량에서 가장 높은 PEB 온도에 대하여 단지 약 0.10 ㎛였다. 이러한 결과는 8℃ PEB 창을 나타낸다. 레지스트 라인의 상부는 만입되는 경향이 있었고, 일부 약간의 언더컷팅이 라인의 기부에서 발생했다. 그러나, 언더컷팅이 포토레지스트 ARX3001JN 사용보다 훨씬 더 적게 나타났다. 도 15(b)에 나타난 바와 같이, 심지어 PEB 온도가 증가함에 따라, 바닥부 반사방지 코팅의 언더컷이 포토레지스트 ARX3001 JN 사용 시 거동과 비교할 경우 더욱 일관된다. 결국, 포토레지스트 ARX3340J는 이러한 바닥부 반사방지 코팅과의 우수한 적합성을 나타내고, 비노광 영역으로의 제한된 언더컷을 유지하면서 필름을 탈가교 및 탈보호하기에 충분한 산을 제공한다. 따라서 비록 PEB 창이 포토레지스트 ARX3001 JN에 대한 것과 유사하기는 하지만, 바닥부 반사방지 코팅 프로파일 거동이 개선되었다.
실시예 27
비닐 에테르 가교제 제제
Figure 112011072760109-pct00019
이 절차에서, 실시예 2, 3, 5, 6, 8, 10, 12, 14, 16-18, 및 20에서 사용된 본사의 비닐 에테르 가교제 (트리스[4-(비닐옥시)부틸]-벤젠트리카복실레이트)를 25.15 g의 테트라메틸렌 글리콜 모노비닐 에테르(미주리주, 세인트 루이스 소재의 Aldrich), 22.91 g의 트리에틸아민(미주리주, 세인트 루이스 소재의 Aldrich), 및 250 ml 테트라하이드로퓨란(TOF; 미주리주, 세인트 루이스 소재의 Aldrich)을 500-ml, 2-구 플라스크에 첨가하여 제조했다. 플라스크가 교반 막대, 투입 깔때기, 응축기, 및 질소 유입구 및 유출구를 구비했다. 플라스크를 얼음물 조에 담그었고, 용액이 질소 흐름하에 교반되었다.
그 다음, 20.00 g의 1,3.5-벤젠트리카르보닐 트리클로라이드(미주리주, 세인트 루이스 소재의 Aldrich)를 250-ml 삼각 플라스크에서 50 ml THF에 용해했다. 이 용액을 500-ml 2-구 플라스크 위의 투입 깔때기로 옮기고, 교반되는 테트라메틸렌 글리콜 모노비닐 에테르/트리에틸아민/THF 용액에 약 15 분 동안 첨가가 완료될 때까지 한 방울씩 첨가했다. 접촉 시 백색 침전물이 형성되었다. 이후 플라스크를 얼음 조로부터 제거하고, 슬러리가 플라스크 안에서 실온이 되도록 했고, 이는 대략 16 시간이 걸렸다. 이후 슬러리를 4 시간 동안 가열하여 환류했다. 플라스크를 열로부터 제거하고 실온으로 냉각되도록 했다. 이후 슬러리를 흡입 여과 장치를 이용하여 여과하고, 회전 증발기를 이용하여 농축하여 점성 황색 액체를 제공했다.
이 액체를 100 ml의 디에틸에테르(미주리주, 세인트 루이스 소재의 Aldrich)에 용해하고, 25-ml 분량의 수성, 12.5% TMAH(세인트 루이스 소재의 Aldrich)로 두 번 세척했다. 에테르 층을 분별 깔때기를 이용하여 추출한 다음, 50-ml 분량의 탈이온수를 이용하여 두 번 세척했다. 에테르 층이 가라앉도록 하고 수집했다. 에테르 층을 5.0 g의 활성 염기 알루미나와 함께 혼합하여 건조했다. 혼합물을 1 시간 동안 교반하고 중력 여과했다. 투명한 황색 액체를 회전증발기에서 농축하여 황색 점성 오일을 제공했다. 총 산출은 대략 29.28 g(77% 수율)이었다.
실시예 28
추가적인 비닐 에테르 가교제 제제
Figure 112011072760109-pct00020
이 실시예에서, 또 다른 가교제의 제조가 기재된다. 가교제는 24.70 g의 2-(비닐옥시)에탄올, 27.44 g의 트리에틸아민, 및 300 ml THF를 500-ml, 2-구 플라스크에 첨가하여 제조될 수 있다. 용액은 얼음물 조에 담그어지고 질소 흐름하에 교반될 수 있다.
그 다음, 24.01 g의 1.3,5-벤젠트리카르보닐 트리클로라이드가 250-ml 삼각 플라스크에서 100 ml THF에 용해될 수 있다. 이후 이 용액을 첨가가 완료될 때까지 2-(비닐옥시)에탄올/트리에틸아민/THF 용액에 한 방울씩 첨가할 것이다. 이후 슬러리를 실온으로 한 다음, 약 4 시간 동안 가열하여 환류할 수 있다. 슬러리를 실온으로 냉각한 다음, 흡입 여과 장치를 이용하여 여과할 수 있다. 이후 용액을 회전증발기를 이용하여 농축하여 점성 황색 액체를 제공할 것이다.
그 다음, 액체를 100 ml의 에테르에 용해하고 50-ml 분량의 수성 TMAH로 두 번 세척할 것이다. 이후 에테르 층을 추출하고 50-ml 분량의 탈이온수를 이용하여 두 번 세척할 수 있다. 이후 에테르 층을 무수 마그네슘 설페이트로 건조할 것이다. 마지막으로, 용매를 가압하에 제거할 수 있다.

Claims (30)

  1. 다음 단계를 포함하는, 마이크로전자 구조물을 형성하는 방법:
    (a) 표면을 가지는 기판을 제공하는 단계;
    (b) 상기 표면에 반사방지 레이어를 형성하는 단계, 상기 반사방지 레이어는 용매 시스템에 용해되거나 분산된 고분자 및 비닐 에테르 가교제를 포함하는 반사방지 조성물로부터 형성되고, 상기 고분자는 아다만틸기를 가지는 반복 단량체 단위를 포함하고, 여기서 상기 반사방지 조성물은 조성물의 총중량을 100중량%로 취한 것을 기준으로 0.005중량% 미만의 산 발생제를 포함함; 및
    (c) 포토레지스트를 상기 반사방지 레이어에 도포하여 이미징 레이어를 형성하는 단계.
  2. 청구항 1에 있어서, 상기 아다만틸기는 산-분해성임을 특징으로 하는 마이크로전자 구조물을 형성하는 방법.
  3. 삭제
  4. 청구항 1에 있어서, 상기 방법은 상기 표면에 반사방지 레이어를 형성하는 단계 (b) 후 가교 상기 반사방지 레이어를 추가로 포함함을 특징으로 하는 마이크로전자 구조물을 형성하는 방법.
  5. 청구항 4에 있어서, 상기 가교는 포토레지스트 용매에 불용성인 반사방지 레이어를 산출함을 특징으로 하는 마이크로전자 구조물을 형성하는 방법.
  6. 청구항 4에 있어서, 상기 아다만틸기는 상기 가교에 참여하지 않음을 특징으로 하는 마이크로전자 구조물을 형성하는 방법.
  7. 청구항 4에 있어서, 상기 방법은 다음 단계를 추가로 포함함을 특징으로 하는 마이크로전자 구조물을 형성하는 방법:
    (d) 상기 이미징 레이어 및 상기 반사방지 레이어를 복사선에 노출시켜 상기 이미징 레이어 및 상기 반사방지 레이어의 노광 부분을 산출하는 단계.
  8. 청구항 7에 있어서, 상기 방법은 다음 단계를 추가로 포함함을 특징으로 하는 마이크로전자 구조물을 형성하는 방법:
    (e) 상기 이미징 레이어 및 상기 반사방지 레이어를 현상제와 접촉시켜 상기 기판 표면으로부터 상기 노광 부분를 제거하는 단계.
  9. 청구항 7에 있어서, 상기 노광은 탈가교 반사방지 레이어를 생성함을 특징으로 하는 마이크로전자 구조물을 형성하는 방법.
  10. 청구항 9에 있어서, 상기 탈가교 반사방지 레이어는 유리 아다만틸을 포함함을 특징으로 하는 마이크로전자 구조물을 형성하는 방법.
  11. 청구항 7에 있어서, 상기 반사방지 레이어는 염기 현상제에서 초기 용해도를 가지고, 상기 반사방지 레이어의 노광 부분을 산출하는 단계 (d) 후에, 상기 반사방지 레이어의 상기 노광된 부분은 염기 현상제에서 최종 용해도를 가지며, 상기 최종 용해도는 상기 초기 용해도보다 큼을 특징으로 하는 마이크로전자 구조물을 형성하는 방법.
  12. 청구항 7에 있어서, 상기 이미징 레이어는 상기 반사방지 레이어의 상기 노광 부분을 탈가교하는 상기 반사방지 레이어의 노광 부분을 산출하는 단계 (d) 동안 산을 발생시킴을 특징으로 하는 마이크로전자 구조물을 형성하는 방법.
  13. 청구항 1에 있어서, 상기 고분자는 아다만틸 아크릴레이트 및 아다만틸 메타크릴레이트로 이루어진 군에서 선택된 제1 화합물과 스타이렌, 아크릴, 메타크릴, 비닐, 비닐 에테르, 이의 유도체 및, 이의 조합으로 이루어진 군에서 선택된 제2 화합물의 중합으로 형성됨을 특징으로 하는 마이크로전자 구조물을 형성하는 방법.
  14. 다음을 포함하는 마이크로전자 구조물:
    표면을 가지는 기판;
    상기 기판 표면에 인접한 경화된 반사방지 레이어, 상기 반사방지 레이어는 용매 시스템에 용해되거나 분산된 고분자 및 비닐 에테르 가교제를 포함하는 반사방지 조성물로부터 형성되고, 상기 고분자는 아다만틸기를 가지는 반복 단량체 단위를 포함하고, 여기서 상기 반사방지 조성물은 조성물의 총중량을 100중량%로 취한 것을 기준으로 0.005중량% 미만의 산 발생제를 포함함; 및
    상기 반사방지 레이어에 인접한 포토레지스트 레이어.
  15. 삭제
  16. 삭제
  17. 청구항 14에 있어서, 상기 반사방지 레이어는 습식 현상 가능함을 특징으로 하는 마이크로전자 구조물.
  18. 청구항 14에 있어서, 상기 아다만틸기는 산-분해성임을 특징으로 하는 마이크로전자 구조물.
  19. 청구항 14에 있어서, 상기 기판은 실리콘, SiGe, SiO2, Si3N4, 알루미늄, 텅스텐, 텅스텐 실리사이드, 갈륨 아르세나이드, 게르마늄, 탄탈럼, 탄탈럼 니트라이드, 산호, 블랙 다이아몬드, 인 또는 붕소 도핑된 유리, 이온 주입 레이어, 티타늄 니트라이드, 하프늄 옥사이드, 실리콘 옥시니트라이드, 및 이들의 혼합으로 이루어진 군에서 선택됨을 특징으로 하는 마이크로전자 구조물.
  20. 용매 시스템에 용해되거나 분산된 비닐 에테르 가교제 및 가교 가능한 고분자를 포함하는 반사방지 조성물에 있어서, 상기 고분자는 아다만틸기를 가지는 반복 단량체 단위 및 산기를 가지는 반복 단량체 단위를 포함하고, 상기 반사방지 조성물은 조성물의 총중량을 100중량%로 취한 것을 기준으로 0.005중량% 미만의 산 발생제를 포함하는 반사방지 조성물.
  21. 청구항 20에 있어서, 상기 아다만틸기는 산-분해성임을 특징으로 하는 반사방지 조성물.
  22. 청구항 20에 있어서, 상기 산기를 가지는 반복 단량체 단위는 다음으로 이루어진 군에서 선택됨을 특징으로 하는 반사방지 조성물:
    Figure 112015017356114-pct00021

    여기서:
    각 R1은 -H, 분지 및 비분지 알킬, 및 사이클릭으로 이루어진 군에서 선택되고;
    각 R2는 -OH, -L-OH, -COOH, L-COOH, 및 -L-C(CF3)2OH로 이루어진 군에서 개별적으로 선택되고, 여기서 L은 분지 및 비분지 C1-C6 알킬, 방향족 (-Ar), 아미드로 이루어진 군으로부터 선택됨.
  23. 청구항 20에 있어서, 상기 아다만틸기를 가지는 반복 단량체 단위는 다음으로 이루어진 군에서 선택됨을 특징으로 하는 반사방지 조성물:
    Figure 112011072760109-pct00022

    여기서:
    각 R1은 -H. 분지 및 비분지 알킬, 및 사이클릭으로 이루어진 군에서 선택되고;
    각 R3는 다음으로 이루어진 군에서 개별적으로 선택되고:
    Figure 112011072760109-pct00023
    Figure 112011072760109-pct00024

    여기서 각 R4는 분지 및 비분지 알킬 및 시아노 알킬로 이루어진 군에서 개별적으로 선택됨.
  24. 청구항 20에 있어서, 상기 고분자는 다음 반복 단량체를 포함함을 특징으로 하는 반사방지 조성물:
    Figure 112015017356114-pct00025
    Figure 112015017356114-pct00026

    여기서:
    각 R1은 -H, 분지 및 비분지 알킬, 및 사이클릭으로 이루어진 군에서 선택되고;
    각 R2는 -OH, -L-OH, -COOH, -L-COOH, 및 -L-C(CF3)2OH로 이루어진 군에서 개별적으로 선택되고, 여기서 L은 분지 및 비분지 C1-C6 알킬, 방향족 (-Ar), 아미드로 이루어진 군으로부터 선택되고;
    각 R3는 다음으로 이루어진 군에서 개별적으로 선택되고
    Figure 112015017356114-pct00027
    Figure 112015017356114-pct00028

    여기서 각 R4는 분지 및 비분지 알킬 시아노 알킬로 이루어진 군에서 개별적으로 선택됨.
  25. 청구항 20에 있어서, 상기 조성물은 염료를 추가로 포함함을 특징으로 하는 반사방지 조성물.
  26. 청구항 25에 있어서, 상기 염료는 상기 고분자에 결합됨을 특징으로 하는 반사방지 조성물.
  27. 삭제
  28. 삭제
  29. 삭제
  30. 청구항 20에 있어서, 상기 용매 시스템은 에틸 락테이트, 프로필렌 글리콜 메틸 에테르 아세테이트, 프로필렌 글리콜 메틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 사이클로헥사논, 감마-부티로락톤, 및 이들의 혼합으로 이루어진 군에서 선택됨을 특징으로 하는 반사방지 조성물.
KR1020117021875A 2009-02-19 2010-02-19 산-민감성, 현상제-용해성 바닥부 반사방지 코팅 KR101668505B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15390909P 2009-02-19 2009-02-19
US61/153,909 2009-02-19
PCT/US2010/024664 WO2010096615A2 (en) 2009-02-19 2010-02-19 Acid-sensitive, developer-soluble bottom anti-reflective coatings

Publications (2)

Publication Number Publication Date
KR20110137316A KR20110137316A (ko) 2011-12-22
KR101668505B1 true KR101668505B1 (ko) 2016-10-28

Family

ID=42630241

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117021875A KR101668505B1 (ko) 2009-02-19 2010-02-19 산-민감성, 현상제-용해성 바닥부 반사방지 코팅

Country Status (8)

Country Link
US (1) US8383318B2 (ko)
EP (1) EP2399169B1 (ko)
JP (1) JP5840954B2 (ko)
KR (1) KR101668505B1 (ko)
CN (1) CN102395925B (ko)
SG (1) SG173730A1 (ko)
TW (1) TWI524151B (ko)
WO (1) WO2010096615A2 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013109748A1 (en) 2012-01-19 2013-07-25 Brewer Science Inc. Nonpolymeric antireflection compositions containing adamantyl groups
US9261786B2 (en) 2012-04-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of photolithography
WO2013163100A1 (en) 2012-04-23 2013-10-31 Brewer Science Inc. Photosensitive, developer-soluble bottom anti-reflective coating material
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9159559B2 (en) * 2013-03-11 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography layer with quenchers to prevent pattern collapse
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
KR101988193B1 (ko) 2013-11-08 2019-06-11 도쿄엘렉트론가부시키가이샤 화학적 폴리싱 및 평탄화를 위한 방법
TWI575566B (zh) 2014-02-24 2017-03-21 東京威力科創股份有限公司 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
DE112015000546T5 (de) * 2014-02-25 2016-11-10 Tokyo Electron Limited Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists
JP6509496B2 (ja) * 2014-04-08 2019-05-08 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 下層膜形成用組成物
US9754791B2 (en) * 2015-02-07 2017-09-05 Applied Materials, Inc. Selective deposition utilizing masks and directional plasma treatment
KR102374049B1 (ko) * 2015-06-02 2022-03-14 삼성전자주식회사 포토레지스트를 이용한 패턴 형성 방법
JP6997373B2 (ja) * 2016-02-15 2022-01-17 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
CN109313395B (zh) 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
US11768435B2 (en) * 2018-11-02 2023-09-26 Brewer Science, Inc. Bottom-up conformal coating and photopatterning on PAG-immobilized surfaces
KR20200114438A (ko) 2019-03-28 2020-10-07 삼성전자주식회사 반사방지막 형성용 폴리머 및 조성물과 반사방지막을 이용하는 집적회로 소자의 제조 방법
DE102019134535B4 (de) 2019-08-05 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Materialien für unteren antireflexbelag
US11782345B2 (en) 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3165043D1 (en) 1980-06-11 1984-08-30 Battelle Memorial Institute Unsaturated esters of adamantane containing diols and thermo-resistant cross-linked polymers therefrom
US6054254A (en) 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
JPH1172925A (ja) * 1997-07-03 1999-03-16 Toshiba Corp 下層膜用組成物およびこれを用いたパターン形成方法
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
JP4344212B2 (ja) * 2003-10-16 2009-10-14 丸善石油化学株式会社 共重合体、共重合体の製造方法及び新規チオール化合物
JP2005234168A (ja) * 2004-02-19 2005-09-02 Daicel Chem Ind Ltd 反射防止膜形成用樹脂組成物、反射防止膜の形成方法及び半導体の製造方法
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
JP2006089412A (ja) 2004-09-24 2006-04-06 Idemitsu Kosan Co Ltd アダマンタン誘導体、その製造方法及びフォトレジスト用感光材料
JP4575214B2 (ja) * 2005-04-04 2010-11-04 信越化学工業株式会社 レジスト下層膜材料およびパターン形成方法
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
US20070105040A1 (en) * 2005-11-10 2007-05-10 Toukhy Medhat A Developable undercoating composition for thick photoresist layers
US7919222B2 (en) 2006-01-29 2011-04-05 Rohm And Haas Electronics Materials Llc Coating compositions for use with an overcoated photoresist
EP1845416A3 (en) * 2006-04-11 2009-05-20 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for photolithography
EP1906249A3 (en) * 2006-09-26 2008-12-24 Rohm and Haas Electronic Materials, L.L.C. Antireflective coating compositions for photolithography
WO2008072624A1 (ja) * 2006-12-13 2008-06-19 Nissan Chemical Industries, Ltd. 低分子溶解促進剤を含むレジスト下層膜形成組成物
US7838199B2 (en) * 2007-02-28 2010-11-23 Rohm And Haas Electronic Materials Llc Polymers and photoresist compositions
JP5106911B2 (ja) * 2007-04-13 2012-12-26 株式会社ダイセル 重合体及びそれを用いた反射防止膜形成組成物
US20090098490A1 (en) * 2007-10-16 2009-04-16 Victor Pham Radiation-Sensitive, Wet Developable Bottom Antireflective Coating Compositions and Their Applications in Semiconductor Manufacturing
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
CN101952936B (zh) * 2008-02-22 2013-09-18 布鲁尔科技公司 用于193纳米光刻法的双层光敏性可溶于显影剂的底部减反射涂层
JP2010113035A (ja) * 2008-11-04 2010-05-20 Daicel Chem Ind Ltd 下層膜用重合体、下層膜用組成物及び半導体の製造方法
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition

Also Published As

Publication number Publication date
US20100213580A1 (en) 2010-08-26
KR20110137316A (ko) 2011-12-22
JP2012518812A (ja) 2012-08-16
CN102395925A (zh) 2012-03-28
SG173730A1 (en) 2011-09-29
EP2399169A4 (en) 2012-10-10
EP2399169A2 (en) 2011-12-28
TWI524151B (zh) 2016-03-01
WO2010096615A3 (en) 2010-12-09
US8383318B2 (en) 2013-02-26
CN102395925B (zh) 2015-06-03
EP2399169B1 (en) 2019-04-17
TW201035690A (en) 2010-10-01
JP5840954B2 (ja) 2016-01-06
WO2010096615A2 (en) 2010-08-26

Similar Documents

Publication Publication Date Title
KR101668505B1 (ko) 산-민감성, 현상제-용해성 바닥부 반사방지 코팅
JP4839470B2 (ja) トップコートを用いて深紫外線フォトレジストに像を形成する方法およびそのための材料
US7601483B2 (en) Anti-reflective coatings using vinyl ether crosslinkers
US7416834B2 (en) Antireflective coating compositions
TWI491990B (zh) 圖型之形成方法
US8415083B2 (en) On-track process for patterning hardmask by multiple dark field exposures
EP2841513B1 (en) Photosensitive, developer-soluble bottom anti-reflective coating material
US20030129531A1 (en) Positive-working photoimageable bottom antireflective coating
JP4918162B2 (ja) 193nmリソグラフィー用の二重層の感光性で現像液に可溶な底面反射防止塗膜
KR101561051B1 (ko) 포토리소그래피용 코팅 조성물
JP2015524573A (ja) 現像可能な底部反射防止膜組成物およびこれを用いたパターン形成方法
JP2013156647A (ja) 反射防止コーティング組成物
KR102159557B1 (ko) 오버코팅된 포토레지스트와 함께 사용하기 위한 코팅 조성물
JP5534205B2 (ja) 感光性レジスト下層膜形成組成物及びレジストパターンの形成方法
Meador et al. Improving the performance of light-sensitive developer-soluble anti-reflective coatings by using adamantyl terpolymers
US20050202339A1 (en) Negative photoresist and method of using thereof
US20070231736A1 (en) Bottom antireflective coating composition and method for use thereof
KR100598166B1 (ko) 유기 반사방지막용 가교제 중합체, 이를 포함하는 유기반사 방지막 조성물 및 이를 이용한 포토레지스트의 패턴형성 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191008

Year of fee payment: 4