TWI840599B - 用於隨機驅動的缺陷性治癒之方法及製程 - Google Patents

用於隨機驅動的缺陷性治癒之方法及製程 Download PDF

Info

Publication number
TWI840599B
TWI840599B TW109127662A TW109127662A TWI840599B TW I840599 B TWI840599 B TW I840599B TW 109127662 A TW109127662 A TW 109127662A TW 109127662 A TW109127662 A TW 109127662A TW I840599 B TWI840599 B TW I840599B
Authority
TW
Taiwan
Prior art keywords
emr
sensitive material
wavelength
radiation sensitive
contact hole
Prior art date
Application number
TW109127662A
Other languages
English (en)
Other versions
TW202122924A (zh
Inventor
麥可 卡卡希
永原誠司
空葛 丁
馬克 桑末薇拉
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202122924A publication Critical patent/TW202122924A/zh
Application granted granted Critical
Publication of TWI840599B publication Critical patent/TWI840599B/zh

Links

Images

Abstract

用以減輕缺漏接觸孔、起渣接觸孔以及造成橋接之殘渣的基板處理技術被揭露。在一實施例之中,電磁輻射(EMR)吸收分子被利用於使用在一泛光式曝光之前的一初始圖案化曝光的一製程之中。在一實施例之中,一光敏感化學增幅光阻(PSCAR)的光阻製程被利用於形成接觸孔,其中在一泛光式曝光以及一第二顯影製程之前的一初始曝光以及顯影製程被加以實施。在另一實施例之中,一製程被加以利用,其中EMR吸收分子前驅物被納入於在該光阻層之下的一層。因此,在該光阻層與該下伏層的介面處將導致EMR吸收分子的增強形成。

Description

用於隨機驅動的缺陷性治癒之方法及製程
[相關申請案的交互參照]本揭露案關聯於並且主張以下優先權:美國專利臨時申請案第62/888049號,申請於西元2019年8月16日,發明名稱「Method and Process for Stochastic Driven Defectivity Healing」,上述申請案的內容藉由引用將其全部納入於此。
本揭露關聯於基板的處理。更具體而言,其提供了用於在基板的處理期間治癒圖案化缺陷的一新穎方法。
由於在基板處理之中的幾何結構持續縮小,藉由光微影技術在基板上形成結構的技術挑戰增加。由於對較小幾何結構的需求上升,各種光微影技術被利用於用於達成如此結構的合適光微影術,包含極紫外光(EUV)微影術(利用在EUV範圍內(最典型係13.5 nm的波長)的電磁輻射(EMR)的波長之微影術)、多重圖案化法(例如自對準雙重圖案化(SADP)、自對準三重圖案化(SATP)等等)、氟化氬(ArF)微影術、或其他小幾何結構圖案化方法。
用於小幾何結構微影圖案化的一種技術涉及光敏感化學增幅光阻(PSCAR)的使用。特別是在使用EUV微影術、ArF微影術以及多重圖案化微影術的情況下,PSCAR技術有助於提供有著較少缺陷的改進圖案化。如同傳統的化學增幅型光阻(CAR),PSCAR利用在光阻特徵部之內為了去保護而產生的酸,但不同於僅使用一單圖案化曝光的CAR,酸係以二步驟光照製程所產生。
在一例示性PSCAR技術之中,一第一EUV圖案化曝光係用於產生一圖案(在該光阻之內的潛像),其中,產生相對較小量的酸,並且同時例如由被加到PSCAR光阻的一光敏劑前驅物/產生劑產生一光敏劑(PS)化合物。在第一EUV圖案化曝光期間,酸及光敏劑(PS)二者僅在PSCAR光阻的光照部份之中加以產生。之後,實施一第二曝光。此第二曝光係一泛光式曝光(flood exposure),亦即不具圖案,其使用不同於第一EUV曝光之波長的EMR的一第二波長。該PSCAR光阻化學品被選擇為使得光敏劑(PS)對用於泛光式曝光之中的EMR的第二波長係敏感的,而其他光阻化合物則否。無論在該第一EUV圖案化曝光期間所形成的圖案之中何處出現,該光敏劑(PS)造成在該泛光式曝光期間進一步的酸的產生。舉例而言,酸濃度的十倍增加可能係常見的。此光敏劑誘發的酸濃度增加,導致大幅增加的對比度,這允許了更多的製程寬容度,特別是關於解析度線寬粗糙度敏感度(RLS)權衡。因此,PSCAR呈現了使EUV微影成為可能的科技,因為其允許了EUV源及微影術在它們目前的功率位準下的生產性的使用。
應注意到,因為以上之敘述係為了清晰之目的而加以簡化,PSCAR製程可能涉及例如在第一EUV圖案化曝光與泛光式曝光之間額外的步驟。再者,在若干PSCAR化學品實施例之中,在第一EUV圖案化曝光期間可能沒有酸被產生,而可能僅光敏劑(PS)被產生,所產生的光敏劑(PS)造成在泛光式曝光期間的所有酸的產生。又替代地,如先前所解釋,酸可能被小量地產生,但其可能藉由例如淬滅現象(取決於出現在PSCAR光阻之中的淬滅劑的量)之競爭性化學反應加以有效地消耗。
因為EUV源的功率位準係如此的低,並且因為EUV光子每一者因短的EUV EMR波長而有著相對較高的能量,所以與使用波長較長的EMR源時(例如氟化氬(ArF)、氟化氪(KrF)、等等)相比,有著相對較少的光子涉及於圖案化EUV曝光之中(對相同曝光劑量而言)。這意味著例如在傳統的化學增幅型光阻(CAR)之中將有著較少的酸分子被產生。因為EUV曝光劑量被減少,所以在一給定容積之內的一光酸產生劑(PAG)分子未被分解為酸的隨機機率增加,導致在光阻內的不均勻酸濃度──此一效應統稱為散粒雜訊。散粒雜訊週知為在圖案化之中的線寬粗糙度(LWR)的主要原因之一。取決於特徵部的類型,在適用的情況下,諸如線緣粗糙度(LER)或接觸緣粗糙度(CER)之其他參數可能亦被影響。
一習知PSCAR技術包含了擴散該光敏劑分子的一步驟,以減少肇因於EUV散粒雜訊的不均勻性。如此技術的一示例被揭露於由Carcasi與Somervell所發明之美國專利第9746774號,發明名稱「Mitigation of EUV Shot Noise Replicating into Acid Shot Noise in Photo-Sensitized Chemically-Amplified Resist (PS-CAR)」,該揭露藉由引用將其全部明確地納入於本文。其他習知的微影術技術週知為被用以藉由PSCAR製程的使用而改善臨界尺度控制。其他PSCAR技術的使用之示例被揭露於:由deVilliers與Carcasi所發明之美國專利第10096528號,發明名稱「Critical Dimensions Control by Use of a Photo Agent」;以及由Carcasi與deVilliers所發明之美國公開專利申請案第2017/0329229號,發明名稱「Critical Dimension Control by Use of Photo-Sensitized Chemicals or Photo-Sensitized Chemically Amplified Resist」,藉由引用將該二揭露明確地將其全部納入本文。美國專利第10096528號與美國公開專利申請案第2017/0329229號的臨界尺度控制技術包含了先於泛光式曝光的一第一顯影製程,該泛光式曝光之後係一第二顯影製程。
除了上述的PSCAR技術之外,用於藉由二步驟光照製程產生酸的額外技術係週知的。舉例而言,公開PCT專利申請案第WO2018/074382號敘述了使用EUV能量射線與諸如UV之第二能量射線二者的一替代製程。如在該專利申請案之中所述,一光酸產生劑(PAG)係一顏色改變PAG。該顏色改變PAG以相似於在PSCAR技術之中的反應而改變顏色,然而該顏色改變PAG以UV泛光式曝光加以分解以產生額外的酸。更具體而言,該顏色改變PAG未利用光敏化反應(電子轉移或能量轉移),而是該顏色改變PAG直接吸收光子並分解。該顏色改變PAG可能係一鎓鹽類的弱酸(或水)產生劑。在如此的一製程之中,該顏色改變PAG未利用光敏化反應(電子轉移或能量轉移),而是該製程涉及了光子的直接吸收以及分解。
因此,如上所述,在先前技術之中有著習知的EMR吸收製程。舉例而言,若干EMR吸收製程可能利用諸如上述的PSCAR製程的一光敏化反應(電子轉移或能量轉移)。其他EMR吸收製程可能使用直接吸收光子並且分解,例如藉由PCT專利申請案第WO2018/074382號的顏色改變(吸收偏移)的直接分解。
期望提供利用EMR吸收製程的一改良圖案化技術。
已經發現到,由於光子散粒雜訊以及化學雜訊,因此在基板的微影處理期間存在著缺漏接觸孔的一隨機驅動問題。相似地,已經發現到,在待移除光阻的區域的底部處有著起渣(scummed)接觸孔以及圖案線路的殘渣橋接的問題,該問題肇因於吸收效應、交互作用效應以及隨機效應的結合。在此敘述的創新方法係用於減輕缺漏接觸孔、起渣接觸孔以及圖案線路缺陷的殘渣橋接。具體而言,EMR吸收製程係利用有著不同能量位準的複數曝光。舉例而言,若干EMR吸收製程可能利用一光敏化反應(電子轉移或能量轉移),諸如以上所述的PSCAR製程。其他EMR吸收製程可能使用直接吸收光子以及分解,諸如藉由顏色改變(吸收偏移)的直接分解。在此敘述的技術可能使用任一技術,並且可能進一步延伸至其他EMR吸收製程,在其中應用了不同能量位準的二步驟應用(舉例而言,但不限制於,一EUV能量位準以及一UV能量位準)。如在本文之中的一例示性實施例所述,提供EMR吸收分子以及EMR吸收分子前驅物。以下敘述的一特定示例使用了一PSCAR光阻製程,其有著作為EMR吸收分子以及EMR吸收分子前驅物的例示性實施例的光敏劑分子以及光敏劑前驅物。然而,將理解到,光敏劑分子及光敏劑前驅物的敘述僅係例示性的,並且其他EMR吸收分子係可能的。
在一實施例之中,利用一PSCAR光阻製程,其中一初始曝光及顯影製程係在一泛光式曝光之後加以實施。因此,舉例而言,可能藉由使用EMR的一第一波長將一接觸孔圖案加以曝光在一基板之上。在一實施例之中,EMR的第一波長可能係一EUV波長。接著可能實施一光阻顯影製程。下一步,EMR的一第二波長的一泛光式曝光可能以不同於EMR的第一波長的EMR的一波長加以實施。在一實施例之中,第二波長大於EMR的第一波長。舉例而言,第一波長可能係一EUV波長並且第二波長可能係一紫外光(UV)波長。接著,可能利用一第二顯影製程。EMR的第二波長的泛光式曝光之使用使得未在第一顯影之後適當形成的接觸孔在第二顯影之後加以形成。在利用的PSCAR光阻製程之中,該光阻可能係一輻射敏感性材料,其至少有著:(1) 一第一EMR波長活化閾值,其將在輻射敏感材料之中的酸的產生控制至一第一酸濃度,並且控制了在輻射敏感材料之中的光敏劑分子的產生;以及(2) 一第二EMR波長活化閾值,其可激發在輻射敏感材料之中的光敏劑分子,其導致該酸包含了大於第一酸濃度的一第二酸濃度,該第二EMR波長活化閾值高於該第一EMR波長活化閾值。在此製程之中,光敏劑係由在光阻之中的光敏劑前驅物加以產生。在打開的接觸孔之中產生的大部份光敏劑將在第一顯影製程期間被溶劑化/被沖走。然而,在缺漏/關閉接觸孔之中的光敏劑將維持並且可被用以藉由泛光式曝光的使用而將在缺漏/關閉接觸孔之中的酸產生擴大。接著,第二顯影製程可能將先前關閉的接觸孔打開。至於在第一顯影製程之中被開啟的接觸孔,因為在這些孔之中的大部份光敏劑已自第一顯影製程被溶劑化/被沖走,所以可在不劇烈影響臨界尺度以及已開啟接觸孔的輪廓的情況下,將缺漏接觸孔在第二顯影製程中加以開啟。
在一第二實施例之中,一光微影製程被利用,其中在光敏劑前驅物被納入位於光阻層之下的一層之中。在此製程之中,光敏劑的增強的形成將在光阻層以及下伏層的介面處發生。在該介面處的光敏劑的增強的形成對於處理接觸窗的基底處的殘渣或者將圖案線路橋接的殘渣係特別有益的。在第二實施例之中,在下伏層之中光敏劑前驅物的使用係提供用於在光阻/下伏層介面處的光敏劑的增強形成。泛光式曝光將在殘渣的區域之中產生更多酸,並接著該第二顯影步驟可能被用以移除在這些區域之中的光阻,從而將缺漏或者起渣的接觸窗或線路的橋接加以最小化。因此如在此所揭露,有著已嵌入光敏前驅物的一下伏層的一製程可能被利用。在一實施例之中,該下伏層係一底部抗反射塗層(BARC)。在一特定實施例之中,該下伏層係一矽抗反射塗層(SiARC)。被納入在光阻層之下的一層之中的光敏劑前驅物的使用可能被利用於一單顯影光微影製造流程之中。替代地,被納入在光阻層之下的一層之中的光敏劑前驅物的使用可能被利用於多重顯影光微影製程之中。進一步,嵌入光敏劑前驅物的使用可能獨立於一PSCAR光阻製程的納入而加以利用。替代地,嵌入光敏劑前驅物可能結合一PSCAR光阻製程加以利用。舉例而言,一多重曝光光微影製程可能係使用一PSCAR光阻的一增強的PSCAR製程,具有一初始圖案化曝光並接著一泛光式曝光。在一示例之中,可能藉由使用EMR的一第一波長將一接觸孔圖案加以曝光在一基板之上。在一實施例之中,EMR的第一波長可能係一EUV波長。接著,EMR的一第二波長的一泛光式曝光可能以不同於EMR的第一波長的EMR的一波長加以實施。在一實施例之中,第二波長大於EMR的第一波長。舉例而言,第一波長可能係一EUV波長並且該第二波長可能係一UV波長。EMR的第二波長的泛光式曝光的使用使得以其他方式將無法適當形成的接觸孔在沒有殘渣的情況下加以形成。在一實施例之中,例如在泛光式曝光之後,利用一顯影製程。在另一實施例之中,可能利用二個顯影製程:在第一曝光及泛光式曝光之間的一第一顯影步驟,以及接著的在泛光式曝光之後的一第二顯影步驟。在該二顯影製造流程之中,在第一顯影步驟以及泛光式曝光之後若干接觸孔可能有著在接觸窗的基底處的光阻殘渣,而第二顯影步驟可移除此殘渣。如果一PSCAR光阻製程被加以利用,則該光阻可能係一輻射敏感材料,其至少有著(1) 一第一EMR波長活化閾值,其將在輻射敏感材料之中的酸的產生控制至一第一酸濃度,並且控制了在輻射敏感材料之中的光敏劑分子的產生;以及(2) 一第二EMR波長活化閾值,其可激發在輻射敏感材料之中的光敏劑分子,其導致該酸包含了大於第一酸濃度的一第二酸濃度,該第二EMR波長活化閾值係高於該第一EMR波長活化閾值。
在一實施例之中,敘述了用於在一基板上的一輻射敏感材料之中形成接觸孔的一種方法。該方法可能包含在該基板之上提供一下伏層並且在該下伏層上方提供輻射敏感材料。該輻射敏感材料可能至少具有(1)一第一EMR波長活化閾值,其將在該輻射敏感材料之中的酸的產生控制至一第一酸濃度,並且控制在該輻射敏感材料之中的EMR吸收分子的產生,以及(2)一第二EMR波長活化閾值,其可激發在該輻射敏感材料之中的EMR吸收分子,其導致酸包含了大於第一酸濃度的一第二酸濃度,該第二EMR波長活化閾值比第一EMR波長活化閾值來得高。該方法進一步包含將EMR的一第一波長經由一圖案化光罩曝光至該輻射敏感材料之上以在該輻射敏感材料之內產生EMR吸收分子,EMR的該第一波長包含等於或大於該第一EMR波長活化閾值並且小於該第二EMR波長活化閾值的一波長。該方法亦包含實施該輻射敏感材料的一第一顯影製程以至少部份相對於該圖案化光罩在該輻射敏材料之中形成複數的接觸孔,該圖案光罩的一圖案的至少一部份在第一顯影製程之後不完整地形成,使得在該輻射敏感材料之中存在不完整接觸孔區域。該方法進一步包含:在實施該第一顯影製程之後,將EMR的一第二波長泛光式曝光至該輻射敏感材料,EMR的該第二波長等於或大於該第二EMR波長活化閾值。該方法亦包含實施該輻射敏感材料的一第二顯影製程,該第二顯影製程將不完整接觸孔區域轉化為接觸孔。
以上敘述的方法可能進一步包含將在該第一顯影製程之後並且在該泛光式曝光之前形成的一隙填塗層加以利用,以至少部份地填充由該第一顯影製程形成在該基板之上的空隙。在該方法的一實施例之中,輻射敏感材料可能包含了EMR吸收分子前驅物,其包含以下者:苯乙酮、聯伸三苯、二苯基酮、芴酮、蒽醌、菲、或其中的衍生物及組合。在該方法的若干實施例之中,在泛光式曝光之前,該輻射敏感材料有著EMR吸收分子,其中一EMR吸收分子濃度分佈自該輻射敏感材料的頂部至底部而變化。在一實施例之中,一EMR吸收分子濃度在輻射敏感材料的頂部處高於在該輻射敏感材料的底部處。在若干實施例之中,EMR吸收分子前驅物被配置以產生該EMR吸收分子濃度分佈。在其他實施例之中,一上塗層被利用以藉由化學親和力產生EMR吸收分子濃度分佈。
在另一實施例之中,敘述了在一基板之上的一輻射敏感材料之中形成一圖案的一方法。該方法可能包含提供輻射敏感材料以及在該基板之上提供一下伏層,該下伏層在該輻射敏感材料之下,該下伏層有著EMR吸收分子前驅物。該方法可能進一步包含經由一圖案化光罩將EMR的一第一波長曝光至輻射敏感材料之上以產生EMR吸收分子,該EMR吸收分子由下伏層之中的EMR吸收分子前驅物所形成。該方法進一步包含將EMR的一第二波長泛光式曝光至輻射敏感材料之上,該泛光式曝光將至少若干的該等EMR吸收分子轉化為酸。根據該方法,形成自在該下伏層之中吸收的EMR的前驅物的該EMR吸收分子藉由在該輻射敏感材料之中產生增強的一酸位準而協助在該輻射敏感材料之中的圖案化光罩的圖案的產生。
在以上所述方法的一實施例之中,該圖案係一接觸孔圖案。在以上所述方法的另一實施例之中,該輻射敏感材料亦有著EMR吸收分子前驅物。在一實施例之中,被嵌入該下伏層之中的EMR吸收分子前驅物與在輻射敏感材料之中的EMR吸收分子前驅物有著相同的成份。在一替代實施例之中,被嵌入在該下伏層之中的EMR吸收分子前驅物與在輻射敏感材料之中的EMR吸收分子前驅物有著不同的成份。在若干實施例之中,該方法進一步包含:在藉由圖案化光罩將EMR的第一波長加以曝光之後但在泛光式曝光之前實施一第一顯影製程,並且在泛光式曝光之後實施一第二顯影製程。若干實施例進一步包含將在該第一顯影製程之後並且在該泛光式曝光之前形成的一隙填塗層加以利用,以至少部份地填充由該第一顯影製程形成在該基板之上的空隙。在另一實施例之中,該等EMR吸收分子係光敏劑分子並且該等EMR吸收分子前驅物係光敏劑前驅物。
又在另一實施例之中,敘述了用以在一基板之上的一輻射敏感材料之中形成一接觸孔圖案的一方法。該方法可能包含提供該輻射敏感材料,該輻射敏感材料有著至少(1) 一第一EMR波長活化閾值,其將在該輻射敏感材料之中的酸的產生控制為一第一酸濃度,並且控制在輻射敏感材料之中的第一EMR吸收分子的產生;以及(2) 一第二EMR波長活化閾值,其可激發在輻射敏感材料之中的第一EMR吸收分子,導致該酸包含了比第一酸濃度來得大的一第二酸濃度,該第二EMR波長活化閾值比該第一EMR波長活化閾值來得高。該方法進一步包含在該基板之上提供一下伏層,該下伏層在輻射敏感材料之下,該下伏層有著EMR吸收分子前驅物。該方法亦包含經由有著接觸孔圖案的一圖案化光罩將EMR的一第一波長曝光至輻射敏感材料之上以在輻射敏感材料之內產生第一EMR吸收分子以及產生第二EMR吸收分子,該第二EMR吸收分子形成自在該下伏層之中的EMR吸收分子前驅物,EMR的該第一波長包含等於或大於該第一EMR波長活化閾值並且小於該第二EMR波長活化閾值的一波長。該方法進一步包含實施該輻射敏感材料的一第一顯影製程,以至少部份與該圖案化光罩的接觸孔圖案相對應而在輻射敏感材料之中形成一第一圖案,在該第一顯影製程之後至少若干的該圖案化光罩的接觸孔圖案被不完整地形成。該方法接著包含了在實施該第一顯影製程之後,將EMR的一第二波長泛光式曝光至該輻射敏感材料,EMR的該第二波長等於或大於該第二EMR波長活化閾值。該方法亦包含實施該輻射敏感材料的一第二顯影製程,該第二顯影製程將在該輻射敏感材料之中的圖案化光罩的接觸孔圖案的形成加以完成。根據此方法,由下伏層之中的EMR吸收分子前驅物所形成的該第二EMR吸收分子協助在該輻射敏感材料之中的該圖案化光罩的該接觸孔圖案的形成。在一替代實施例之中,第一EMR吸收分子以及第二EMR吸收分子有著相同的成份。另一替代實施例包含將在該第一顯影製程之後並且在該泛光式曝光之前形成的一隙填塗層加以利用,以至少部份地填充由該第一顯影製程形成在該基板之上的空隙。在該方法的另一實施例之中,該第一EMR吸收分子係第一光敏劑分子,該第二EMR吸收分子係第二光敏劑分子,並且EMR吸收分子前驅物係光敏劑前驅物。
在此敘述的係當圖案化基板時避免缺漏接觸孔、起渣接觸孔或橋接的創新方法。具體而言,EMR吸收製程係加以利用,有著不同能量位準的複數曝光。舉例而言,若干EMR吸收製程可能利用一光敏化反應(電子轉移或能量轉移),諸如以上所述的PSCAR製程。其他EMR吸收製程可能使用直接吸收的光子以及分解,如藉由顏色改變(吸收偏移)的直接分解。在此敘述的技術可能使用任一技術,並且可能進一步延伸至其他EMR吸收製程,在其中應用了不同能量位準的二步驟應用(舉例而言,但不限制於,一EUV能量位準以及一UV能量位準)。如在本文之中的一例示性實施例所述,提供EMR吸收分子以及EMR吸收分子前驅物。以下敘述的一特定示例使用了一PSCAR光阻製程,其有著作為EMR吸收分子以及EMR吸收分子前驅物的例示性實施例的光敏劑分子以及光敏劑前驅物。然而,將理解到,光敏劑分子及光敏劑前驅物的敘述僅係例示性的,並且其他EMR吸收分子係可能的。進一步,將理解到雖然某些實施例係針對有著EUV及UV波長的EMR在此加以敘述,但將理解到當EMR在此使用時包含了種類繁多的光譜的輻射,例如包含UV光譜(VUV、EUV、UV等等)、可見光譜、以及紅外光譜。進一步,EMR不僅限於那些光譜,而可能包含EMR能量的其他波長。因此,如在此使用,EMR可能包含種類繁多的波長並且不僅限於在本文所述的例示性實施例之中利用的那些波長或光譜。
在一實施例之中,一PSCAR光阻製程被加以利用,其中一初始曝光以及顯影製程係在一泛光式曝光之前加以實施。因此,舉例而言,一接觸孔圖案可能藉由使用EMR的一第一波長加以曝光在一基板之上。在一實施例之中,EMR的第一波長可能係一EUV波長。接著,一光阻顯影製程可能被實施。接著,EMR的一第二波長的一泛光式曝光可能以不同於EMR的第一波長之EMR的一波長加以實施。在一實施例之中,第二波長大於EMR的第一波長。舉例而言,第一波長可能係一EUV波長,而第二波長可能係一UV波長。接著,一第二顯影製程可能被利用。EMR的第二波長的泛光式曝光的使用使得在第一顯影之後未適當形成的接觸孔將在第二顯影之後加以形成。在被利用的PSCAR光阻製程之中,該光阻可能係一輻射敏感性材料,其至少有著(1) 一第一EMR波長活化閾值,其將在輻射敏感材料之中的酸的產生控制至一第一酸濃度,並且控制了在輻射敏感材料之中的光敏劑分子的產生;以及(2) 一第二EMR波長活化閾值,其可激發在輻射敏感材料之中的光敏劑分子,導致該酸包含了大於第一酸濃度的一第二酸濃度,該第二EMR波長活化閾值高於該第一EMR波長活化閾值。在此製程之中,光敏劑係由在光阻之中的光敏劑前驅物加以產生。在打開的接觸孔之中產生的大部份光敏劑將在第一顯影製程期間被溶劑化/被沖走。然而,在缺漏/關閉的接觸孔之中的光敏劑將留存並且可被用以藉由泛光式曝光的使用而將在缺漏/關閉的接觸孔之中的酸產生擴大。接著,第二顯影製程可能將先前關閉的接觸孔打開。至於在第一顯影製程之中已開啟的接觸孔,因為在這些孔之中的大部份光敏劑已由第一顯影製程加以溶劑化/被沖走,所以缺漏的接觸孔可在不劇烈影響臨界尺度以及已開啟接觸孔的輪廓的情況下在第二顯影製程中加以開啟。
在一第二實施例之中,一光微影製程係加以利用,其中,光敏劑前驅物被納入位於光阻層之下的一層之中。在此製程之中,光敏劑的增強的形成將在光阻層以及下伏層的介面處發生。在該介面處的光敏劑的增強的形成對於處理接觸窗的基底處的起渣或者是將圖案線路橋接的起渣係特別有益的。在第二實施例之中,在下伏層之中光敏劑前驅物的使用提供在光阻/下伏層介面處的光敏劑的增強的形成。泛光式曝光將在起渣的區域之中產生更多酸,並接著該第二顯影步驟可能被用以移除在這些區域之中的光阻,從而將缺漏或者起渣接觸窗或線路的橋接最小化。因此如在此所揭露,有著具有嵌入光敏前驅物的一下伏層的一製程可能被利用。在一實施例之中,該下伏層係一底部抗反射塗(BARC)層。在一特定實施例之中,該下伏層係一矽抗反射塗層(SiARC)。被納入在光阻層之下的一層之中的光敏劑前驅物的使用可能被利用於一單顯影光微影製造流程之中。替代地,被納入在光阻層之下的一層之中的光敏劑前驅物的使用可能被利用於多重顯影光微影製程之中。進一步,嵌入光敏劑前驅物的使用可能獨立於一PSCAR光阻製程的納入而加以利用。替代地,嵌入光敏劑前驅物可能結合一PSCAR光阻製程加以利用。舉例而言,一多重曝光光微影製程可能係使用一PSCAR光阻的一增強的PSCAR製程,有著一初始圖案化曝光並接著有一泛光式曝光。在一示例之中,可能藉由使用一第一波長的EMR將一接觸孔圖案加以曝光在一基板之上。在一實施例之中,EMR的第一波長可能係一EUV波長。接著,一第二波長的EMR的一泛光式曝光可能以不同於EMR的第一波長的EMR的一波長加以實施。在一實施例之中,第二波長大於EMR的第一波長。舉例而言,第一波長可能係一EUV波長並且該第二波長可能係一UV波長。EMR的第二波長的泛光式曝光的使用使得原本將未適當形成的接觸孔能夠在沒有殘渣的情況下加以形成。在一實施例之中,一顯影製程係加以利用,例如在泛光式曝光之後。在另一實施例之中,可能利用二顯影製程:在第一曝光及泛光式曝光之間的一第一顯影步驟以及接在泛光式曝光之後的一第二顯影步驟。在該二顯影製造流程之中,在第一顯影步驟之後若干接觸孔在該接觸窗的基底處可能有著光阻殘渣,且泛光式曝光及第二顯影步驟可移除此殘渣。如果利用一PSCAR光阻製程,則該光阻可能係一輻射敏感材料,其至少有著(1) 一第一EMR波長活化閾值,其將在輻射敏感材料之中的酸的產生控制至一第一酸濃度,並且控制了在輻射敏感材料之中的光敏劑分子的產生;以及(2) 一第二EMR波長活化閾值,其可激發在輻射敏感材料之中的光敏劑分子,導致該酸包含了大於第一酸濃度的一第二酸濃度,該第二EMR波長活化閾值係高於該第一EMR波長活化閾值。
將理解到,上文敘述的第一實施例以及第二實施例可能分離地加以使用。替代地,第一實施例以及第二實施例二者可能組合地加以利用。
如上文敘述,PSCAR製程的光阻可能有著至少二個波長活化閾值。一第一波長活化閾值可能在光阻之中形成酸,並且亦將光敏前驅物轉化為光敏劑分子。在一實施例之中,第一波長活化閾值被設定為對EMR的EUV或較低波長有反應。通常而言,控制第一波長活化閾值的EMR的波長係在EMR的一圖案化曝光期間加以提供。一第二波長活化閾值控制了光敏分子的激發,其導致在光阻之中的額外的酸的形成。在一實施例之中,第二波長活化閾值被設定為高於第一波長活化閾值,例如UV或EMR的較高波長。通常而言,控制第二波長活化閾值的EMR的波長係在EMR的一非圖案化泛光式曝光期間加以提供。
圖1繪示了有著缺漏接觸孔(例如在一第一顯影製程之後)的一圖案化層100的頂視圖。更具體而言,光阻105有著接觸孔110以及一缺漏的接觸孔115。圖2繪示了在一基板215上方的缺漏接觸孔115的一缺漏接觸孔橫剖面200。圖2的橫剖面係在如上所述的一初始曝光以及第一顯影步驟之後加以顯示。如圖所示,在缺漏接觸孔115之內,酸205以及光敏劑210可能存在。接著繼續以一泛光式曝光加以處理。圖3繪示了缺漏接觸孔115的一泛光式曝光後橫剖面300。如圖3所示,泛光式曝光後,與圖2顯示者(圖2係在泛光式曝光製程之前)相比,發生酸的增加形成。接著可實施一第二顯影製程。圖4繪示了在第二顯影製程之後的先前缺漏的接觸孔115的一橫剖面。如在圖4的第二顯影後橫剖面400之中所示,一接觸孔410現在被產生在先前缺漏接觸孔115的位置之中。一第二顯影後頂視圖500繪示了光阻105的一頂視圖以及原本形成的(由第一顯影製程形成)接觸孔110以及由第二顯影製程形成的接觸孔410。
因此,如在此所述,在一第一顯影步驟之後加以利用一泛光式曝光的一製程被提供以打開在初始EUV曝光以及顯影步驟之後缺漏的接觸孔。在此實施例之中,自靠近於由EUV曝光所產生的酸之光敏劑前驅物所產生的光敏劑係在初始圖案化EUV曝光之中被產生在該缺漏接觸孔之中。在關閉的接觸孔之中,所產生的光敏劑可接著被用以擴大酸產生以及去保護,以在一泛光式曝光以及顯影步驟之後將其打開。由於被產生於其他接觸孔(那些由第一曝光以及顯影步驟充分形成者)之中的大部份的光敏劑已在第一顯影步驟期間被溶劑化/被沖走,從而此製程允許在不劇烈影響已開啟接觸孔的臨界尺度與輪廓的情況下將缺漏接觸孔打開。
如上所述,提供了一第一圖案化曝光、一第一顯影步驟、一非圖案化泛光式曝光以及一第二顯影步驟的一製造流程。將理解到,此敘述不意味著排除了在製造流程內其他習知光微影步驟的納入。舉例而言,在實施曝光之後但在顯影之前將光阻加以烘烤的曝光後烘烤(PEB)製程的使用可能被利用。舉例而言,一曝光後烘烤可能在第一圖案化曝光之後以及/或者在第二非圖案化泛光式曝光之後加以實施。除此之外,顯影後檢查(ADI)步驟可能在一或二顯影步驟之後加以實施。因為在此提供的敘述不旨在限制為排除該等步驟之納入,其他額外的已知光微影步驟可能亦被實施。
由於可能的漸逝效應(evanescent effect),因此可能希望光阻材料對泛光波長係透明的(而光敏劑材料則吸收它),以促進EMR傳播至所形成的狹隘空間或孔的底部。選擇性地,在第一顯影步驟之後,被利用以填充形成在光阻之中的圖案化部分之中空隙的一塗層可能在泛光式曝光步驟之前加以利用。因為可能關聯於泛光式曝光而出現的傳播問題,該隙填塗層可能係有優勢的。更特別是,當線寬減少,非常細的孔被產生在光阻之內。由於泛光式曝光的較高的波長,該泛光式曝光EMR可能未充分傳播經過這些細的孔。如此的傳播效應可能在光阻的較低部分處特別重要。為了處理細孔的效應,隙填塗層可能在泛光式曝光之前被提供於光阻上方,以填充在第一顯影步驟之後形成的空間(空隙)。廣範圍的材料可能被利用作為隙填塗層。在若干實施例之中,該隙填材料可能包含由以下所組成群組的至少一聚合化合物:聚乙烯醇、聚丙烯醯胺、聚(鄰苯二甲醛)(poly(phthalaldehyde))、聚丁二醛、聚烯丙醇、聚乙醛酸、聚甲基乙醛酸、聚乙基乙醛酸、聚乙醛酸甲酯、聚乙醛酸乙酯、以及聚天冬胺酸。更進一步,隙填處理液體可包含聚甲基乙醛酸及/或聚乙基乙醛酸的至少一聚合物鹽。例如其銨以及鈉的聚合物鹽。如此的示例更詳細地被敘述在美國專利第9454081號,發明名稱「Line Pattern Collapse Mitigation Through Gap-Fill Material Application」,由Somervell等人所著,其公開內容明確地全文併入本文。在又另一實施例之中,一聚合介電材料,例如氫矽鹽酸類(hydrogen silsesquioxane)(HSQ),可能被利用作為隙填塗層。
被利用於以上所述製程的光阻可能係種類繁多的PSCAR電阻的任何一種。在一實施例之中,光阻可能包含了一光敏劑產生化合物,其包含:苯乙酮、聯伸三苯、二苯基酮、芴酮、蒽醌、菲、或其中的衍生物及組合。進一步,光阻可能包含了含有以下其中至少一者的一光酸產生化合物:三苯基鋶三氟甲磺酸鹽、三苯基鋶全氟丁磺酸鹽、三苯基鋶全氟辛基磺酸鹽、三芳基鋶三氟甲磺酸鹽、三芳基鋶全氟丁磺酸鹽、三芳基鋶全氟辛基磺酸鹽、三苯基鋶鹽、三芳基鋶鹽、三芳基鋶六氟銻酸鹽、N-羥基萘二甲醯亞胺三氟甲磺酸鹽、1,1-雙[對氯苯基]-2,2,2-三氯乙烷(DDT)、1,1-雙[對甲氧苯基]-2,2,2-三氯乙烷、1,2,5,6,9,10-六溴環十二烷、1,10-二溴癸烷、1,1-雙[對氯苯基] 2,2-二氯乙烷、4,4-二氯-2-(三氯甲基)二苯甲醇、1,1-雙(氯苯基) 2-2,2-三氯乙醇、六氯二甲基碸、2-氯-6-(三氯甲基) 吡啶、或者其衍生物以及組合。然而,將理解到,如此的示例僅係例示性的並且可能利用其他的光阻。
圖6繪示另一個微影問題,在一圖案的基底處的光阻殘渣。在圖6的示例之中,一橫剖面600繪示了光阻殘渣。如圖6之中所示,一光阻105被提供在一下伏層615之上,該下伏層被提供在一基板215的上方。如圖6之中所示,一接觸孔605未充分顯影,而是在接觸孔605的基底之中有著光阻殘渣610。在此所述技術的一第二實施例提供了一增強微影製程以解決諸如光阻殘渣610的問題。更具體地,光敏劑前驅物可能被加到下伏層615。在若干實施例之中,下伏層615可能係一BARC層,並且在特定實施例之中,係一SiARC層。然而,將理解到下伏層615可能以其中可加以放置光敏劑前驅物的任何類型的材料加以形成。
圖7A、7B及7C繪示了將一光敏劑前驅物嵌入在光阻105之下的下伏層615之中的影響。如圖7A之中所示,光阻結構700有著在基板215上方的光阻105與下伏層615。如所示,下伏層615被嵌入有光敏劑前驅物702。圖7B繪示了在一圖案化曝光之後的光阻結構700,例如一圖案化EUV曝光。如在圖7B之中所示,酸205可能由於EUV曝光而形成在接觸孔區域705之中。進一步,如在圖7B之中所示,圖7A的光敏劑前驅物702可能因為EUV曝光被轉化為在接觸孔區域705下方的光敏劑710。接著,一泛光式曝光可能被施加至光阻結構700。如圖7C所示,泛光式曝光可能導致若干或全部的光敏劑710被轉化為酸205,從而提供酸205的一較高濃度。接著,如圖7D之中所示,一顯影製程可能被利用以自接觸孔區域705移除光阻。由嵌入下伏層615的光敏劑前驅物702所導致的較高濃度的酸205的形成(特別是在接觸孔區域705的底部處),有助於限制在圖案化結構的底部處(例如下伏層615與光阻105交界處)的光阻殘渣。前驅物可能以各種的方式嵌入下伏層之中。例如,前驅物可能係與被旋塗在基板之上以形成下伏層的材料一起在溶液之中。替代地,前驅物可能被化學鍵合至下伏層基質。亦可利用其他方法。
如圖7A-7D所示,光敏劑前驅物被嵌入下伏層615之中以在下伏層與光阻的介面處產生光敏劑。雖然未顯示,但將被認識到,光敏劑亦可能存在於光阻各處,例如以在以上其他實施例之中所描述的技術加以產生。因此,為了產生光敏劑而在下伏層之中的嵌入光敏劑前驅物的使用可能亦被利用,在一優選實施例之中,使用了包含如上所述之光敏劑產生劑或前驅物的一PSCAR光阻。然而,嵌入光敏劑前驅物可能獨立於包含光敏劑產生劑/前驅物的一PSCAR光阻加以利用。在光敏劑前驅物被嵌入在一PSCAR光阻下方的一下伏層之中的實施例之中,產生自PSCAR光阻的光敏劑分子以及產生自嵌入在下伏層之中的光敏前驅物的光敏劑分子可能係相同類型的光敏劑分子。替代地,該等光敏劑分子可能係不同類型的分子。
如以上在圖7A-7D之中所述,嵌入於在一光阻層之下的層之中的光敏劑前驅物的使用係關聯於利用一單顯影步驟的一製程加以敘述。嵌入於在一光阻層之下的層之中的光敏劑前驅物的使用可能亦被利用於利用多顯影步驟(例如以上關於圖2-4所敘述)的一製程之中。因此,在如此的一實施例之中,在一光阻層之下的一層可能被嵌入有光敏劑前驅物。接下來可能接著一圖案化曝光製程以及一第一顯影步驟。接著,一泛光式曝光可能被提供,以增加在可能維持靠近於與下伏層的介面的任何殘渣光阻區域之中的酸的存在。一第二顯影步驟可能接著在泛光式曝光步驟之後發生以完成圖案化。因此,將認識到,在一光阻層之下的一層之中的嵌入光敏劑前驅物之使用可能有優勢地與利用一顯影步驟的一製程或者與利用多顯影步驟的一製程加以利用。
因此如上所述,一光敏劑前驅物被導入與光阻接觸的下伏材料之中(例如一SiARC底層)。此製程導致了光敏劑被產生在SiARC/光阻介面處,其可以接著被用以在SiARC/光阻介面處產生/擴大額外的酸,目的是對部份顯影接觸孔或微橋接線路進行去殘渣/開啟。在SiARC基質之中的光敏劑前驅物可能藉著去除來自EUV曝光的二次電子(其係EUV曝光的產物)或藉著與在SiARC/光阻介面處的光阻之中的酸的室溫電子轉移反應加以轉化為光敏劑,以保存EUV圖案化位置的特定資訊。類似於以上關於其他實施例所述,在顯影觀察以及隙填塗層的使用之後,利用嵌入有光敏劑前驅物的一下伏層之實施例,可能選擇性地包含額外的光微影步驟,例如曝光後烘烤。
在又另一實施例之中,為了將缺漏或部份開啟接觸孔加以開啟並且防止殘渣/線路的橋接,在光阻內的光敏劑濃度分佈可能以z軸相依濃度由光阻的頂部至光阻的底部加以提供。進一步而言,一z軸相依光敏劑濃度被提供以增加在光阻的上區域之中的光敏劑濃度。藉由提供如此的一光敏劑分佈,缺漏的接觸窗可能被最小化。在一示例之中,濃度分佈可能藉由在光阻膜的頂部數奈米之中提供30-70%的較高的物種濃度而加以變化。因此,PSCAR酸增幅將藉著操控由光阻的頂部至光阻的底部的光敏劑濃度分佈加以操作。用於形成z軸光敏劑濃度的各種不同技術可能被利用。在一實施例之中,光敏前驅物可能被改質以達成z軸濃度改變。在另一實施例之中,上塗層可能被利用以達成z軸濃度改變。
因此,在一z軸濃度相依示例之中,該光敏劑前驅物可能藉由將氟化醇基礎的添加物連繫在光敏劑前驅物之上而加以改變。藉由實施如此的連繫(tethering),光敏劑的一z相依分離可能被達成。舉例而言,氟化醇有著對空氣介面的強親和力,並且將傾向於驅動材料分離作用。使用了改變的一光敏劑前驅物的一例示性製造流程將包含一圖案化曝光(例如一EUV曝光),接著一曝光後烘烤,接著一第一顯影步驟,接著一泛光式曝光(例如一UV曝光),接著一第二曝光後烘烤,以及最後一第二顯影步驟。如以上所提及,將認識到,非所有的如此之步驟係必要的,並且其他的步驟可能被加入,全部如將由本技藝中通常知識者理解到那樣。舉例而言,一個以上曝光後烘烤步驟可能被利用,並且一隙填塗層可能在第一顯影步驟之後被加入。
在另一z軸濃度相依的示例之中,一上塗層可能被放置在電阻的頂部之上,其中該上塗層驅動了光敏劑分子的表面親和力。這對光阻的頂部提供了光敏劑分子的z相依分離,並且因此有助於開啟缺漏的接觸窗。舉例而言,上塗層材料可能係包含一高度氟化醇鍵合聚合物鍊的一材料。如此的一上塗層材料的高極性可驅動光阻物種的分離。使用一上塗層的一例示性製造流程可能包含一圖案化曝光(例如一EUV曝光)、接著一上塗層的形成、接著一曝光後烘烤、接著一第一顯影步驟、接著一泛光式曝光(例如一UV曝光)、接著一第二曝光後烘烤、以及最後一第二顯影步驟。如以上所提及,將認識到,非所有的如此之步驟係必要的,並且其他的步驟可能被加入,全如將由本技藝中通常知識者理解到那樣。舉例而言,一個以上曝光後烘烤步驟可能被利用,並且一隙填塗層可能在第一顯影步驟之後被加入。使用了一上塗層的一第二例示性製造流程可能包含一圖案化曝光(例如一EUV曝光)、接著一曝光後烘烤、接著一第一顯影步驟、接著一上塗層的形成、接著一泛光式曝光(例如一UV曝光)、接著一第二曝光後烘烤、以及最後一第二顯影步驟。如以上所提及,將認識到,非所有的如此之步驟係必要的,並且其他的步驟可能被加入,全如將由本技藝中通常知識者理解到那樣。舉例而言,一個以上的曝光後烘烤步驟可能被利用,並且一隙填塗層可能在第一顯影步驟之後被加入。
將理解到所有在此顯示及敘述的層可能係一基板的部份,其可能係期望使用圖案化特徵部的基板。舉例而言,在一實施例之中,該基板可能係有著一個以上半導體處理層形成於其上的一半導體基板。在一實施例之中,該基板可能係經受產生各種結構以及層的多個半導體處理步驟的一半導體晶圓,上述結構以及層全部已知於在基板處理領域之中並且可能被認為係該基板的部份。在一實施例之中,在此揭露的概念可能對處理步驟的前段製程(FEOL)處的使用而言係特別有優勢的。在其他實施例之中,在此揭露的概念可能被利用於處理的後段製程(BEOL)處。又更進一步,在此揭露的概念可能被用於製程步驟的中段製程(MOL)之中。
圖8-10繪示了用於在此所述處理技術的使用的例示性方法。將理解到,圖8-10的實施例僅係例示性的,並且額外的方法可能利用在此所述之技術。進一步,額外的處理步驟可能被加入在圖8-10顯示的方法之中,因為敘述的步驟不旨在為排他的。此外,步驟的順序不被限制於在圖之中顯示的順序,因為不同的順序可能發生並且/或者各種不同的步驟可能組合或同時地加以實施。
圖8繪示了用於在一基板之上的一輻射敏感材料之中形成接觸孔的一方法。該方法包含了在該基板之上提供一下伏層的一第一步驟805。一步驟810包含了在下伏層的上方提供輻射敏感材料,該輻射敏感材料至少具有(1)一第一EMR波長活化閾值,其控制在該輻射敏感材料之中的酸的產生至一第一酸濃度,並且控制在輻射敏感材料之中的EMR吸收分子的產生,以及(2)一第二EMR波長活化閾值,其可激發在該輻射敏感材料之中的EMR吸收分子,導致酸包含了大於第一酸濃度的一第二酸濃度,該第二EMR波長活化閾值比第一EMR波長活化閾值來得高。該方法進一步包含步驟815:將一第一波長的EMR經由一圖案化光罩曝光至輻射敏感材料之上以產生在輻射敏感材料之內的EMR吸收分子,該第一波長的EMR包含等於或大於該第一EMR波長活化閾值並且小於該第二EMR波長活化閾值的一波長。該方法亦包含步驟820:執行輻射敏感材料的一第一顯影製程,以至少部份對應於該圖案化光罩在輻射敏感材料之中形成複數的接觸孔,在第一顯影製程之後圖案光罩的一圖案的至少部分係不完整地被形成,使得不完整接觸孔區域存在於輻射敏感材料之中。該方法進一步包含步驟825:在實施該第一顯影製程之後,將一第二波長的EMR泛光式曝光至該輻射敏感材料,該第二波長的EMR等於或大於該第二EMR波長活化閾值。該方法亦包含步驟830:實施輻射敏感材料的一第二顯影製程,該第二顯影製程將不完整的接觸孔區域轉化為接觸孔。
在另一實施例之中,圖9繪示了用於在一基板之上的一輻射敏感材料之中形成一圖案的一方法。該方法包含了:步驟905,提供輻射敏感材料,以及;步驟910,在該基板之上提供一下伏層,該下伏層在輻射敏感材料之下,該下伏層有著EMR吸收分子前驅物。該方法進一步包含步驟915:將一第一波長的EMR經由一圖案化光罩曝光至輻射敏感材料之上,以產生EMR吸收分子,該EMR吸收分子由下伏層之中的EMR吸收分子前驅物所形成。該方法亦包含步驟920:將一第二波長的EMR泛光式曝光至輻射敏感材料之上,該泛光式曝光將至少若干的該等EMR吸收分子轉化為酸,其中由該下伏層之中的該等EMR吸收分子前驅物所形成的該等EMR吸收分子,藉由在該輻射敏感材料之中產生一增強酸位準而協助在該輻射敏感材料之中的該圖案光罩的該圖案的產生。
在又一實施例之中,圖10繪示了用於在一基板之上的一輻射敏感材料之中形成一接觸孔圖案的一方法。該方法包含步驟1005:提供輻射敏感材料,該輻射敏感材料至少有著(1) 一第一EMR波長活化閾值,其將在該輻射敏感材料之中的酸的產生控制為一第一酸濃度,並且控制在輻射敏感材料之中的第一EMR吸收分子的產生;以及(2) 一第二波長活化閾值,其可激發在輻射敏感材料之中的第一EMR吸收分子,導致該酸包含了比第一酸濃度來得大的一第二酸濃度,該第二EMR波長活化閾值比該第一EMR波長活化閾值來得高。該方法亦包含步驟1010:在該基板上提供一下伏層,該下伏層在輻射敏感材料之下,該下伏層有著EMR吸收分子前驅物。該方法進一步包含步驟1015:將一第一波長的EMR經由有著接觸孔圖案的一圖案化光罩曝光至輻射敏感材料之上以在輻射敏感材料之內產生第一EMR吸收分子,並且產生第二EMR吸收分子,該第二EMR吸收分子形成自下伏層之中的EMR吸收分子前驅物,EMR的該第一波長包含等於或大於該第一EMR波長活化閾值並且小於該第二EMR波長活化閾值的一波長。該方法進一步包含步驟1020:實施該輻射敏感材料的一第一顯影製程,以在輻射敏感材料之中至少部份與該圖案化光罩的接觸孔圖案相對應而形成一第一圖案,在該第一顯影製程之後至少若干的該圖案化光罩的接觸孔圖案被不完整地形成。該方法亦包含步驟1025:在實施該第一顯影製程之後,將一第二波長的EMR泛光式曝光至該輻射敏感材料,EMR的該第二波長等於或大於該第二EMR波長活化閾值。該方法進一步包含步驟1030:實施該輻射敏感材料的一第二顯影製程,該第二顯影製程完成了在該輻射敏感材料之中的該圖案化光罩的該接觸孔圖案之形成,其中形成自下伏層之中的EMR吸收分子前驅物之第二EMR吸收分子協助了在輻射敏感材料之中的圖案化光罩的接觸孔圖案的形成。
對閱讀本實施方法章節的本技藝之中通常技藝者而言,本發明的進一步修改及替代的實施例將係顯而易見的。相應地,此實施方法章節應被認為僅係說明性的,並且係以教導本技藝中通常技藝者實施本發明的方法為目的。應理解到,在此顯示以及敘述的本發明的形式以及方法應被認為係目前優選的實施例。相等的技術可能替代在此繪示及說明者,並且本發明的某些特徵可能獨立於其他特徵的使用而加以利用,在受益於本發明的實施方法章節之後,所有這些對於本技藝中通常技藝者將係顯而易見的。
100:圖案化層 105:光阻 110:接觸孔 115:缺漏的接觸孔 200:缺漏接觸孔橫剖面 205:酸 210:光敏劑 215:基板 300:泛光式曝光後橫剖面 400:第二顯影後橫剖面 410:接觸孔 500:第二顯影後頂視圖 600:橫剖面 605:接觸孔 610:光阻殘渣 615:下伏層 700:光阻結構 702:光敏劑前驅物 705:接觸孔區域 710:光敏劑
可能藉由參考結合附隨圖式之中所做之附隨敘述加以獲得本發明的一更完整理解以及其優勢,其中相似參考數字指示相似特徵。然而,應注意到,附隨圖式僅繪示揭露觀念的例示性實施例並且因此不應被認為係範圍的限制,因為揭露的觀念可允許其他等效的實施例。
圖1繪示有著一缺漏接觸孔的一接觸孔圖案的一頂視圖。
圖2繪示圖1的該缺漏接觸孔的一橫剖面。
圖3繪示在泛光式曝光之後的圖2的該橫剖面。
圖4繪示在第二顯影製程之後的圖3的該橫剖面。
圖5繪示在該第二顯影製程之後的圖1的該接觸孔圖案的一頂視圖。
圖6繪示有著光阻殘渣的一接觸孔的一橫剖面。
圖7A繪示在圖案化曝光之前並且有著光敏劑前驅物嵌入的一下伏層的一接觸區域的一橫剖面。
圖7B繪示在一圖案化曝光之後的圖7A的該接觸區域的一橫剖面。
圖7C繪示在一泛光式曝光之後的圖7B的該接觸區域的一橫剖面。
圖7D繪示在一顯影製程之後的圖7C的該接觸區域的一橫剖面。
圖8-10繪示用於利用在此揭露之製程技術的例示性方法。

Claims (23)

  1. 一種形成接觸孔的方法,用於在一基板之上的一輻射敏感材料之中形成接觸孔,該方法包含: 在該基板之上提供一下伏層; 在該下伏層的上方提供該輻射敏感材料,該輻射敏感材料至少具有:(1) 一第一電磁輻射(EMR)波長活化閾值,其將在該輻射敏感材料之中的酸的產生加以控制至一第一酸濃度,並且控制在該輻射敏感材料之中的EMR吸收分子的產生,以及(2)一第二EMR波長活化閾值,其可激發在該輻射敏感材料之中的EMR吸收分子,導致該酸包含了大於該第一酸濃度的一第二酸濃度,該第二EMR波長活化閾值比該第一EMR波長活化閾值來得高; 將一第一波長的EMR經由一圖案化光罩曝光至該輻射敏感材料之上以在該輻射敏感材料之內產生該EMR吸收分子,該第一波長的EMR包含等於或大於該第一EMR波長活化閾值並且小於該第二EMR波長活化閾值的一波長; 實施該輻射敏感材料的一第一顯影製程,以至少部份相對應於該圖案化光罩在該輻射敏感材料之中形成一複數的接觸孔,在該第一顯影製程之後該圖案光罩的一圖案的至少部分係不完整地形成,使得不完整接觸孔區域存在於該輻射敏感材料之中; 在實施該第一顯影製程之後,將一第二波長的EMR泛光式曝光至該輻射敏感材料,該第二波長的EMR等於或大於該第二EMR波長活化閾值;以及 實施該輻射敏感材料的一第二顯影製程,該第二顯影製程將該不完整接觸孔區域轉化為接觸孔。
  2. 如請求項1之形成接觸孔的方法,進一步包含將在該第一顯影製程之後並且在該泛光式曝光之前形成的一隙填塗層加以利用,以至少部份地填充由該第一顯影製程形成在該基板之上的空隙。
  3. 如請求項2之形成接觸孔的方法,其中該輻射敏感材料包含EMR吸收分子前驅物,其包含以下者:苯乙酮、聯伸三苯、二苯基酮、芴酮、蒽醌、菲、氧葱酮、氧硫葱酮(thioxanthone)、其他酮類化合物、或其中的衍生物及組合。
  4. 如請求項2之形成接觸孔的方法,其中在該泛光式曝光之前,該輻射敏感材料有著該EMR吸收分子,其中一EMR吸收分子濃度分佈由該輻射敏感材料的頂部至底部而變化。
  5. 如請求項4之形成接觸孔的方法,其中一EMR吸收分子濃度在該輻射敏感材料的一頂部處比在該輻射敏感材料的一底部處來得高。
  6. 如請求項4之形成接觸孔的方法,EMR吸收分子前驅物被配置以產生該EMR吸收分子濃度分佈。
  7. 如請求項4之形成接觸孔的方法,其中一上塗層被利用以產生該EMR吸收分子濃度分佈。
  8. 如請求項1之形成接觸孔的方法,其中在該泛光式曝光之前,該輻射敏感材料有著該EMR吸收分子,其中一EMR吸收分子濃度分佈由該輻射敏感材料的頂部至底部而變化。
  9. 一種形成一圖案的方法,用於在一基板上的一輻射敏感材料之中形成圖案,該方法包含: 提供該輻射敏感材料; 提供一下伏層在該基板之上,該下伏層在該輻射敏感材料之下,該下伏層有著電磁輻射(EMR)吸收分子的前驅物; 將一第一波長的EMR經由一圖案化光罩曝光至該輻射敏感材料之上,以產生EMR吸收分子,該EMR吸收分子由該下伏層之中的該EMR吸收分子前驅物所形成;以及 將一第二波長的EMR泛光式曝光至該輻射敏感材料之上,該泛光式曝光將至少若干的該EMR吸收分子轉化為酸; 其中由該下伏層之中的該EMR吸收分子前驅物所形成的該EMR吸收分子,藉由在該輻射敏感材料之中產生一增強酸位準而協助在該輻射敏感材料之中的該圖案光罩的該圖案的產生。
  10. 如請求項9之形成一圖案的方法,其中該圖案係一接觸孔圖案。
  11. 如請求項第9項之形成一圖案的方法,其中該輻射敏感材料亦有著EMR吸收分子前驅物。
  12. 如請求項11之形成一圖案的方法,其中被嵌入在該下伏層之中的該EMR吸收分子前驅物與在該輻射敏感材料之中的該EMR吸收分子前驅物有著相同的成份。
  13. 如請求項11之形成一圖案的方法,其中被嵌入在該下伏層之中的該EMR吸收分子前驅物與在該輻射敏感材料之中的該EMR吸收分子前驅物有著不同的成份。
  14. 如請求項11之形成一圖案的方法,進一步包含在藉由該圖案化光罩將該第一波長的EMR加以曝光之後但在該泛光式曝光之前實施一第一顯影製程,並且在該泛光式曝光之後實施一第二顯影製程。
  15. 如請求項14之形成一圖案的方法,其中該圖案係一接觸孔圖案。
  16. 如請求項14之形成一圖案的方法,進一步包含將在該第一顯影製程之後並且在該泛光式曝光之前形成的一隙填塗層加以利用,以至少部份地填充由該第一顯影製程形成在該基板之上的空隙。
  17. 如請求項9之形成一圖案的方法,進一步包含在藉由該圖案化光罩將該第一波長的EMR加以曝光之後但在該泛光式曝光之前實施一第一顯影製程,並且在該泛光式曝光之後實施一第二顯影製程。
  18. 如請求項9之形成一圖案的方法,進一步包含將在該第一顯影製程之後並且在該泛光式曝光之前形成的一隙填塗層加以利用,以至少部份地填充由該第一顯影製程形成在該基板之上的空隙。
  19. 如請求項9之形成一圖案的方法,其中該EMR吸收分子係光敏劑分子並且該EMR吸收分子前驅物係光敏劑前驅物。
  20. 一種形成一接觸孔圖案的方法,用於在一基板之上的一輻射敏感材料之中形成一接觸孔圖案,該方法包含: 提供該輻射敏感材料,該輻射敏感材料至少具有:(1) 一第一電磁輻射(EMR)波長活化閾值,將在該輻射敏感材料之中的酸的產生加以控制至一第一酸濃度,並且控制在該輻射敏感材料之中的第一EMR吸收分子的產生,以及(2) 一第二EMR波長活化閾值,其可激發在該輻射敏感材料之中的該第一EMR吸收分子,導致該酸包含了比該第一酸濃度來得大的一第二酸濃度,該第二EMR波長活化閾值比該第一EMR波長活化閾值來得高; 在該基板之上提供一下伏層,該下伏層在該輻射敏感材料之下,該下伏層有著EMR吸收分子前驅物; 藉由有著該接觸孔圖案的一圖案化光罩將一第一波長的EMR曝光至該輻射敏感材料之上,以在該輻射敏感材料之內產生該第一EMR吸收分子以及產生第二EMR吸收分子,該第二EMR吸收分子形成自在該下伏層之中的該EMR吸收分子前驅物,該第一波長的EMR包含等於或大於該第一EMR波長活化閾值並且小於該第二EMR波長活化閾值的一波長; 實施該輻射敏感材料的一第一顯影製程,以至少部份與該圖案化光罩的接觸孔圖案相對應而在輻射敏感材料之中形成一第一圖案,在該第一顯影製程之後該圖案化光罩的該接觸孔圖案的至少部分被不完整地形成; 在實施該第一顯影製程之後,將一第二波長的EMR泛光式曝光至該輻射敏感材料,該第二波長的EMR等於或大於該第二EMR波長活化閾值;以及 實施該輻射敏感材料的一第二顯影製程,該第二顯影製程完成了在該輻射敏感材料之中的該圖案化光罩的該接觸孔圖案之形成, 其中由該下伏層之中的該EMR吸收分子前驅物所形成之該第二EMR吸收分子協助在該輻射敏感材料之中的該圖案化光罩的該接觸孔圖案之該形成。
  21. 如請求項20之形成一接觸孔圖案的方法,其中該第一EMR吸收分子以及該第二EMR吸收分子有著相同的成份。
  22. 如請求項20之形成一接觸孔圖案的方法,進一步包含將在該第一顯影製程之後並且在該泛光式曝光之前形成的一隙填塗層加以利用,以至少部份地填充由該第一顯影製程形成在該基板之上的空隙。
  23. 如請求項20之形成一接觸孔圖案的方法,其中該第一EMR吸收分子係第一光敏劑分子,該第二EMR吸收分子係第二光敏劑分子,並且該EMR吸收分子前驅物係光敏劑前驅物。
TW109127662A 2019-08-16 2020-08-14 用於隨機驅動的缺陷性治癒之方法及製程 TWI840599B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962888049P 2019-08-16 2019-08-16
US62/888,049 2019-08-16

Publications (2)

Publication Number Publication Date
TW202122924A TW202122924A (zh) 2021-06-16
TWI840599B true TWI840599B (zh) 2024-05-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150241783A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and Techniques to use with Photosensitized Chemically Amplified Resist Chemicals and Processes

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150241783A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and Techniques to use with Photosensitized Chemically Amplified Resist Chemicals and Processes

Similar Documents

Publication Publication Date Title
JP7009568B2 (ja) 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
JP7074990B2 (ja) 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術
TWI477908B (zh) 雙敏感材料及微影方法
JP6636196B2 (ja) 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
US9645495B2 (en) Critical dimension control in photo-sensitized chemically-amplified resist
US7655568B2 (en) Method for manufacturing underlying pattern of semiconductor device
CN103547968B (zh) 在光刻应用中细化辐射敏感材料线的方法
JP6909374B2 (ja) 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御
JP2019507383A (ja) 光増感化学増幅レジスト(ps−car)モデル較正
JPH06318541A (ja) パターンの形成方法
Tsubaki et al. Negative-tone imaging with EUV exposure toward 13 nm hp
TWI758545B (zh) 使用泛照式曝光之敏化光阻的方法
US11163236B2 (en) Method and process for stochastic driven detectivity healing
TWI840599B (zh) 用於隨機驅動的缺陷性治癒之方法及製程
JPH08138993A (ja) 電子部品のパターン形成方法
US8323866B2 (en) Inorganic resist sensitizer
US7807336B2 (en) Method for manufacturing semiconductor device
TWI704428B (zh) 光阻圖案形成方法
Thackeray et al. Advances in low diffusion EUV resists
JP2560773B2 (ja) パターン形成方法
Azuma et al. Viability of conventional KrF imaging for 150 nm lithography
Wheeler et al. Basic Issues Associated With Four Potential EUV Resist Schemes: Trilayer Organometallic Bilayer, or Plasma Deposited-Plasma & Developed Bilayer, and Silylated Resists
Omatsu et al. Application of higher absorption materials to the underlayer of EUV lithography
JPH06275484A (ja) パターン形成方法
Thompson MATERIALS AND PROCESSES IN LITHOGRAPHY