JP2019517026A - 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御 - Google Patents

光増感化学又は感光性化学増幅レジストを用いた限界寸法制御 Download PDF

Info

Publication number
JP2019517026A
JP2019517026A JP2018559777A JP2018559777A JP2019517026A JP 2019517026 A JP2019517026 A JP 2019517026A JP 2018559777 A JP2018559777 A JP 2018559777A JP 2018559777 A JP2018559777 A JP 2018559777A JP 2019517026 A JP2019517026 A JP 2019517026A
Authority
JP
Japan
Prior art keywords
sensitive material
radiation sensitive
material layer
light wavelength
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018559777A
Other languages
English (en)
Other versions
JP6909374B2 (ja
JP2019517026A5 (ja
Inventor
カルカッシ,マイケル,エー.
デヴィリアーズ,アントン,ジェイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2019517026A publication Critical patent/JP2019517026A/ja
Publication of JP2019517026A5 publication Critical patent/JP2019517026A5/ja
Application granted granted Critical
Publication of JP6909374B2 publication Critical patent/JP6909374B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/22Matching criteria, e.g. proximity measures

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Biology (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Artificial Intelligence (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

光増感化学又は感光性化学増幅レジストを用いた限界寸法制御。限界寸法制御のための方法において、下地層及びその上の放射線感受性材料層を有する基板が受け取られる。放射線感受性材料は、パターン化マスクを介してUVスペクトル内の第1波長の光に露光され、第1現像される。放射線感受性材料は、第1波長の光と異なる第2波長の光にフラッド露光され、第2現像され、パターンを形成する。フラッド露光の前に、放射線感受性材料は、酸の生成を放射線感受性材料層内の第1酸濃度に制御するとともに、放射線感受性材料層内の光増感剤分子の生成を制御する第1光波長活性化閾値と、第1光波長活性化閾値とは異なる第2光波長活性化閾値であって、光増感剤分子を励起することができ、その結果、第1酸濃度より大きい第2酸濃度を備える酸を生じる第2光波長活性化閾値と、を有する。

Description

関連出願の相互参照
この出願は2016年5月13日に出願された「Critical Dimension
Control by Use of Photo-Sensitized Chemicals or Photo-Sensitized Chemically
Amplified Resist」という名称の米国仮特許出願第62/335,977号明細書の利益を主張し、その全体が参照により本明細書に援用される。
発明の分野
本発明は、限界寸法均一性(CDU)制御をパターン化することに関するものである。具体的には、開示は、より良い/代替のCDU制御方法のために、感光性化学増幅レジスト(PSCAR)化学をレジストマトリックスに組み込むことに関するものである。
PSCARは、レジストを現像する前に潜在的なパターンを完全に作成するために、2段階露光処理のために形成されるレジスト組成物である。この種の二重露光は、マスクを介した単一の露光放射が次の現像のための可溶性又は不溶性の領域を定義する、保護されていない(ポジティブトーン)又は保護されている(ネガティブトーン)材料の領域を生成する従来のフォトレジストの使用と異なる。これに対して、PSCARは、レジストを現像する前に、非化学的に選択的な露光及び次の化学的に選択的な露光を含むことができる。フォトレジスト材料の第1の(非化学的に選択的な)露光は、典型的には、マスクを介して第1の放射波長又は波長範囲で発生する。この第1の露光は、第2の化学的に選択的な露光に影響されるようになるフォトレジスト内の領域を作成する。次に、第2の(化学的に選択的な)露光は、従来ベークプロセスと組み合わされて、これらの化学的に高感度な領域に、それらの領域内のPSCARの可溶性を変えさせる。換言すれば、作成された酸は、(典型的にはベークを含む)保護サイトで、ポリマー骨格の自己触媒的な脱保護反応を可能にし、それゆえ、次の現像の間レジストの可溶性を変える。次に、所望の脱保護プロファイルを有するターゲット潜像又はパターンが完全に作成され、次に、この種のレジスト膜は、レリーフパターンに現像可能である。感光性化学増幅レジストの使用に関するより多くの詳細は、S.Tagawa等の「Super High Sensitivity
Enhancement by Photo-Sensitized Chemically Amplified Resist Process」、Journal of Photopolymer Science and Technology、第26巻、第6号(2013)、825〜830頁において見られる。
PSCARの使用によって、光子密度が低いとき、例えば、露光パターニングのためにEUV(極紫外線)波長を用いるとき、フォトレジスト・リソグラフィ・パターニングに対する感度は、より高くなりうる。光増感剤は、中間体の反応による最初のEUV(又はeBeam又はエキシマレーザー、例えクリプトンフッ素(KrF)、アルゴンフッ素(ArF)等を用いる)露光の間、作成される。次のUV(紫外線)フラッド露光によって、光増感剤は、光酸発生剤(PAG)に酸を生成させることを可能にし、それは次に、生成された酸と保護ポリマーサイトとの間の自己触媒的な脱保護反応を介してPSCARの可溶性をシフトする。UVフラッド波長の選択は、所定の光増感剤の特定の特性に基づくことができる。典型的には、光増感剤による吸光度を最大化するとともに、PAG及び光増感剤の前駆体による吸光度を最小化する波長(又は波長範囲)を選択することは有益である。この種の最適化は、フラッド露光が、光増感剤が作成されなかった領域内の酸を生成するのを防止するのを支援する。励起した光増感剤は、UVフラッド露光からUV光を吸収し、次に、光増感剤の近傍でPAGを分解する。この分解は、露光領域内の酸の生成を増幅する一方で、最初のEUV(又はeBeam又はエキシマレーザー)露光から、暗い領域内の酸の形成の不足を基本的に維持する。これは、フラッドUV露光に関連付けられた膜内のDCバイアスシフトを回避することを意味する。
PSCARは、EUVフォトリソグラフィ及び光子密度又は電子密度が低い他のフォトリソグラフィを可能にするが、PSCARパターニングの使用は、限界寸法(CD)均一性の挑戦を有しうる。CD均一性(CDU)は、基板の表面にわたり変化しうる。例えば、所定のウェーハは、ウェーハの中心部において1つのCD値を有しうる一方で、ウェーハのエッジに近づくと他のCD値を有する。ウェーハは、例えばステッパー露光システムを用いるとき、露光進行の順序に基づいて異なるCDを有することもありうる。所定の基板の特定領域に応じて、CDは、大きすぎる又は小さすぎることもあり、CD変化は、ウェーハにわたりランダムに広がることもあり、放射位置に基づくこともあり、及び/又は、特定の特徴、例えばスクライブレーンの位置に相関することもある。
産業のシュリンクが、最小フィーチャサイズをますます小さい限界寸法に押し続け、EUV(13.5nm)の遅延及び潜在的コストのため、産業は、それらの現在のArF(193nm)液浸(ArF)スキャナシステムをさらに拡大するプロセスを探してきた。マルチパターニングにおいて、エッジ配置のエラーバジェットは、オーバレイ及び現像後検査(ADI)/エッチング後検査(AEI)CDUに強く依存する。CDUをより厳しく制御する能力は、大きな価値があり、重要である。
歴史的に、パターニングステップ(フォトリソグラフィ又はエッチング)のCD制御は、3つの方法のうちの1つを含んできた。第1の方法は、TELによるCDオプティマイザー(CDO)であり、限界寸法制御は、露光後ベーク(PEB)の間、ゾーン温度補償による。第2の方法は、ASMLによるDoseMapper(DoMa)であり、限界寸法制御は、露光の間、フィールド内及び/又はフィールド間の線量補償による。第3の方法は、ラムリサーチによるHydraであり、限界寸法制御は、エッチングの間、ゾーン温度制御による。
特にPSCARパターニングと組み合わせた代替のCD制御方法が必要である。
この開示は、パターニングCDUを最小化する代替の方法及び制御/修正のための代替のフローを提供する。一実施形態では、方法は、下地層及び下地層上に堆積される放射線感受性材料層を有する基板を受け取るステップを含む。方法は、UVスペクトル内の波長を備える第1の波長の光を、パターン化マスクを介して放射線感受性材料層上に露光するステップと、パターン露光された放射線感受性材料層を第1の現像するステップと、第1の波長の光と異なる波長を備える第2の波長の光を第1の現像された放射線感受性材料層にフラッド露光するステップと、フラッド露光された放射線感受性材料層を第2の現像し、放射線感受性材料パターンを形成するステップと、をさらに含む。フラッド露光するステップの前に、放射線感受性材料は、酸の生成を放射線感受性材料層内の第1の酸濃度に制御するとともに、放射線感受性材料層内の光増感剤分子の生成を制御する第1の光波長活性化閾値と、放射線感受性材料層内の光増感剤分子を励起することができ、その結果、第1の酸濃度より大きい第2の酸濃度を備える酸を生ずる第2の光波長活性化閾値と、を備え、第2の光波長活性化閾値は、第1の光波長活性化閾値とは異なる。第2の現像するステップの後、放射線感受性材料パターンは、基板の全体又は基板の一部の修正又はスリミングされた限界寸法(CD)を備える。
当然、本願明細書において記載されている異なるステップの議論の順序は、明確にするために提示されてきた。一般的に、これらのステップは、任意の適切な順序で実行可能である。さらに、本願明細書における異なる特徴、技術、構成等の各々は、この開示の異なる場所において議論されてもよいにもかかわらず、概念の各々は、互いに独立に又は互いに組み合わせて実行可能であることが意図されている。したがって、本発明は、多くの異なる方法で実施及び考慮可能である。
この概要の段落が本開示又は請求項の発明のすべての実施形態及び/又は逐次新規の態様を特定しているというわけではないことに留意されたい。その代わりに、この概要は、異なる実施形態に関する予備的な議論及び従来技術に対して新規の対応する点を提供するのみである。本発明及び実施形態の追加の詳細及び/又は可能な展望のために、読者は、さらに後述するように、本開示の詳細な説明の段落及び対応する図面に方向付けられる。
本発明及びその付随する多数の効果のより完全な認識は、以下の詳細な説明を参照すると、特に添付の図面に関連して考慮すると、容易に明らかになる。
図1Aは、第1のパターン化露光後の酸及び光増感剤濃度を表すグラフであり、図1Bは、第2のフラッド露光後の酸及び光増感剤濃度を表すグラフである。 光増感剤によるUV光の選択的吸収を表すグラフである。 ウェーハ内で供給される放射線量特性を変え、最終的な限界寸法均一性特性を変えるためのさまざまな経路を示す表である。 本発明の実施形態に従って限界寸法制御のためのプロセスを表すフローチャートである。
本発明の第1の実施形態は、マルチパターニング・レジスト材料として使用する従来のCAR化学よりもむしろPSCAR化学の使用を提案する。PSCARは、フォトレジスト内の化学の生成が、異なる時間かつ異なる処理条件で発生することを可能にする分離した活性化能力を有するフォトレジストを含む。図1Aのグラフ100に示すように、PSCAR化学は、第1の露光時(又は第1の露光と第2の露光との間の時間)のバックグラウンドの光増感剤(PS)の濃度プロファイル120と、次に生成された最初の酸の濃度プロファイル110と、を作成する。第1の露光波長は、EUV(13.5nm)、ArF(193nm)(乾式又は液浸)及び/又はKrF(248nm)とすることができるが、これらに限定されるものではない。いくつかの酸は、第1の露光の間、例えば、PSCAR内部のPAGから形成され、酸濃度プロファイル110を形成してもよいが、ただし、他の実施形態では、酸は形成されない。第2の露光波長(UVフラッド)は、代替の波長であり、しばしばレジストマトリックス内の他の構成要素の吸収を避けることを試み、それゆえ、通常、>300nmであることを必要とし、例えば、365nmを用いることができる。次に、第1の露光の結果として生成されたPSを用いて、PAGの分解を増幅することによって生成された酸を次の処理における酸に変えることができる。図1Bは、第2の露光後の光増感剤(PS)150及び酸濃度プロファイル140のグラフ130を示す。
図2のグラフ200を参照して、励起した光増感剤は、第2の露光波長のUVフラッド露光から、励起した光増感剤の近傍のPAGを分解し、露光領域における酸生成の増幅を得る一方で、最初の露光の暗い領域(未露光)における酸形成の不足を基本的に維持する(フラッドUV露光に関連付けられたすべての膜の重要でないDCバイアスシフトを意味する)。露光領域における酸のみを増加させることによって、暗い領域において基本的に維持される酸形成はほとんどない。脱保護のレベルがポリマーを脱保護するのに利用可能な酸濃度に直接関連するので、横方向のフィーチャエッジ内の酸濃度を増加させることは、横方向のフィーチャエッジで脱保護を増加させる一方で、フィーチャの中央における保護状態を維持する(例えば、線及び空間の場合)。
一実施形態では、(膜としての)レジストが第1の波長範囲の光に露光されると、レジスト組成物が作成(又は選択)され、光増感剤を生成する。次に、第2の波長範囲の光を用いて、熱拡散を用いることなく酸反応を増幅する。第1及び第2の波長範囲は、重複してもよいししなくてもよい。しかしながら、一実施形態では、分離した活性化能力は光増感剤と光酸発生剤との間で重複してもよく、その結果、図1Aに示されるように、比較的少量の酸が第1の露光の間生成されてもよい。この比較的少量の酸は、例えば、第2の露光の間生成されてもよい酸の量の半分より少なくてもよい。オプションとして、フォトレジストが第1の波長範囲の光に露光されるときに生成されてもよい酸の量又は酸の反応速度を最小化するように、フォトレジストが最適化されてもよい。光の第1の露光の間、フォトレジストと交差しうる光の量を減少しうるパターン化マスクに光を通すことによって、酸生成が最小化されてもよい。次のフラッド露光ステップにおいて、フォトレジスト膜は、再び露光される。この次の露光は、フォトレジスト膜内の酸濃度を誘発又は増幅する第2の波長範囲を含むことができる。非限定的な例として、第1の波長範囲は300nmより小さくてもよく、第2の波長範囲は300nmより大きくてもよい。
従来のフォトレジスト・パターニングプロセスにおいて、第1の露光量は、PAGを露光位置で活性化するので、当該位置で光酸が生成される。次に、光酸は、生成された酸と保護されたポリマーサイトとの間の自己触媒脱保護反応を介してフォトレジスト膜の可溶性を変えることができる。これに対して、PSCAR膜については、従来のプロセスより低い出力である、マスクベースのパターン露光は、光増感剤を、従来のプロセスと比較してはるかに少ない量の酸とともに主に作成する。光増感剤は、光増感剤の前駆体である光増感剤発生化合物によって作成又は生成可能であり、光増感剤発生分子を光増感剤に変えるために近傍にある酸分子と反応する。この最初のマスクベースの露光は、従来のプロセスの出力と比較して低出力の露光とみなすことができる。その後、マスクベースの露光によって作成されたものと比較して莫大な量の酸を作成する高出力のUVフラッド露光が実行される。本願明細書において、フラッド露光は、基板のすべての部分がほぼ同じ量の放射を受けるように、いかなるマスク又はパターニングメカニズムをも用いない露光を意味する。
本願明細書における実施形態は、1つ又は複数の光増感剤発生化合物を含むレジスト組成物を含むことができる。この種の化合物は、フォトレジスト層又は組成内の光増感剤分子を生成することによって、特定の光波長活性化閾値に反応する。光増感剤分子は、光エネルギーを吸収し、光エネルギーを他の分子、例えばPAGに伝達することができる。このエネルギー伝達は、次に、受け取る分子を活性化することができる。PAGがエネルギー伝達を受け取る場合、PAGは、次に、酸を生成することができる。いくつかの光増感剤化合物は、基底状態においてエネルギーを伝達してもよく、同時に、他の光増感剤化合物は、励起状態における伝達を実行してもよい。例示的な光増感剤発生化合物は、アセトフェノン、トリフェニレン、ベンゾフェノン、フルオレノン、アントラキノン、フェナントレン又はその誘導剤を含むが、これらに限定されるものではない。
本願明細書において、レジスト組成物は、フォトレジスト層内の酸の生成を制御する第2の光波長活性化閾値に反応するPAG化合物もまた含むことができる。第2の活性化波長は、第1の活性化波長とは異なることができる。PAGは、吸収される光エネルギーを化学エネルギー(例えば、酸反応)に変換する陽イオン光重合開始剤とすることができる。PAG化合物は、トリフェニルスルホニウムトリフレート(triphenylsulfonium triflate)、トリフェニルスルホニウムノナフレート(triphenylsulfonium nonaflate)、パーフルオロオクチルスルホン酸トリフェニルスルホニウム(triphenylsulfonium perfluorooctylsulfonate)、トリアリールスルホニウムトリフレート(triarylsulfonium triflate)、トリアリールスルホニウムノナフレート(triarylsulfonium nonaflate)、パーフルオロオクチルスルホン酸トリアリールスルホニウム(triarylsulfonium perfluorooctylsulfonate)、トリフェニルスルホニウム塩(a triphenylsulfonium salt)、トリアリールスルホニウム塩(a
triarylsulfonium salt)、ヘキサフルオロアンチモン酸トリアリールスルホニウム(a
triarylsulfonium hexafluoroantimonate salt)、N−ヒドロキシナフタルイミドトリフレート(N-hydroxynaphthalimide triflate)、1,1−ビス[p−クロロフェニル]−2,2,2−トリクロロエタン(DDT)(1,1-bis[p-chlorophenyl]-2,2,2-trichloroethane(DDT))、1,1−ビス[p−メトキシフェニル]−2,2,2−トリクロロエタン(1,1-bis[p-methoxyphenyl]-2,2,2-trichloroethane)、1,2,5,6,9,10−ヘキサブロモシクロドデカン(1,2,5,6,9,10-hexabromocyclododecane)、1,10−ジブロモデカン(1,10-dibromodecane)、1,1−ビス[p−クロロフェニル]2,2−ジクロロエタン(1,1-bis[p-chlorophenyl]2,2-dichloroethane)、4,4−ジクロロ−2−(トリクロロメチル)ベンズヒドロール(4,4-dichloro-2-(trichloromethyl)benzhydrol)、1,1−ビス(クロロフェニル)2−2,2−トリクロロエタノール(1,1-bis(chlorophenyl) 2-2,2-trichloroethanol)、ヘキサクロロジメチルスルホン(hexachlorodimethylsulfone)、2−クロロ−6−(トリクロロメチル)ピリジン(2-chloro-6-(trichloromethyl)pyridine)又はそれらの誘導剤を含んでもよいが、これらに限定されるものではない。
本願明細書における実施形態は、酸濃度及び/又は光増感剤の濃度を変更することができる光活性剤を含むこともできる。光活性剤は、第2のPAG又は光分解できる塩基としても知られている光破壊塩基を含むことができる。光破壊塩基は、露光領域において分解する1つ又は複数の塩基化合物を含むことができ、非露光領域において光活性酸を中和することができるより高いトータルベースローディング(total base loading)を可能にする。それゆえ、光破壊塩基は、この一般的なベースローディング効果を提供することができる化合物を含む。非分解塩基は、1つ又は複数の光酸を、これらの光酸がもはや感光性でない、又は、放射線感受性でないように変性させる。一般のフォトレジスト組成物は、典型的には、いくつかのタイプのベースロードを含み、結果としてクリスプラインになるためにコントラストを増加するのを支援する。このベースロードは、典型的には、酸濃度を均一に調整するように作用し、均一のプロファイルを提供する(勾配のある露光を除去する)。本願明細書において開示されるように、より多くの塩基を所定のレジスト膜に追加することによって、所定の酸濃度を減少することができる。同様に、所定の酸濃度は、酸化合物を選択的に追加することによって増加することができる。
一実施形態では、光増感剤及び/又は光増感剤発生剤は、最初からレジストマトリックス内に存在するが、これは、それが、スリミングのための酸洗浄プロセスと同様に、溶液に溶解されて、第1の現像ステップ後のスピンオンプロセスを介して組み込まれ、次に、UVフラッド露光プロセスによって(第2の露光波長で)露光され、ベークされ、現像されることを除外しない。
他の実施形態では、CDウェーハ内(WIW)の分布は、放射及び傾斜構成要素に解析(de-convolve)可能である。一実施形態では、放射特性は、回転及び並進する基板を用いて光源の下でUVフラッド露光の間調整可能である。ハードウェア概念によって、多くの経路は、WIWに供給される放射線量特性を変えることができ、最終的なWIW CDU特性を変えることができる。この実施形態の場合、図3に示すように、放射線量変更は、数例を挙げれば、回転、スキャン速度、出力設定、光源作用距離、開口の使用、焦点位置変化、光源ゾーン制御等及びその任意の変形の可変的な設定を含むことができる。
さらに他の実施形態では、ウェーハに供給される(第2の露光波長での)UVフラッド光エネルギーは、独立してアドレス指定可能な投影点のアレイを有するデジタルピクセルベースの投影システムを用いて制御可能であり、投影されたパターンは、構造のCD値を空間的に特徴付けるCD特性に基づく。デジタルピクセルベースの投影システムは、デジタルライトプロセッシング(DLP)チップ、グレィティング・ライト・バルブ(GLV)、光ビームを方向付けるガルバノメータ搭載かつ駆動のミラー(「ガルボミラー」)、又は、画像又はパターンを(オプションとしてレンズを用いて)ウェーハ上に集束可能であり、限界寸法の不均一を修正可能又は調整可能な光源を有する任意の他のマイクロ投影及び/又はスキャン技術(「その他」)として実施可能である。デジタルピクセルベースの投影システムは、信頼性問題を(投影システム内の吸収問題から)300nmの波長未満で歴史的に有していた。より低い波長での動作を設計する方法は存在するが、365nmが好適な露光波長であるPS材料の吸光度は、潜在的により信頼性が高い動作を可能にする。365nmの波長は、大多数のEUV/ArF/KrFレジストシステム内の既存のPAGを光分解するのに利用できる波長より著しく高い波長である(所定の歴史的なPAG吸収曲線)。これを考慮して、PSCAR化学/方法の使用及び次の365nmのフラッド露光波長の使用は、投影システムのより長い寿命及びより高い信頼性の利益を潜在的に有する。
さらなる実施形態では、回転/並進方式による(第2の露光波長での)UVフラッドは、(第2の露光波長での)デジタルピクセルベースの投影システムと組み合わせて、CD不均一を修正することができる。PSCAR化学の線量要件及びデジタルピクセルベースの投影システムの線量限定を考慮して、技術のこの種の組み合わせが要求されてもよい。回転/並進フラッド・ハードウェアは、大部分の要求された第2の露光波長(例えば、97%)を供給することができ、次に、デジタルピクセルベースの投影システムは、CD不均一の最小化(例えば、ウェーハ全体の0〜3%)のために要求される残りの線量を供給することができる。
UVフラッド露光のためのサブプロセスフローの具体例は、以下を含むが、これらに限定されるものではない。
サブフローA:300〜400nmのDLP又はGLV又はその他→フラッドベーク
サブフローB:300〜400nmの回転/並進→フラッドベーク
サブフローC:300〜400nmのDLP又はGLV又はその他→300〜400nmの回転/並進→フラッドベーク
サブフローD:300〜400nmの回転/並進→300〜400nmのDLP又はGLV又はその他→フラッドベーク
サブフローE:300〜400nmのガルボミラー→フラッドベーク
サブフローF:300〜400nmのガルボミラー→300〜400nmの回転/並進→フラッドベーク
サブフローG:300〜400nmの回転/並進→300〜400nmのガルボミラー→フラッドベーク
サブフローA〜Gの任意の他の組み合わせを用いてもよい。300〜400nmの範囲内の波長は、365nmでもよい。
すべての実施形態において、CDU改良を最大化する能力は、CD分布がシフトされるのを要求し、ウェーハにわたる大部分のCDは、(現像トーンに応じて)要求される公称寸法の一方又は他方に存在し、第2の露光は、ウェーハのすべての領域を修正する能力を有する。それゆえ、第2のPSCARフラッドプロセスを組み込むとき、わずかに線量が下回る第1の露光へのシフトが要求されてもよい。換言すれば、2つの露光ステップを用いるPSCARプロセスのために、第1の露光ステップの露光線量は、単一の露光ステップを用いるCARプロセスの照射線量と比較して減少する。
本願明細書における実施形態は、いくつかのプロセス制御方式及びウェーハシーケンスのオプションを提供する。ウェーハシーケンスのオプションは、図4のフローチャート400に示されており、フローチャート400の分岐600のように、第2の露光(及び第2の露光後のベーク)は、第1の露光後ではあるが任意の現像(DEV)プロセス前に生じるこることができ、又は代替的には、フローチャート400の分岐500のように、第1の露光/PEB/現像プロセスシーケンス後に生じることができる。両方のシーケンスにおいて、プロセスは、410でウェーハの最初のプロセスから開始する。420で、薄膜が追加され、薄膜は、パターンが転写される下地層と称されてもよい。430で、放射線感受性材料、例えばフォトレジストのコーティングは、薄膜の上に適用される。440で、リソグラフィプロセスは、放射線感受性材料のコーティング上に実行される。より具体的には、放射線感受性材料のコーティングは、マスクを介してUVスペクトル内の第1の波長(λ)の光に露光され、パターン化露光を作成する。450で、露光後ベーク(PEB)が実行される。
450の後、フローチャート400の分岐500によって示されるように、プロセスは、一実施形態に従って進むことができる。510において、パターン露光された放射線感受性材料のコーティングは、第1の現像プロセス(第1の現像)を受ける。オプションとして、520で、現像された放射線感受性材料のコーティングは、光増感剤(PS)発生化合物又はPS化合物でコーティングされ、PS発生化合物又はPS化合物を放射線感受性材料のコーティングに組み込む。例えば、スピンコーティングを用いて、PS発生化合物又はPS化合物を適用してもよい。PS発生化合物をコーティングする場合、次に、パターン露光された放射線感受性材料のコーティング内に存在する酸は、組み込まれたPS発生化合物と反応してもよい。代替的には、ステップ520は省略されてもよく、PS発生化合物は、430において薄膜の上に適用されるとき、放射線感受性材料のコーティングの構成要素でもよく、PS発生化合物は、後に反応し、PSを生成する。
540において、1つのフラッドプロセス(又は複数のフラッドプロセス)が実行され、第1の現像された放射線感受性材料のコーティングを、第1の波長(λ)の光とは異なる第2の波長(λ)の光にフラッド露光する。フラッドプロセスは、例えば、フラッドベークを含む上述したサブフローA〜Gのいずれかを含んでもよい。オプションとして、(540での)フラッドプロセスの前に、かつ、(510での)第1の現像の後に、530で、現像後検査(ADI)が、フィードフォワード(FF)制御方式の一部として実行されてもよい。具体的には、分岐500のFF矢印によって示されるように、540において、フラッド露光又はフラッドベークのプロセスパラメータは、第1の現像された放射線感受性材料のコーティングの検査から得られた限界寸法特性に基づいて、変更可能である。
フラッドプロセスの後、550で、第2の現像プロセス(第2の現像)が実行される。570で、薄膜下地層は、パターン化された放射線感受性材料のコーティングをマスクとして用いてエッチングされる。590で、ウェーハのプロセスは、次のプロセスに続く。次に、新しいウェーハは、フローチャート400及び分岐500に従って処理されてもよい。
オプションとして、フローチャート400の分岐500は、560でのADI及び/又は580でのエッチング後検査(AEI)を含んでもよく、ウェーハは、550での第2の現像後に及び/又は570でのエッチング後に、それぞれ、フィードバック(FB)制御方式の一部として検査される。具体的には、540におけるフラッド露光又はフラッドベークのプロセスパラメータは、分岐500のFB矢印によって示されるように、処理されている次のウェーハのために、第2の現像された放射線感受性材料のコーティング及び/又はエッチングされた薄膜下地層を検査することから得られる限界寸法特性に基づいて、変更可能である。
450の後、分岐600によって示されるように、フローチャート400のプロセスは、代替実施形態に従って進むことができる。610において、1つのフラッドプロセス(又は複数のプロセス)が実行され、パターン露光された放射線感受性材料のコーティングを、第1の波長(λ)の光とは異なる第2の波長(λ)の光にフラッド露光する。540と同様に、フラッドプロセス610は、上述したサブフローA〜Gのいずれかを含んでもよい。
フラッドプロセスの後、現像プロセス(DEV)は620で実行され、これは、このプロセスフローの唯一の現像ステップである。640で、薄膜下地層は、パターン化された放射線感受性材料のコーティングをマスクとして用いてエッチングされる。660で、ウェーハのプロセスは、次のプロセスに続く。次に、新しいウェーハは、フローチャート400及び分岐600に従って処理されてもよい。
オプションとして、フローチャート400の分岐600は、630でのADI及び/又は650でのAEIを含んでもよく、ウェーハは、620での現像後に及び/又は640でのエッチング後に、それぞれ、FB制御方式の一部として検査される。具体的には、610におけるフラッド露光又はフラッドベークのプロセスパラメータは、処理されている次のウェーハのために、分岐600のFB矢印によって示されるように、現像された放射線感受性材料のコーティング及び/又はエッチングされた薄膜下地層を検査することから得られる限界寸法特性に基づいて、変更可能である。
540又は610におけるフラッド露光の前に、放射線感受性材料のコーティングは、酸の生成を、放射線感受性材料内の第1の酸濃度に制御するとともに放射線感受性材料内の光増感剤分子の生成を制御する第1の光波長活性化閾値と、放射線感受性材料内の光増感剤分子を励起することができ、その結果、第1の酸濃度より大きい第2の酸濃度を備える酸を生じる第2の光波長活性化閾値と、を備え、第2の光波長活性化閾値は、第1の光波長活性化閾値とは異なる。一実施形態では、(440における)第1の光の波長は、第1の光波長活性化閾値以上かつ第2の光波長活性化閾値未満であり、(540又は610における)第2の光の波長は、第2の光波長活性化閾値以上である。
プロセス制御方式に関して、フローチャート400を参照して上述したように、独立して又は互いに組み合わせて使用可能であるいくつかのフィードバック(FB)又はフィードフォワード(FF)制御方式が存在する。平均されたエッチング後検査(AEI)の結果は、フィードバックされ、ロットレベルの平均出力設定及び/又はWIW出力特性調整を容易にし、AEIによって観察される変動を修正することができる。変動の原因は、エッチングプロセス、リソグラフィプロセス及び他のプロセスステップに固有になりうる。同様に、平均された現像後検査(ADI)の結果はフィードバックされ、ロットレベルの平均出力設定及び/又はWIW出力特性調整を容易にし、ADIによって観察される変動を修正することができる。2つの現像ステップ(例えば、510及び550)を有する場合、ADI結果は、いずれのADIステップ(例えば、530及び560)から使用可能であり、次のウェーハプロセス制御のためにフィードバック可能である。第1の現像(例えば510)の後であるが、フラッドプロセス(例えば540)及び第2の現像(例えば550)の前に、ADIステップを行うことに特有に、ウェーハレベルでのフィードフォワード制御方式が可能になる。周知のADI CDマップは、フラッド・コントローラにフィードフォワード可能であり、ADIでより厳しい最終的なCD分布のためのそのウェーハに特有のフラッドプロセス(線量はウェーハ内で局所的に供給される)を増加する。単独で又は組み合わせて用いられる上述した制御方式のいずれかは、より厳しいパターニングCD制御につながることができる。
これらの概念は、最小化されたADI/AEI CDUを達成する代替の方法を提供する。本願明細書では、CDU制御は、UVフラッド線量制御モジュールによって主に制御される。
CDU制御をパターン化するこの変更された方法を用いて、WIW制御方式は、より実現可能になりうる。WIW制御方式は、実際のWIW情報を利用し、第2の露光にフィードフォワードし、より厳しいパターニングCD制御を可能にすることができる。
限界寸法(CD)修正、すなわち、ポストフォトリソグラフィ・ターゲット平均(post-photolithography
target mean)のまわりのCDU修正のために用いられているこれらの方法に加えて、より高いフラッド線量を利用する同じ方法を用いて、基板の全体又は基板の一部の限界寸法(CD)をスリミング/シュリンクすることができる。産業のシュリンクが、最小フィーチャサイズ要件をますます小さいCDに押し続け、EUV(13.5nm)の遅延及び潜在的高コストのため、産業は、それらの現在の193nmの液浸(ArF)スキャナシステム基盤/専門をさらに拡大するプロセスを探してきた。解像度が限定された(例えば、40nm以下のライン及びスペース)レジストフィーチャの近くの従来のポストフォトリソグラフィArFのシュリンク/スリミングは、そのような拡大である。ホール又はトレンチをシュリンクする能力及び/又はラインを10、20、30nmに制御された湿式プロセスでスリミング又はトリムする能力は、以下の現在及び将来の適用を有する。(1)シングルパターニング、例えば、ゲート層がわずかにより消極的なピッチ上の非常に小さいフィーチャを有する論理設計、及び、(2)ダブルパターニング/マルチパターニング方式、例えば、Litho-Etch-Litho-Etch(LELE)又はn回繰り返されたLitho-Etch(LE)、Litho-Litho-Etch(LLE)及びサイドウォールスペーサのための前駆体。
さまざまな技術は、各種実施形態を理解するのを支援するための複数の別々の動作として記載されてきた。記載の順序は、これらの動作が必ずしも順序に依存することを暗示するとして解釈されてはならない。実際、これらの動作は、提示された順序で実行される必要はない。記載されている動作は、記載された実施形態と異なる順序で実行されてもよい。さまざまな追加の動作が実行されてもよい、及び/又は、記載された動作が追加の実施形態において省略されてもよい。
本願明細書で用いられる「基板」は、一般的に、本発明に従って処理されている対象を意味する。基板は、デバイス、特に半導体又は他の電子機器の任意の材料部分又は構造を含んでもよく、例えば、半導体ウェーハのようなベース基板構造、又は、薄膜のようなベース基板構造上の層又はベース基板構造を覆う層でもよい。このように、基板は、いかなる特定のベース構造、下地層又は上層にも限定されず、パターン化の有無にも限定されず、むしろ、任意のこの種の層又はベース構造、及び、層及び/又はベース構造の任意の組み合わせを含むことが考えられる。記載は特定のタイプの基板を参照してもよいが、これは図示の目的のためのみである。
本発明がその1つ又は複数の実施形態の説明により示され、実施形態がかなり詳細に記載されてきたが、実施形態は、いかなる形であれ、添付の請求項の範囲をこの種の詳細に制限することを意図しない。追加の利点及び変更態様は、当業者にとって容易に現れる。それゆえ、本発明のより広い態様は、具体的な詳細、代表的な装置及び方法ならびに図示及び記載された例に限定されるものではない。したがって、一般的な発明の概念を逸脱しない範囲でこの種の詳細から出発してもよい。

Claims (20)

  1. 下地層及び前記下地層上に堆積される放射線感受性材料層を有する基板を受け取るステップと、
    前記放射線感受性材料層上にパターン化マスクを介して第1光波長の光を露光するステップであって、前記第1光波長はUVスペクトル内の波長を含む、第1光波長露光ステップと、
    パターン露光された前記放射線感受性材料層を第1現像する、第1現像ステップと、
    第1現像された前記放射線感受性材料層に第2光波長の光をフラッド露光するステップであって、前記第2光波長は前記第1光波長と異なる波長を含む、フラッド露光ステップと、
    フラッド露光された前記放射線感受性材料層を第2現像し、放射線感受性材料パターンを形成する、第2現像ステップと、
    を含む方法であって、
    前記フラッド露光ステップの前に、前記放射線感受性材料は、
    酸の生成を前記放射線感受性材料層内の第1酸濃度に制御するとともに、前記放射線感受性材料層内の光増感剤分子の生成を制御する第1光波長活性化閾値と、
    前記放射線感受性材料層内の前記光増感剤分子を励起することができ、その結果、前記第1酸濃度より大きい第2酸濃度を有する前記酸を生じる第2光波長活性化閾値と、
    を有し、
    前記第2光波長活性化閾値は、前記第1光波長活性化閾値とは異なり、
    前記第2現像ステップの後、前記放射線感受性材料パターンは、前記基板の全体又は前記基板の一部の修正又はスリミングされた限界寸法(CD)を有する、
    方法。
  2. 前記放射線感受性材料は、前記基板上に堆積されるとき、光増感剤発生化合物を前記放射線感受性材料の構成要素として有する、
    請求項1に記載の方法。
  3. 前記方法は、前記第1現像ステップの後、かつ、前記フラッド露光ステップの前に、光増感剤発生化合物を前記放射線感受性材料層上にコーティングステップをさらに含み、前記光増感剤発生化合物を前記放射線感受性材料層に組み込み、前記放射線感受性材料層内に存在する酸と反応し、光増感剤分子を生成する、
    請求項1に記載の方法。
  4. 前記方法は、前記第1現像ステップの後、かつ、前記フラッド露光ステップの前に、前記放射線感受性材料層上に光増感剤化合物をコーティングするステップをさらに含み、前記光増感剤化合物を前記放射線感受性材料層に組み込む、
    請求項1に記載の方法。
  5. 前記の光増感剤化合物をコーティングするステップは、スピンコーティングを含む、
    請求項4に記載の方法。
  6. 前記第1光波長は、前記第1光波長活性化閾値以上かつ前記第2光波長活性化閾値未満であり、前記第2光波長は、前記第2光波長活性化閾値以上である、
    請求項1に記載の方法。
  7. 前記第1光波長は、13.5nm、193nm又は248nmである、
    請求項1に記載の方法。
  8. 前記第2光波長は、前記UVスペクトル内にある、
    請求項1に記載の方法。
  9. 前記第2光波長は、300と400nmとの間にある、
    請求項1に記載の方法。
  10. 前記第2光波長は、365nmである、
    請求項1に記載の方法。
  11. 前記フラッド露光ステップは、ピクセルベースの投影システムを用いて、前記放射線感受性材料層を前記第2光波長の光に露光するステップを含む、
    請求項1に記載の方法。
  12. 前記フラッド露光ステップは、前記放射線感受性材料層をガルバノメータ搭載のミラーによって方向付けられる前記第2光波長の光に露光するステップを含む、
    請求項1に記載の方法。
  13. 前記放射線感受性材料層をフラッド露光する前記ステップは、前記基板を前記第2光波長の光源の下で並進するステップ、又は、回転するステップ、又はその両方のステップを含む、
    請求項1に記載の方法。
  14. 前記第1光波長に対する前記放射線感受性材料層の前記露光の露光線量は、後続のフラッド露光のないパターン化露光を含むプロセスと比較して減少し、後続のプロセスステップにおける前記基板の全体にわたる限界寸法(CD)修正を可能にする、
    請求項1に記載の方法。
  15. 前記方法は、前記第1現像ステップの後、又は、前記第2現像ステップの後、又は、その両方のステップの後、前記放射線感受性材料層を検査するステップをさらに含む、
    請求項1に記載の方法。
  16. 前記方法は、前記第1現像ステップの後、前記放射線感受性材料層を検査するステップから得られた限界寸法特性に基づいて、前記フラッド露光ステップ又は前記フラッド露光ステップ後のベークステップ又はその両方のステップのプロセスパラメータを変えるステップをさらに含む、
    請求項15に記載の方法。
  17. 前記方法は、前記第2現像ステップの後、前記放射線感受性材料層を検査するステップから得られた限界寸法特性に基づいて、前記フラッド露光ステップ又は前記フラッド露光ステップ後のベークステップ又はその両方のステップの、後続のウェーハのためのプロセスパラメータを変えるステップをさらに含む、
    請求項15に記載の方法。
  18. 前記方法は、前記放射線感受性材料パターンをマスクとして用いて前記下地層をエッチングするステップをさらに含む、
    請求項1に記載の方法。
  19. 前記方法は、エッチングされた前記下地層を検査するステップをさらに含む、
    請求項18に記載の方法。
  20. 前記方法は、エッチングされた前記下地層を検査するステップから得られた限界寸法特性に基づき、前記フラッド露光ステップ又は前記フラッド露光ステップの後のベークステップ又はその両方のステップの、後続のウェーハのためのプロセスパラメータを変えるステップをさらに含む、
    請求項19に記載の方法。
JP2018559777A 2016-05-13 2017-05-12 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御 Active JP6909374B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662335977P 2016-05-13 2016-05-13
US62/335,977 2016-05-13
PCT/US2017/032435 WO2017197279A1 (en) 2016-05-13 2017-05-12 Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist

Publications (3)

Publication Number Publication Date
JP2019517026A true JP2019517026A (ja) 2019-06-20
JP2019517026A5 JP2019517026A5 (ja) 2020-06-25
JP6909374B2 JP6909374B2 (ja) 2021-07-28

Family

ID=58745488

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018559777A Active JP6909374B2 (ja) 2016-05-13 2017-05-12 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御

Country Status (6)

Country Link
US (1) US10551743B2 (ja)
JP (1) JP6909374B2 (ja)
KR (1) KR102475021B1 (ja)
CN (1) CN109313394B (ja)
TW (1) TWI657314B (ja)
WO (1) WO2017197279A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9618848B2 (en) * 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
CN109313394B (zh) 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
KR102177192B1 (ko) 2016-05-13 2020-11-10 도쿄엘렉트론가부시키가이샤 광 작용제의 사용에 의한 임계 치수 제어
DE102016221261A1 (de) * 2016-10-28 2018-05-03 Carl Zeiss Smt Gmbh Verfahren zur mikrolithographischen Herstellung mikrostrukturierter Bauelemente
JP7348456B2 (ja) * 2018-03-19 2023-09-21 東京エレクトロン株式会社 較正されたトリム量を用いて限界寸法を補正するための方法
WO2019240279A1 (ja) * 2018-06-14 2019-12-19 国立大学法人大阪大学 レジストパターン形成方法
WO2021034567A1 (en) * 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
US11747733B2 (en) * 2021-01-08 2023-09-05 Tokyo Electron Limited Freeze-less methods for self-aligned double patterning

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250395A (ja) * 1995-03-13 1996-09-27 Toshiba Corp レジストパターン形成方法
JP2003303766A (ja) * 2002-04-12 2003-10-24 Toshiba Corp パターン形成方法
JP2007033882A (ja) * 2005-07-27 2007-02-08 Hitachi Via Mechanics Ltd 露光装置及び露光方法並びに配線基板の製造方法
US20100273099A1 (en) * 2009-04-27 2010-10-28 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP2013543282A (ja) * 2010-11-23 2013-11-28 東京エレクトロン株式会社 インライン限界寸法スリミングによるダブルパターニング
JP2015156472A (ja) * 2014-01-20 2015-08-27 東京エレクトロン株式会社 露光装置、レジストパターン形成方法及び記憶媒体
JP2016134581A (ja) * 2015-01-22 2016-07-25 東京エレクトロン株式会社 レジストパターン形成方法
JP2017504077A (ja) * 2014-01-27 2017-02-02 東京エレクトロン株式会社 パターン化膜の臨界寸法をシフトするシステムおよび方法
JP2017507372A (ja) * 2014-02-25 2017-03-16 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
JP2017507371A (ja) * 2014-02-24 2017-03-16 東京エレクトロン株式会社 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
JP2017507360A (ja) * 2014-02-24 2017-03-16 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
JP2017512319A (ja) * 2014-02-24 2017-05-18 東京エレクトロン株式会社 光増感化学増幅レジスト内の光増感剤濃度の測定メトロロジー

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
EP0203215B1 (de) 1985-05-29 1990-02-21 Ibm Deutschland Gmbh Verfahren zur Reparatur von Transmissionsmasken
US4931380A (en) 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0654390B2 (ja) 1986-07-18 1994-07-20 東京応化工業株式会社 高耐熱性ポジ型ホトレジスト組成物
JPH0740543B2 (ja) 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH04239116A (ja) 1991-01-14 1992-08-27 Fujitsu Ltd 半導体装置の製造方法
JP2723405B2 (ja) 1991-11-12 1998-03-09 松下電器産業株式会社 微細電極の形成方法
US5294680A (en) 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
JP3158710B2 (ja) 1992-09-16 2001-04-23 日本ゼオン株式会社 化学増幅レジストパターンの形成方法
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
TW276353B (ja) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
JPH0990621A (ja) 1995-09-21 1997-04-04 Canon Inc レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法
JP2910654B2 (ja) 1996-01-30 1999-06-23 日本電気株式会社 レジストパターン形成方法
JP2867964B2 (ja) 1996-06-27 1999-03-10 日本電気株式会社 レジスト膜パターンの形成方法
US5905019A (en) 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11237737A (ja) 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6245492B1 (en) 1998-08-13 2001-06-12 International Business Machines Corporation Photoresist system and process for aerial image enhancement
JP2000208408A (ja) 1999-01-19 2000-07-28 Nec Corp 化学増幅系レジストのパタ―ン形成方法
JP4557328B2 (ja) 1999-02-01 2010-10-06 富士フイルム株式会社 ポジ型フォトレジスト組成物
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
WO2001098838A2 (en) 2000-06-22 2001-12-27 Koninklijke Philips Electronics N.V. Method of forming optical images, mask for use in this method, method of manufacturing a device using this method, and apparatus for carrying out this method
US6548219B2 (en) 2001-01-26 2003-04-15 International Business Machines Corporation Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions
US6555479B1 (en) 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
WO2005081295A1 (ja) 2004-02-20 2005-09-01 Nikon Corporation 露光方法、露光装置及び露光システム並びにデバイス製造方法
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
JP4524154B2 (ja) 2004-08-18 2010-08-11 富士フイルム株式会社 化学増幅型レジスト組成物及びそれを用いたパターン形成方法
US20060269879A1 (en) 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US20070275330A1 (en) 2006-05-25 2007-11-29 International Business Machines Corporation Bottom anti-reflective coating
JP2007334036A (ja) 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
US7687205B2 (en) 2006-06-15 2010-03-30 The Boeing Company Photolithographic method and apparatus employing a polychromatic mask
DE102006053074B4 (de) 2006-11-10 2012-03-29 Qimonda Ag Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
JP4961324B2 (ja) 2007-10-26 2012-06-27 富士フイルム株式会社 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法
KR101585992B1 (ko) 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US20090214985A1 (en) 2008-02-27 2009-08-27 Tokyo Electron Limited Method for reducing surface defects on patterned resist features
US20090274974A1 (en) 2008-04-30 2009-11-05 David Abdallah Spin-on graded k silicon antireflective coating
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
CN102056913A (zh) 2008-06-12 2011-05-11 巴斯夫欧洲公司 锍衍生物及其作为潜酸的用途
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
WO2010096615A2 (en) 2009-02-19 2010-08-26 Brewer Science Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
JP5011345B2 (ja) 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8795952B2 (en) 2010-02-21 2014-08-05 Tokyo Electron Limited Line pattern collapse mitigation through gap-fill material application
US8124319B2 (en) 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
KR101909567B1 (ko) 2011-07-08 2018-10-18 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
CN103034048B (zh) 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
JP5846046B2 (ja) 2011-12-06 2016-01-20 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
NL2010162A (en) 2012-02-03 2013-08-06 Asml Netherlands Bv A lithography model for 3d resist profile simulations.
CN103309164A (zh) 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP5741518B2 (ja) 2012-04-24 2015-07-01 信越化学工業株式会社 レジスト下層膜材料及びパターン形成方法
JP6004172B2 (ja) 2012-07-31 2016-10-05 日産化学工業株式会社 カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物
JP2014143415A (ja) 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
WO2014129556A1 (ja) 2013-02-20 2014-08-28 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料
TWI600966B (zh) 2014-02-21 2017-10-01 東京威力科創股份有限公司 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板
WO2016025210A1 (en) * 2014-08-13 2016-02-18 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
JP6512994B2 (ja) 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6774814B2 (ja) 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
JP6809843B2 (ja) 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
KR102177192B1 (ko) 2016-05-13 2020-11-10 도쿄엘렉트론가부시키가이샤 광 작용제의 사용에 의한 임계 치수 제어
CN109313394B (zh) 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250395A (ja) * 1995-03-13 1996-09-27 Toshiba Corp レジストパターン形成方法
JP2003303766A (ja) * 2002-04-12 2003-10-24 Toshiba Corp パターン形成方法
JP2007033882A (ja) * 2005-07-27 2007-02-08 Hitachi Via Mechanics Ltd 露光装置及び露光方法並びに配線基板の製造方法
US20100273099A1 (en) * 2009-04-27 2010-10-28 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP2013543282A (ja) * 2010-11-23 2013-11-28 東京エレクトロン株式会社 インライン限界寸法スリミングによるダブルパターニング
JP2014500625A (ja) * 2010-11-23 2014-01-09 東京エレクトロン株式会社 側壁像転写ピッチダブリング及びインライン限界寸法スリミング
JP2015156472A (ja) * 2014-01-20 2015-08-27 東京エレクトロン株式会社 露光装置、レジストパターン形成方法及び記憶媒体
JP2017504077A (ja) * 2014-01-27 2017-02-02 東京エレクトロン株式会社 パターン化膜の臨界寸法をシフトするシステムおよび方法
JP2017507371A (ja) * 2014-02-24 2017-03-16 東京エレクトロン株式会社 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
JP2017507360A (ja) * 2014-02-24 2017-03-16 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
JP2017512319A (ja) * 2014-02-24 2017-05-18 東京エレクトロン株式会社 光増感化学増幅レジスト内の光増感剤濃度の測定メトロロジー
JP2017507372A (ja) * 2014-02-25 2017-03-16 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
JP2016134581A (ja) * 2015-01-22 2016-07-25 東京エレクトロン株式会社 レジストパターン形成方法

Also Published As

Publication number Publication date
WO2017197279A1 (en) 2017-11-16
JP6909374B2 (ja) 2021-07-28
KR20190007019A (ko) 2019-01-21
US20170329229A1 (en) 2017-11-16
CN109313394B (zh) 2021-07-02
TW201809881A (zh) 2018-03-16
KR102475021B1 (ko) 2022-12-06
CN109313394A (zh) 2019-02-05
TWI657314B (zh) 2019-04-21
US10551743B2 (en) 2020-02-04

Similar Documents

Publication Publication Date Title
US10551743B2 (en) Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
US9645495B2 (en) Critical dimension control in photo-sensitized chemically-amplified resist
TWI662360B (zh) 藉由使用光劑之臨界尺寸控制
JP7074990B2 (ja) 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術
JP6636196B2 (ja) 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
US7767385B2 (en) Method for lithography for optimizing process conditions
EP1478978B1 (en) Self-aligned pattern formation using dual wavelengths
US20190094698A1 (en) Methods for sensitizing photoresist using flood exposures
JP7504996B2 (ja) リソグラフィ装置、パターニングシステム、及び積層構造体をパターニングする方法
KR20220046598A (ko) 확률 중심 결함 교정을 위한 방법 및 공정
TW201729013A (zh) 微影方法
KR20070109638A (ko) 반도체 소자의 패턴 형성 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200512

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200512

TRDD Decision of grant or rejection written
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210324

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210330

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20210423

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210423

R150 Certificate of patent or registration of utility model

Ref document number: 6909374

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250