TWI657314B - 藉由使用光敏化學品或光敏化學增幅型光阻劑之臨界尺寸控制 - Google Patents
藉由使用光敏化學品或光敏化學增幅型光阻劑之臨界尺寸控制 Download PDFInfo
- Publication number
- TWI657314B TWI657314B TW106115716A TW106115716A TWI657314B TW I657314 B TWI657314 B TW I657314B TW 106115716 A TW106115716 A TW 106115716A TW 106115716 A TW106115716 A TW 106115716A TW I657314 B TWI657314 B TW I657314B
- Authority
- TW
- Taiwan
- Prior art keywords
- radiation
- sensitive material
- light wavelength
- material layer
- exposure
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70425—Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
- G03F7/70466—Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2022—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
- G03F7/2024—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/038—Macromolecular compounds which are rendered insoluble or differentially wettable
- G03F7/0382—Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/039—Macromolecular compounds which are photodegradable, e.g. positive electron resists
- G03F7/0392—Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/11—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/162—Coating on a rotating support, e.g. using a whirler or a spinner
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/38—Treatment before imagewise removal, e.g. prebaking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
- G03F7/405—Treatment with inorganic or organometallic reagents after imagewise removal
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F18/00—Pattern recognition
- G06F18/20—Analysing
- G06F18/22—Matching criteria, e.g. proximity measures
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Engineering & Computer Science (AREA)
- Architecture (AREA)
- Structural Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Organic Chemistry (AREA)
- Theoretical Computer Science (AREA)
- Data Mining & Analysis (AREA)
- Life Sciences & Earth Sciences (AREA)
- Bioinformatics & Cheminformatics (AREA)
- Bioinformatics & Computational Biology (AREA)
- Computer Vision & Pattern Recognition (AREA)
- Evolutionary Biology (AREA)
- Evolutionary Computation (AREA)
- General Engineering & Computer Science (AREA)
- Artificial Intelligence (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
一種臨界尺寸控制的方法,其中一基板係加以接收,該基板具有一底
層及於其上的一輻射敏感材料層。該輻射敏感材料係透過一圖案化的遮罩曝露於在UV光譜中的一第一光波長,且第一次加以顯影。該輻射敏感材料係泛照式曝露於不同於該第一光波長的一第二光波長,且第二次加以顯影以形成一圖案。在泛照式曝光之前,該輻射敏感材料具有一第一光波長活化閾值及一第二光波長活化閾值,該第一光波長活化閾值在該輻射敏感材料層中控制酸生成至一第一酸濃度及控制在該輻射敏感材料層中光敏劑分子的產生,而該第二光波長活化閾值係不同於該第一光波長活化閾值,該第二光波長活化閾值可激發該等光敏劑分子,造成包含一第二酸濃度的酸,該第二酸濃度係大於該第一酸濃度。
Description
相關申請案的交互參照:本專利申請案主張於西元2016年5月13日申請之美國臨時專利申請案第62/335,977號的優先權,該臨時專利申請案的發明名稱為“Critical Dimension Control by Use of Photo-Sensitized Chemicals or Photo-Sensitized Chemically Amplified Resist”,其全部內容於此藉由參照納入本案揭示內容。
本發明關於圖案化臨界尺寸一致性(CDU)的控制。具體而言,本揭示內容關於將光敏化學增幅型光阻劑(PSCAR)化學品摻入光阻基質,用於較佳/替代的CDU控制方法。
PSCAR係規劃用於雙曝光處理的光阻成份,以在顯影光阻之前完全建立潛圖案。此雙曝光處理不同於習知光阻的使用,在使用習知光阻的操作中,透過遮罩的單一輻射曝光產生去保護(正型)或保護(負型)材料的區域,該區域對於後續顯影定義可溶解或不可溶解的區域。相比之下,PSCAR可包含
非化學性選擇的光曝露,接著在顯影光阻之前進行化學性選擇的光曝露。該光阻材料之第一(非化學性選擇)的曝光通常以第一輻射波長或波長範圍透過遮罩而發生。此第一曝光在光阻內產生對第二化學性選擇曝光變為敏感的區域。傳統上結合烘烤製程的第二(化學性選擇)曝光接著造成這些化學性敏感的區域改變在這些區域中PSCAR的溶解度。換句話說,產生的酸允許在保護的位置處之聚合物骨架的自催化去保護反應(通常包含烘烤),因此在後續顯影期間改變光阻的溶解度。具有期望之去保護輪廓的目標潛影像或圖案係接著完全產生,且此種光阻膜可接著顯影為凸紋圖案。使用光敏化學增幅型光阻劑的更多細節可在S.Tagawa等人發表於Journal of Photopolymer Science and Technology,Vol.26,Number 6(2013),pp.825-830的“Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist Process”的文獻中找到。
當光子密度係低時(諸如當使用EUV(極紫外線)波長進行曝光圖案化時),PSCAR的使用允許對光阻微影圖案化之較高的靈敏度。光敏劑係在初始EUV(或電子束(eBeam)、或諸如使用氪氟(KrF)、氬氟(ArF)等準分子雷射)曝光期間藉由中間體的反應加以產生。後續UV(紫外線)泛照式曝光允許光敏劑造成光酸產生劑(PAG)產生酸,其從而經由在產生的酸與保護的聚合物位置之間的自催化去保護反應改變PSCAR的溶解度。UV泛照式波長的選擇可基於一給定光敏劑的特殊特徵。選擇使光敏劑吸收度最大化且同時使PAG及前驅物相對於該光敏劑的吸收度最小化的波長(或波長範圍)通常是有益的。此最佳化幫助避免泛照式曝光在無光敏劑產生的區域中產光酸。從UV泛照式曝光吸收UV光之激發的光敏劑將接著分解靠近該光敏劑的PAG。此分解擴增在該曝光區域內的酸生成,且同時實質上保持初始EUV(或電子束、或準分
子雷射)曝光之暗區內無酸生成。此意味著避免在關於泛照式UV曝光之膜內的DC偏壓偏移。
雖然PSCAR可允許EUV光微影術及其他光子密度或電子密度係低的光微影術,但PSCAR圖案化的使用在臨界尺寸(CD)一致性上可能具有挑戰。CD一致性(CDU)可在基板的整個表面變化。舉例而言,一給定晶圓可具有在晶圓中心部分內的一CD值,且同時具有較接近晶圓邊緣的另一CD值。晶圓亦可具有基於曝光進展順序(諸如當使用步進機曝光系統時)而改變的CD。依據一給定基板的特殊區域,CD可能太大或太小,且CD變化可在整個晶圓隨機地分布,可基於徑向位置,及/或可相關於特殊的特徵部,諸如切割道的位置。
隨著工業縮小持續進行以將最小的特徵部尺寸推向越來越小的臨界尺寸,且在EUV(13.5nm)的延遲及潛在成本的情況下,該工業已尋求進一步擴展其當前ArF(193nm)浸入式(ArFi)掃描器系統的製程。在多重圖案化中,邊緣位置誤差預算(edge placement error budget)係與覆蓋層及顯影後檢查(ADI)/蝕刻後檢查(AEI)CDU強相依。更緊密地控制CDU的能力係非常有價值且重要的。
歷史上,圖案化步驟(光微影或蝕刻)的CD控制已包含三種方法的其中一者。第一種方法係藉由TEL的CD優化器(CDO),其中臨界尺寸控制係藉由在曝光後烘烤(PEB)期間的區域溫度補償。第二種方法係藉由ASML的DoseMapper(DoMa),其中臨界尺寸控制係藉由在曝光期間的場內及/或場間的劑量補償。第三種方法係藉由Lam Research的Hydra,其中臨界尺寸控制係藉由在蝕刻期間的區域溫度控制。
對於CD控制(尤其是結合PSCAR圖案化),需要替代的方法。
此揭示內容提供使圖案化CDU最小化的一替代方法,及用於控制/校正的一替代流程。在一實施例中,該方法包含接收一基板,該基板具有一底層及沉積在該底層上的一輻射敏感材料層。該方法更包含透過一圖案化的遮罩將一第一光波長曝光在該輻射敏感材料層之上,該第一光波長包含在UV光譜中的一波長;第一次顯影該經圖案曝光的輻射敏感材料層;將一第二光波長泛照式曝光該經第一次顯影的輻射敏感材料層,該第二光波長包含不同於該第一光波長的一波長;及第二次顯影該經泛照式曝光的輻射敏感材料層,以形成一輻射敏感材料圖案,在該泛照式曝光的步驟之前,該輻射敏感材料包含一第一光波長活化閾值及一第二光波長活化閾值,該第一光波長活化閾值在該輻射敏感材料層中控制酸生成至一第一酸濃度及控制在該輻射敏感材料層中光敏劑分子的產生,而該第二光波長活化閾值可激發在該輻射敏感材料層中的該等光敏劑分子,造成包含一第二酸濃度的酸,該第二酸濃度係大於該第一酸濃度,該第二光波長活化閾值係不同於該第一光波長活化閾值。該輻射敏感材料圖案包含:在該第二次顯影步驟之後,在整個該基板或一部分的該基板之經校正的或細窄化的臨界尺寸(CD)。
當然,為了清楚起見,呈現如此處描述之不同步驟的討論順序。通常,這些步驟可以任何適當的順序加以執行。此外,雖然此處各個不同的特徵、技術、配置等可在本揭示內容的不同地方加以討論,但各個概念可彼此獨立或彼此結合而加以實行。因此,本發明可以許多不同的方式體現及審視。
注意此發明內容章節未明確指出本揭示內容或所請發明的各個實施例及/或增加的新穎實施態樣。取而代之的是,此發明內容僅提供不同實施例的初步討論,及優於習知技術的新穎性對應點。對於本發明及實施例的額外細節及/或可能的看法,讀者可參照下方進一步討論的實施方式章節及本揭示內容之相對應的圖示。
100‧‧‧圖形
110‧‧‧酸濃度剖面圖
120‧‧‧光敏劑濃度剖面圖
130‧‧‧圖形
140‧‧‧酸濃度剖面圖
150‧‧‧光敏劑(PS)濃度剖面圖
200‧‧‧圖
400‧‧‧流程圖
500‧‧‧分支
600‧‧‧分支
本發明更完整的理解及伴隨其中的許多優點,參照以下詳細說明,特別是結合隨附圖式考量時,將更容易理解,其中:圖1A係描繪在第一圖案化曝光後之酸及光敏劑濃度的圖;圖1B係描繪在第二泛照式曝光後之酸及光敏劑濃度的圖;圖2係描繪UV光及光敏劑之選擇性吸收的圖;及圖3係描繪根據本案實施例之臨界尺寸控制之製程的流程圖。
本發明的第一實施例提出使用PSCAR化學品取代用於多圖案化光阻材料的傳統CAR化學品。PSCAR包含具有分離之活化能力的光阻,該分離的活化能力允許光阻內之化學品的產生發生在不同的時間及在不同的製程條件下。如圖1A的圖形100所示,PSCAR化學品在依循產生的初始酸濃度剖面圖110的初次曝光期間(或在初次及二次曝光之間的時間期間)產生背景光敏劑(PS)濃度剖面圖120。初次曝光波長可為但不限於EUV(13.5nm)、ArF(193nm)(乾式或浸潤式)、及/或KrF(248nm)。一些酸可在初次曝光期間例如從在
PSCAR內部的PAG加以形成以形成酸濃度剖面圖110,雖然在其他實施例中沒有酸係加以形成。二次曝光波長(UV泛照式)係在一替代的波長,通常試圖避開在光阻基質內之其他成分的吸收,且係因此通常需要>300nm,例如可使用365nm。由於初次曝光產生的PS可接著用以,藉由擴增分解PAG為在後續處理中的酸而改變產生的酸。圖1B分別顯示在二次曝光之後之光敏劑(PS)濃度及酸濃度剖面圖150及140的圖形130。
參照圖2的圖200,來自UV泛照式曝光在二次曝光波長之激發的光敏劑,將分解在該激發的光敏劑附近的PAG,以在曝光區域內得到酸產生的擴增,且同時基本上在原本曝光的暗區(未曝光)中保持沒有酸生成(意味著沒有在關於泛照式UV曝光的所有膜之顯著DC偏壓偏移)。藉由僅增加在曝光區域中的酸,在暗區中維持幾乎沒有酸生成。因為去保護的程度係直接相關於可用於將聚合物去保護的酸濃度,所以增加側向特徵部邊緣中之酸的濃度將增加在側向特徵部邊緣處的去保護,且同時在特徵部的中心維持保護的狀態(例如在線及空間的情況下)。
在一實施例中,光阻成分係加以產生(或加以選擇),以當光阻(作為膜)係曝露於第一波長範圍的光時產生光敏劑。第二波長範圍的光係接著用以在不使用熱擴散的情況下擴增酸反應。該第一及第二波長範圍可或可不重疊。然而,在一實施例中,該分離的活化能力可在光敏劑與光酸產生劑之間重疊,使得相對小量的酸可在第一曝光期間加以產生,如圖1A所示。此相對小量的酸可為例如小於在第二曝光期間可產生之酸量的一半。選用性地,光阻可加以最佳化,以當光阻係曝露於第一波長範圍的光時使酸的反應速率或可產生之酸的量最小化。酸生成亦可藉由將光通過圖案化的遮罩而加以最小化,該圖
案化的遮罩將在光的第一曝光期間可能與光阻相交之光的量降低。在後續的泛照式曝光步驟中,光阻膜係再次曝光。此後續的曝光可包含誘發或擴增在光阻膜內之酸濃度的第二波長範圍。作為一個非限制性的例子,該第一波長範圍可小於300nm且該第二波長範圍可大於300nm。
在一習知光阻圖案化製程中,第一光曝露在曝光位置活化PAG,使得光酸係在那些位置加以產生。該光酸可接著藉由在產生的酸與保護的聚合物位置之間的自催化去保護反應,改變光阻膜的溶解度。相比之下,在使用PSCAR膜的情況下,基於遮罩之光的圖案曝光(其相較於習知製程係以較低的功率),主要產生光敏劑及與習知製程相比更小量的酸。光敏劑可藉由光敏劑產生化合物加以生成或產生,該光敏劑產生化合物係光敏劑的前驅物,該光敏劑產生化合物與附近的酸分子反應以將光敏劑產生分子改變為光敏劑。相對於習知製程的功率,此初始之基於遮罩的曝光可被視為低功率的曝光。隨後,高功率UV泛照式曝光係加以執行,相對於基於光罩的曝光產生的酸,該高功率UV泛照式曝光產生極大量的酸。此處泛照式曝光意指無任何光罩或圖案化機構的光曝露,使得基板的所有部分接收約等量的輻射。
此處實施例可包括包含一或多種光敏劑產生化合物的光阻成分。此種化合物藉由在光阻層或成分內產生光敏劑分子而響應特定光波長活化閾值。光敏劑分子可吸收光能且將光能轉移至另一分子,諸如PAG。此能量轉移可從而活化該接收能量的分子。在接收轉移能量的PAG之情況下,該PAG可接著產生酸。一些光敏劑化合物可在基態中轉移能量,而其他可在激發態中進行轉移。示例的光敏劑產生化合物包含但不限於苯乙酮、聯伸三苯、二苯甲酮、芴酮(fluorenone)、蒽醌、菲、或其衍生物。
此處光阻成份亦可包含PAG化合物,該PAG化合物回應控制光阻層中之酸生成的第二光波長活化閾值。第二活化波長可不同於第一活化波長。PAG可為將吸收的光能轉換為化學能(例如酸性反應)的陽離子型光引發劑。該PAG化合物可包含但不限於三苯鋶三氟甲磺酸鹽、三苯鋶全氟丁磺酸鹽、三苯鋶全氟辛基磺酸鹽、三芳基鋶三氟甲磺酸鹽、三芳基鋶全氟丁磺酸鹽、三芳基鋶全氟辛基磺酸鹽、三苯鋶鹽、三芳基鋶鹽、三芳基鋶六氟銻酸鹽、N-羥基萘二甲醯亞胺三氟甲磺酸鹽、1,1-雙[對-氯苯基]-2,2,2-三氯乙烷(DDT)、1,1-雙[對-甲氧苯基]-2,2,2-三氯乙烷、1,2,5,6,9,10-六溴環十二烷、1,10-二溴癸烷、1,1-雙[對-氯苯基]2,2-二氯乙烷、4,4-二氯-2-(三氯甲基)二苯甲醇、1,1-雙(氯苯基)2,2,2-三氯乙醇、六氯二甲基碸、2-氯-6-(三氯甲基)吡啶、或其衍生物。
此處實施例亦可包含可改變酸濃度及/或光敏劑濃度的光活化劑(photoactive agent)。該光活化劑可包含第二PAG、或光破壞性鹼(亦稱為光分解鹼)。光破壞性鹼可包含在曝光區域內分解的一個以上鹼性化合物,此允許可在非曝光區域內中和光活化酸之較高的總鹼負載。光破壞性鹼因此包含可提供此一般鹼負載效應的化合物。非分解的鹼將使一或多種光酸變性,使得這些光酸係不再光敏感,或不再對輻射敏感。常見的光阻成份典型包含某些類型的鹼負載以幫助增加對比度而造成清晰的線。此鹼負載典型用以均勻地調整酸濃度以提供均勻的輪廓(移除梯度曝露)。如此處所揭示,藉由添加更多的鹼至一給定的光阻膜,一給定的酸濃度可加以減少。同樣地,一給定的酸濃度可藉由選擇性地添加酸性化合物而加以增加。
在一實施例中,光敏劑及/或光敏劑產生劑係從一開始在光阻基質內,但此並不排除其在第一顯影步驟之後經由旋塗製程溶解並包含於溶液中,
類似於用於細窄化的酸潤洗製程,且接著後續藉由UV泛照式曝光製程(在二次曝光波長)加以曝光、烘烤及顯影。
在另一實施例中,晶圓內(WIW)CD分布可為解卷積(de-convolved)成徑向及傾斜分量。在一實施例中,徑向特徵可在UV泛照式曝光期間在光源下藉由利用旋轉及平移基板的而加以調整。此硬體概念允許許多路徑改變WIW遞送的徑向劑量特徵,以改變最終WIW CDU特徵。表1係說明改變在晶圓之內遞送的徑向劑量特徵及改變最終臨界尺寸均勻性特徵之各種路徑的表。對於此實施例,如表1所示,徑向劑量改變可包含例如以下之變數設定:旋轉、掃描速率、功率設定、光源工作距離、孔徑的使用、焦點位置變化、光源區域控制等及其任何組合。
在又另一實施例中,遞送至晶圓的UV泛照式光能量(在二次曝光波長)可藉由使用具有獨立可定址投影點之陣列的數位像素基礎投射系統加以控制,該投影的圖案係基於空間上以結構的CD值為特徵的CD特徵。該數位像素基礎投射系統可體現為數位光處理(DLP)晶片、柵狀光閥(GLV)、用於引導光束之安裝電流計及受電流計驅動的鏡子(「電流鏡(galvo-mirror)」)、或任何其他微投影及/或掃描技術(「其他」),並具有一光源可將圖像或圖案
(選用性地使用透鏡)聚焦在晶圓之上,且校正或調整臨界尺寸的不一致性。該數位像素基礎投射系統在歷史上已具有在低於300nm波長的可靠性問題(來自投影系統之內的吸收問題)。雖然有多種方法設計在較低波長的操作,但在365nm係較佳曝光波長之PS材料的吸光度允許潛在更可靠的操作。相較於可光解存在於大多數EUV/ArF/KrF光阻系統內的PAG之波長(給定歷史PAG吸收曲線),365nm的波長係顯著較高的波長。考慮到這一點,PSCAR化學品/方法的使用及後續365nm泛照式曝光波長的使用,潛在地具有較高投影系統壽命及可靠性的優點。
在進一步的實施例中,藉由旋轉/平移方法的UV泛照式曝光(在二次曝光波長)可與數位像素基礎投射系統(在二次曝光波長)加以組合,以校正CD不一致性。考慮到PSCAR化學品的劑量需求及數位像素基礎投射系統的劑量限制,可能需要此種技術的組合。旋轉/平移泛照式硬體可遞送大部分所需的二次曝光波長(例如97%),且數位像素基礎投射系統可接著遞送CD不一致性最小化所需的其餘劑量(例如整個晶圓0~3%)。
UV泛照式曝光之子製程流程的具體示例包含但不限於:
子流程A:300-400nm DLP或GLV或「其他」→泛照式烘烤
子流程B:300-400nm旋轉/平移→泛照式烘烤
子流程C:300-400nm DLP或GLV或「其他」→300-400nm旋轉/平移→泛照式烘烤
子流程D:300-400nm旋轉/平移→300-400nm DLP或GLV或「其他」→泛照式烘烤
子流程E:300-400nm電流鏡→泛照式烘烤
子流程F:300-400nm電流鏡→300-400nm旋轉/平移→泛照式烘烤
子流程G:300-400nm旋轉/平移→300-400nm電流鏡→泛照式烘烤子流程A-G的任何其他組合可加以使用。在300-400nm範圍內的波長可為365nm。
對於所有實施例,使CDU改善最大化的能力需要使CD分布加以偏移,使得整個晶圓之大部分的CD係在標稱尺寸所需的一側或另一側上(取決於顯影類型),使得二次曝光具有校正晶圓所有區域的能力。因此,當包含二次PSCAR泛照式製程時,偏移至使初次曝光劑量稍徵不足可能是需要的。換句話說,對於使用兩個曝光步驟的PSCAR製程,第一曝光步驟的曝光劑量相對於使用單一曝光步驟之CAR製程中的曝光劑量係加以降低。
本文實施例提供幾種製程控制方案及晶圓序列選項。關於晶圓序列選項,如圖3的流程圖400所示,二次曝光(及二次曝光後烘烤)可發生在初次曝光之後但在任何顯影(DEV)製程之前,如流程圖400的分支600所示,或者,其可在初次曝光/PEB/DEV製程序列之後發生,如流程圖400的分支500所示。在兩個序列中,製程始於410,進行晶圓的初始處理。在420,薄膜係加以添加,其可被稱作為底層,圖案係被轉移進入該底層。在430,輻射敏感材料(例如光阻)的塗層係在薄膜上加以塗佈。在440,微影製程係在該輻射敏感材料塗層上加以執行。更具體而言,該輻射敏感材料塗層係通過遮罩曝露於在UV光譜中的第一光波長(λ)以產生圖案化曝光。在450,曝光後烘烤(PEB)係加以執行。
在450之後,製程可根據一實施例繼續進行,如流程圖400的分支500所示。在510,圖案曝光的輻射敏感材料塗層係經歷第一顯影製程(1ST
DEV)。選用性地,在520,顯影的輻射敏感材料塗層係塗佈光敏劑(PS)產生化合物或PS化合物,以將PS產生化合物或PS化合物包含進該輻射敏感材料塗層中。舉例而言,旋塗可用以塗佈PS產生化合物或PS化合物。在塗佈PS產生化合物的情況下,在圖案曝光之輻射敏感材料塗層中存在的酸可接著與所包含的PS產生化合物反應。或者,步驟520可加以省略,而當PS產生化合物係在430中在薄膜上加以塗佈時,該PS產生化合物可為輻射敏感材料塗層的一成分,該PS產生化合物係稍後加以反應以產生PS。
在540,一個以上泛照式製程係加以執行以將第一次顯影的輻射敏感材料塗層泛照式曝露於與第一光波長(λ)不同的第二光波長(λ)。泛照式製程可例如包含上述子流程A-G的任一者,該泛照式製程包含泛照式烘烤。在泛照式製程(在540處)之前及在1ST DEV(在510處)之後,顯影後檢查(ADI)可選用性地加以執行(在530處),作為前饋(FF)控制策略的一部分。具體而言,540中之泛照式曝光或泛照式烘烤的製程參數,可基於自檢查初次顯影的輻射敏感材料塗層獲得的臨界尺寸特徵而加以改變,如分支500的FF箭頭所示。
在泛照式製程之後,第二顯影製程(2ND DEV)係在550加以執行。在570,底層薄膜係使用圖案化的輻射敏感材料塗層作為遮罩加以蝕刻。在590,晶圓的處理繼續進行下一製程。新的晶圓可接著根據流程圖400及分支500加以處理。
選用性地,流程圖400的分支500可包含在560處的ADI及/或在580處的蝕刻後檢查(AEI),其中晶圓係分別在550處的2ND DEV之後及/或在570處的蝕刻之後加以檢查,作為反饋(FB)控制策略的一部分。具體而言,540中之泛照式曝光或泛照式烘烤的製程參數,可基於自檢查第二次顯影的輻射敏
感材料塗層及/或蝕刻的底層薄膜層而獲得的臨界尺寸特徵,針對加以處理的下一晶圓而加以改變,如分支500中的FB箭頭所示。
在450之後,流程圖400的製程可根據如分支600所示的替代實施例繼續進行。在610,一個以上泛照式製程係加以執行以將圖案曝露的輻射敏感材料塗層泛照式曝露於與第一光波長(λ)不同的第二光波長(λ)。如同540,泛照式製程610可包含上述子流程A-G的任一者。
在泛照式製程之後,顯影製程(DEV)係在620加以執行,其係此製程流程唯一的DEV步驟。在640,底層薄膜係使用圖案化的輻射敏感材料塗層作為遮罩加以蝕刻。在660,晶圓的處理繼續進行下一製程。新的晶圓可接著根據流程圖400及分支600加以處理。
選用性地,流程圖400的分支600可包含在630處的ADI及/或在650處的AEI,其中晶圓係分別在620處的DEV之後及/或在640處的蝕刻之後加以檢查,作為FB控制策略的一部分。具體而言,610中之泛照式曝光或泛照式烘烤的製程參數,可基於自檢查顯影的輻射敏感材料塗層及/或蝕刻的底層薄膜層而獲得的臨界尺寸特徵,針對加以處理的下一晶圓而加以改變,如分支600中的FB箭頭所示。
在540或610的泛照式曝光之前,輻射敏感材料塗層包含第一光波長活化閾值及第二光波長活化閾值,該第一光波長活化閾值在輻射敏感材料中控制酸生成至第一酸濃度,及控制在輻射敏感材料中光敏劑分子的產生,該第二光波長活化閾值可激發在輻射敏感材料中的光敏劑分子,該輻射敏感材料造成包含第二酸濃度的酸,該第二酸濃度係大於第一酸濃度,該第二光波長活化閾值係不同於該第一光波長活化閾值。在一實施例中,第一光波長(在440中)
係在第一光波長活化閾值或高於第一光波長活化閾值且低於第二光波長活化閾值,而第二光波長(在540或610)係在第二光波長活化閾值或高於第二光波長活化閾值。
關於製程控制方案,有可獨立或彼此結合使用的若干反饋(FB)或前饋(FF)控制方案,如上述參照流程圖400所討論。平均的蝕刻後檢查(AEI)結果可加以反饋以促進批次級平均功率設定及/或WIW功率特徵調整,以校正使用AEI所觀察的波動。波動的來源可為蝕刻製程、微影製程及其他處理步驟所固有。同樣地,平均的顯影後檢查(ADI)結果可加以反饋以促進批次級平均功率設定及/或WIW功率特徵調整,以校正使用ADI觀察到的波動。在具有2個顯影步驟(例如510及550)的情況下,ADI結果可從任一ADI步驟(例如530及560)加以使用,且加以反饋用於下一晶圓製程控制。特別在1ST DEV(例如510)之後但在泛照式製程(例如540)及2ND DEV(例如550)之前執行ADI步驟時,可允許在晶圓級的前饋控制策略。已知的ADI CD映射可前饋進入泛照式控制器,以擴增泛照式製程(在晶圓內局部遞送的劑量),特別是對於在ADI較緊密之最終CD分布的晶圓。所使用的任何上述控制方案本身或其結合,可能導致較緊密的圖案化CD控制。
這些概念提供實現最小化之ADI/AEI CDU的替代方式。CDU控制在這裡係主要由UV泛照式劑量控制模組加以控制。
使用針對圖案化CDU控制的此修改方法,WIW控制方案亦可更能實現;其可利用實際的WIW訊息以前饋至二次曝光,以允許更緊密的圖案化CD控制。
除了用於臨界尺寸(CD)校正(即,光微影後目標平均值周圍之CDU校正)的這些方法之外,利用較高泛照式劑量的相同方法可用於細窄化/縮小整個基板或一部分基板的臨界尺寸(CD)。隨著工業縮小持續進行以將最小的特徵部尺寸需求推向越來越小的CD,且隨著EUV(13.5nm)的延遲及潛在的高成本,該工業已尋求進一步擴展其目前的193nm浸潤式(ArFi)掃描器系統基礎設施/專業知識的製程。接近分辨率限制(例如~40nm的線及空間)光阻特徵之傳統光微影後ArFi的縮小/細窄化係一這樣的擴展。在受控的濕製程中將孔洞或溝槽縮小及/或將線細窄化或將線修整10、20、30奈米的能力在目前及未來的應用有:(1)單一圖案化,諸如邏輯設計,其中閘極層具有呈稍微較不急遽(aggressive)的節距之非常小的特徵部,及(2)雙重圖案化/多圖案化方案,諸如在微影-蝕刻-微影-蝕刻(LELE)或微影-蝕刻重複「n」次(LEn)、微影-微影-蝕刻(LLE)、及側壁間隔層的前驅物。
各種技術,為了有助於理解各種實施例,以多個分立操作加以描述。描述的順序不應被解釋為暗示這些操作係必然順序相依。的確,這些操作不需以陳述的順序加以執行。所述操作可以不同於所述實施例的順序加以執行。在額外的實施例中,各種額外的操作可加以執行及/或所述操作可加以省略。
如此處使用的「基板」泛指根據本發明所處理的物件。基板可包含元件(尤其是半導體或其他電子元件)的任何材料部分或結構,及例如可為基底基板結構,諸如半導體晶圓、或基底基板結構之上或覆蓋基底基板結構的一層(諸如薄膜)。因此,基板係非限於任何特定的基底結構、底層或覆蓋層、圖案化或未圖案化,而是,係設想以包含任何這樣的層或基底結構,及層及/或
基底結構的任何組合。此描述可論及特殊的基板類型,但此係僅用於說明之目的。
雖然本發明已藉由其一個以上實施例的描述加以說明,且雖然該等實施例已相當詳細地加以描述,其係非意圖限制或以任何方式將隨附申請專利範圍之範疇限於此等細節。在此技術領域具有通常知識者將容易看出額外的優點及改良。因此,在廣泛實施態樣中的本發明係不限於具體細節、所表示的設備及方法,以及顯示和描述的說明性示例。因此,在不脫離本發明整體概念之範圍的情況下,可自這些細節加以偏離。
Claims (20)
- 一種方法,包含: 接收一基板,該基板包含: 一底層,及 一輻射敏感材料層,沉積在該底層上; 透過一圖案化的遮罩將一第一光波長曝光在該輻射敏感材料層之上,該第一光波長包含在UV光譜中的一波長; 第一次顯影該經圖案曝光的輻射敏感材料層; 將一第二光波長泛照式曝光該經第一次顯影的輻射敏感材料層,該第二光波長包含不同於該第一光波長的一波長;及 第二次顯影該經泛照式曝光的輻射敏感材料層,以形成一輻射敏感材料圖案, 其中,在該泛照式曝光步驟之前,該輻射敏感材料層包含: 一第一光波長活化閾值,該第一光波長活化閾值在該輻射敏感材料層中控制酸生成至一第一酸濃度及控制在該輻射敏感材料層中光敏劑分子的產生,及 一第二光波長活化閾值,該第二光波長活化閾值可激發在該輻射敏感材料層中的該等光敏劑分子,造成包含一第二酸濃度的酸,該第二酸濃度係大於該第一酸濃度,該第二光波長活化閾值係不同於該第一光波長活化閾值,且 其中,該輻射敏感材料圖案包含:在該第二次顯影步驟之後,在整個該基板或一部分的該基板之經校正的或細窄化的臨界尺寸(CD)。
- 如申請專利範圍第1項之方法,其中,該輻射敏感材料層包含一光敏劑產生化合物,其沉積在該基板上作為該輻射敏感材料層的一成份。
- 如申請專利範圍第1項之方法,更包含: 在該第一次顯影步驟之後及在該泛照式曝光之前,將一光敏劑產生化合物塗佈在該輻射敏感材料層之上,以將該光敏劑產生化合物包含進該輻射敏感材料層中,以與在該輻射敏感材料層中存在的酸反應以產生光敏劑分子。
- 如申請專利範圍第1項之方法,更包含: 在該第一次顯影步驟之後及在該泛照式曝光之前,將一光敏劑化合物塗佈在該輻射敏感材料層之上,以將該光敏劑化合物包含進該輻射敏感材料層中。
- 如申請專利範圍第4項之方法,其中,塗佈該光敏劑化合物的步驟包含旋塗。
- 如申請專利範圍第1項之方法,其中,該第一光波長係在該第一光波長活化閾值以上且低於該第二光波長活化閾值,而該第二光波長係在該第二光波長活化閾值以上。
- 如申請專利範圍第1項之方法,其中,該第一光波長係13.5 nm、193 nm或248 nm。
- 如申請專利範圍第1項之方法,其中,該第二光波長係在UV光譜中。
- 如申請專利範圍第1項之方法,其中,該第二光波長係在300和400 nm之間。
- 如申請專利範圍第1項之方法,其中,該第二光波長係365 nm。
- 如申請專利範圍第1項之方法,其中,該泛照式曝光步驟包含使用一像素基礎投射系統將該輻射敏感材料層曝露於該第二光波長。
- 如申請專利範圍第1項之方法,其中,該泛照式曝光步驟包含將該輻射敏感材料層曝露於藉由一安裝電流計的鏡子引導之該第二光波長。
- 如申請專利範圍第1項之方法,其中,該泛照式曝光該輻射敏感材料層的步驟包含:在於該第二光波長的一光源下將該基板平移或旋轉基板、或平移且旋轉。
- 如申請專利範圍第1項之方法,其中,該輻射敏感材料層曝露於該第一光波長的曝光劑量,相對於包含一圖案化曝光而沒有一後續泛照式曝光的製程係加以減少,從而允許在後續製程步驟中在整個該基板的臨界尺寸(CD)校正。
- 如申請專利範圍第1項之方法,更包含: 在該第一次顯影步驟之後、或在該第二次顯影步驟之後、或在兩者之後檢查該輻射敏感材料層。
- 如申請專利範圍第15項之方法,更包含: 基於自檢查在該第一次顯影步驟之後的該輻射敏感材料層獲得的一臨界尺寸特徵,改變該泛照式曝光步驟、或在該泛照式曝光步驟之後的一烘烤步驟、或兩者的製程參數。
- 如申請專利範圍第15項之方法,更包含: 基於自檢查在該第二次顯影步驟之後的該輻射敏感材料層獲得之一臨界尺寸特徵,針對下一晶圓,改變該泛照式曝光步驟、或在該泛照式曝光步驟之後的一烘烤步驟、或兩者的製程參數。
- 如申請專利範圍第1項之方法,更包含: 使用該輻射敏感材料圖案作為一遮罩蝕刻該底層。
- 如申請專利範圍第18項之方法,更包含: 檢查該經蝕刻的底層。
- 如申請專利範圍第19項之方法,更包含: 基於自檢查該經蝕刻的底層獲得之一臨界尺寸特徵,針對下一晶圓,改變該泛照式曝光步驟、或在該泛照式曝光步驟之後的一烘烤步驟、或兩者的製程參數。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662335977P | 2016-05-13 | 2016-05-13 | |
US62/335,977 | 2016-05-13 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201809881A TW201809881A (zh) | 2018-03-16 |
TWI657314B true TWI657314B (zh) | 2019-04-21 |
Family
ID=58745488
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106115716A TWI657314B (zh) | 2016-05-13 | 2017-05-12 | 藉由使用光敏化學品或光敏化學增幅型光阻劑之臨界尺寸控制 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10551743B2 (zh) |
JP (1) | JP6909374B2 (zh) |
KR (1) | KR102475021B1 (zh) |
CN (1) | CN109313394B (zh) |
TW (1) | TWI657314B (zh) |
WO (1) | WO2017197279A1 (zh) |
Families Citing this family (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI575566B (zh) * | 2014-02-24 | 2017-03-21 | 東京威力科創股份有限公司 | 與光敏化化學放大光阻化學品及程序一起使用的方法及技術 |
US10429745B2 (en) | 2016-02-19 | 2019-10-01 | Osaka University | Photo-sensitized chemically amplified resist (PS-CAR) simulation |
US10048594B2 (en) | 2016-02-19 | 2018-08-14 | Tokyo Electron Limited | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
CN109313395B (zh) | 2016-05-13 | 2021-05-14 | 东京毅力科创株式会社 | 通过使用光剂来进行的临界尺寸控制 |
US10551743B2 (en) | 2016-05-13 | 2020-02-04 | Tokyo Electron Limited | Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist |
DE102016221261A1 (de) * | 2016-10-28 | 2018-05-03 | Carl Zeiss Smt Gmbh | Verfahren zur mikrolithographischen Herstellung mikrostrukturierter Bauelemente |
WO2019183056A1 (en) * | 2018-03-19 | 2019-09-26 | Tokyo Electron Limited | Method for correcting critical dimensions using calibrated trim dosing |
US11796919B2 (en) * | 2018-06-14 | 2023-10-24 | Osaka University | Resist pattern formation method |
KR20220046598A (ko) * | 2019-08-16 | 2022-04-14 | 도쿄엘렉트론가부시키가이샤 | 확률 중심 결함 교정을 위한 방법 및 공정 |
US11747733B2 (en) * | 2021-01-08 | 2023-09-05 | Tokyo Electron Limited | Freeze-less methods for self-aligned double patterning |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201541194A (zh) * | 2014-02-25 | 2015-11-01 | Tokyo Electron Ltd | 用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術 |
Family Cites Families (98)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4402571A (en) | 1981-02-17 | 1983-09-06 | Polaroid Corporation | Method for producing a surface relief pattern |
US4609615A (en) | 1983-03-31 | 1986-09-02 | Oki Electric Industry Co., Ltd. | Process for forming pattern with negative resist using quinone diazide compound |
EP0203215B1 (de) | 1985-05-29 | 1990-02-21 | Ibm Deutschland Gmbh | Verfahren zur Reparatur von Transmissionsmasken |
US4931380A (en) | 1985-07-18 | 1990-06-05 | Microsi, Inc. | Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist |
JPH0654390B2 (ja) | 1986-07-18 | 1994-07-20 | 東京応化工業株式会社 | 高耐熱性ポジ型ホトレジスト組成物 |
JPH0740543B2 (ja) | 1987-02-17 | 1995-05-01 | 松下電子工業株式会社 | 半導体装置の製造方法 |
JPH04239116A (ja) | 1991-01-14 | 1992-08-27 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2723405B2 (ja) | 1991-11-12 | 1998-03-09 | 松下電器産業株式会社 | 微細電極の形成方法 |
US5294680A (en) | 1992-07-24 | 1994-03-15 | International Business Machines Corporation | Polymeric dyes for antireflective coatings |
JP3158710B2 (ja) | 1992-09-16 | 2001-04-23 | 日本ゼオン株式会社 | 化学増幅レジストパターンの形成方法 |
US5534970A (en) | 1993-06-11 | 1996-07-09 | Nikon Corporation | Scanning exposure apparatus |
TW276353B (zh) | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
JP3288884B2 (ja) * | 1995-03-13 | 2002-06-04 | 株式会社東芝 | レジストパターン形成方法 |
JPH0990621A (ja) | 1995-09-21 | 1997-04-04 | Canon Inc | レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法 |
JP2910654B2 (ja) | 1996-01-30 | 1999-06-23 | 日本電気株式会社 | レジストパターン形成方法 |
JP2867964B2 (ja) | 1996-06-27 | 1999-03-10 | 日本電気株式会社 | レジスト膜パターンの形成方法 |
US5905019A (en) | 1997-09-26 | 1999-05-18 | International Business Machines Corporation | Thin resist process by sub-threshold exposure |
JPH11237737A (ja) | 1997-12-19 | 1999-08-31 | Kansai Shingijutsu Kenkyusho:Kk | 感光性樹脂組成物およびその製造方法 |
US6180320B1 (en) * | 1998-03-09 | 2001-01-30 | Mitsubishi Denki Kabushiki Kaisha | Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby |
US6245492B1 (en) | 1998-08-13 | 2001-06-12 | International Business Machines Corporation | Photoresist system and process for aerial image enhancement |
JP2000208408A (ja) | 1999-01-19 | 2000-07-28 | Nec Corp | 化学増幅系レジストのパタ―ン形成方法 |
JP4557328B2 (ja) | 1999-02-01 | 2010-10-06 | 富士フイルム株式会社 | ポジ型フォトレジスト組成物 |
US6824879B2 (en) | 1999-06-10 | 2004-11-30 | Honeywell International Inc. | Spin-on-glass anti-reflective coatings for photolithography |
US6582891B1 (en) | 1999-12-02 | 2003-06-24 | Axcelis Technologies, Inc. | Process for reducing edge roughness in patterned photoresist |
JP2002006512A (ja) | 2000-06-20 | 2002-01-09 | Mitsubishi Electric Corp | 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法 |
WO2001098838A2 (en) | 2000-06-22 | 2001-12-27 | Koninklijke Philips Electronics N.V. | Method of forming optical images, mask for use in this method, method of manufacturing a device using this method, and apparatus for carrying out this method |
US6548219B2 (en) | 2001-01-26 | 2003-04-15 | International Business Machines Corporation | Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions |
US6555479B1 (en) | 2001-06-11 | 2003-04-29 | Advanced Micro Devices, Inc. | Method for forming openings for conductive interconnects |
WO2003001297A2 (en) | 2001-06-26 | 2003-01-03 | Kla-Tencor Corporation | Method for determining lithographic focus and exposure |
US7136796B2 (en) | 2002-02-28 | 2006-11-14 | Timbre Technologies, Inc. | Generation and use of integrated circuit profile-based simulation information |
JP3711083B2 (ja) * | 2002-04-12 | 2005-10-26 | 株式会社東芝 | パターン形成方法 |
JP4410977B2 (ja) | 2002-07-09 | 2010-02-10 | 富士通株式会社 | 化学増幅レジスト材料及びそれを用いたパターニング方法 |
US6900001B2 (en) | 2003-01-31 | 2005-05-31 | Applied Materials, Inc. | Method for modifying resist images by electron beam exposure |
US6968253B2 (en) | 2003-05-07 | 2005-11-22 | Kla-Tencor Technologies Corp. | Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process |
SG115693A1 (en) | 2003-05-21 | 2005-10-28 | Asml Netherlands Bv | Method for coating a substrate for euv lithography and substrate with photoresist layer |
US7186486B2 (en) | 2003-08-04 | 2007-03-06 | Micronic Laser Systems Ab | Method to pattern a substrate |
TWI471900B (zh) | 2004-02-20 | 2015-02-01 | 尼康股份有限公司 | Exposure method, exposure apparatus, exposure system, and device manufacturing method |
US20050214674A1 (en) | 2004-03-25 | 2005-09-29 | Yu Sui | Positive-working photoimageable bottom antireflective coating |
JP4481723B2 (ja) | 2004-05-25 | 2010-06-16 | 株式会社東芝 | 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム |
JP4524154B2 (ja) | 2004-08-18 | 2010-08-11 | 富士フイルム株式会社 | 化学増幅型レジスト組成物及びそれを用いたパターン形成方法 |
US20060269879A1 (en) | 2005-05-24 | 2006-11-30 | Infineon Technologies Ag | Method and apparatus for a post exposure bake of a resist |
JP2007033882A (ja) * | 2005-07-27 | 2007-02-08 | Hitachi Via Mechanics Ltd | 露光装置及び露光方法並びに配線基板の製造方法 |
US7488933B2 (en) | 2005-08-05 | 2009-02-10 | Brion Technologies, Inc. | Method for lithography model calibration |
KR100703007B1 (ko) | 2005-11-17 | 2007-04-06 | 삼성전자주식회사 | 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법 |
US20070275330A1 (en) | 2006-05-25 | 2007-11-29 | International Business Machines Corporation | Bottom anti-reflective coating |
JP2007334036A (ja) | 2006-06-15 | 2007-12-27 | Sekisui Chem Co Ltd | 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ |
US7687205B2 (en) | 2006-06-15 | 2010-03-30 | The Boeing Company | Photolithographic method and apparatus employing a polychromatic mask |
DE102006053074B4 (de) | 2006-11-10 | 2012-03-29 | Qimonda Ag | Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung |
JP4678383B2 (ja) | 2007-03-29 | 2011-04-27 | 信越化学工業株式会社 | 化学増幅ネガ型レジスト組成物及びパターン形成方法 |
US20090096106A1 (en) | 2007-10-12 | 2009-04-16 | Air Products And Chemicals, Inc. | Antireflective coatings |
US8088548B2 (en) | 2007-10-23 | 2012-01-03 | Az Electronic Materials Usa Corp. | Bottom antireflective coating compositions |
JP4961324B2 (ja) | 2007-10-26 | 2012-06-27 | 富士フイルム株式会社 | 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法 |
KR101585992B1 (ko) | 2007-12-20 | 2016-01-19 | 삼성전자주식회사 | 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법 |
US20090214985A1 (en) | 2008-02-27 | 2009-08-27 | Tokyo Electron Limited | Method for reducing surface defects on patterned resist features |
US20090274974A1 (en) | 2008-04-30 | 2009-11-05 | David Abdallah | Spin-on graded k silicon antireflective coating |
US7966582B2 (en) | 2008-05-23 | 2011-06-21 | Synopsys, Inc. | Method and apparatus for modeling long-range EUVL flare |
EP2288599A1 (en) | 2008-06-12 | 2011-03-02 | Basf Se | Sulfonium derivatives and the use thereof as latent acids |
NL2003654A (en) | 2008-11-06 | 2010-05-10 | Brion Tech Inc | Methods and system for lithography calibration. |
US8455176B2 (en) | 2008-11-12 | 2013-06-04 | Az Electronic Materials Usa Corp. | Coating composition |
CN102395925B (zh) | 2009-02-19 | 2015-06-03 | 布鲁尔科技公司 | 可溶于显影剂的酸敏性底部减反射涂料 |
DE102009015717B4 (de) | 2009-03-31 | 2012-12-13 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage |
US8568964B2 (en) | 2009-04-27 | 2013-10-29 | Tokyo Electron Limited | Flood exposure process for dual tone development in lithographic applications |
JP5011345B2 (ja) | 2009-05-15 | 2012-08-29 | 東京エレクトロン株式会社 | レジストパターンのスリミング処理方法 |
KR20120058572A (ko) | 2009-08-24 | 2012-06-07 | 에이에스엠엘 네델란즈 비.브이. | 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판 |
US8428762B2 (en) | 2009-08-28 | 2013-04-23 | Kla-Tencor Corporation | Spin coating modeling |
US8589827B2 (en) | 2009-11-12 | 2013-11-19 | Kla-Tencor Corporation | Photoresist simulation |
US8623458B2 (en) | 2009-12-18 | 2014-01-07 | International Business Machines Corporation | Methods of directed self-assembly, and layered structures formed therefrom |
US8795952B2 (en) | 2010-02-21 | 2014-08-05 | Tokyo Electron Limited | Line pattern collapse mitigation through gap-fill material application |
US8124319B2 (en) | 2010-04-12 | 2012-02-28 | Nanya Technology Corp. | Semiconductor lithography process |
US8764999B2 (en) * | 2010-11-23 | 2014-07-01 | Tokyo Electron Limited | Sidewall image transfer pitch doubling and inline critical dimension slimming |
US8443308B2 (en) | 2011-05-02 | 2013-05-14 | Synopsys Inc. | EUV lithography flare calculation and compensation |
CN108594599B (zh) | 2011-07-08 | 2022-04-22 | Asml荷兰有限公司 | 抗蚀剂材料、光刻图案化方法和氧化物的用途 |
CN103034048B (zh) | 2011-09-29 | 2015-04-22 | 中芯国际集成电路制造(北京)有限公司 | 光刻方法 |
JP5846046B2 (ja) | 2011-12-06 | 2016-01-20 | 信越化学工業株式会社 | レジスト保護膜材料及びパターン形成方法 |
JP5705103B2 (ja) | 2011-12-26 | 2015-04-22 | 株式会社東芝 | パターン形成方法 |
US8647817B2 (en) | 2012-01-03 | 2014-02-11 | Tokyo Electron Limited | Vapor treatment process for pattern smoothing and inline critical dimension slimming |
JP5789275B2 (ja) | 2012-02-03 | 2015-10-07 | エーエスエムエル ネザーランズ ビー.ブイ. | 3dレジストプロファイルのシミュレーション用のリソグラフィモデル |
CN103309164A (zh) | 2012-03-09 | 2013-09-18 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9851639B2 (en) * | 2012-03-31 | 2017-12-26 | International Business Machines Corporation | Photoacid generating polymers containing a urethane linkage for lithography |
JP5741518B2 (ja) | 2012-04-24 | 2015-07-01 | 信越化学工業株式会社 | レジスト下層膜材料及びパターン形成方法 |
JP6004172B2 (ja) | 2012-07-31 | 2016-10-05 | 日産化学工業株式会社 | カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物 |
JP2014143415A (ja) | 2012-12-31 | 2014-08-07 | Rohm & Haas Electronic Materials Llc | イオン注入法 |
EP2960926B1 (en) | 2013-02-20 | 2019-05-29 | Osaka University | Method of forming resist pattern |
JP6337757B2 (ja) * | 2014-01-20 | 2018-06-06 | 東京エレクトロン株式会社 | 露光装置、レジストパターン形成方法及び記憶媒体 |
US9977339B2 (en) * | 2014-01-27 | 2018-05-22 | Tokyo Electron Limited | System and method for shifting critical dimensions of patterned films |
TWI600966B (zh) | 2014-02-21 | 2017-10-01 | 東京威力科創股份有限公司 | 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板 |
TWI575566B (zh) | 2014-02-24 | 2017-03-21 | 東京威力科創股份有限公司 | 與光敏化化學放大光阻化學品及程序一起使用的方法及技術 |
JP6524388B2 (ja) * | 2014-02-24 | 2019-06-05 | 東京エレクトロン株式会社 | 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減 |
WO2015127353A1 (en) * | 2014-02-24 | 2015-08-27 | Tokyo Electron Limited | Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist |
WO2016025210A1 (en) * | 2014-08-13 | 2016-02-18 | Tokyo Electron Limited | Critical dimension control in photo-sensitized chemically-amplified resist |
JP6175455B2 (ja) * | 2015-01-22 | 2017-08-02 | 東京エレクトロン株式会社 | レジストパターン形成方法 |
JP6809843B2 (ja) | 2015-08-20 | 2021-01-06 | 国立大学法人大阪大学 | パターン形成方法 |
JP6512994B2 (ja) | 2015-08-20 | 2019-05-15 | 国立大学法人大阪大学 | 化学増幅型レジスト材料 |
JP6774814B2 (ja) | 2015-08-20 | 2020-10-28 | 国立大学法人大阪大学 | 化学増幅型レジスト材料及びパターン形成方法 |
US10048594B2 (en) | 2016-02-19 | 2018-08-14 | Tokyo Electron Limited | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
US10429745B2 (en) | 2016-02-19 | 2019-10-01 | Osaka University | Photo-sensitized chemically amplified resist (PS-CAR) simulation |
CN109313395B (zh) | 2016-05-13 | 2021-05-14 | 东京毅力科创株式会社 | 通过使用光剂来进行的临界尺寸控制 |
US10551743B2 (en) | 2016-05-13 | 2020-02-04 | Tokyo Electron Limited | Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist |
-
2017
- 2017-05-12 US US15/594,139 patent/US10551743B2/en active Active
- 2017-05-12 WO PCT/US2017/032435 patent/WO2017197279A1/en active Application Filing
- 2017-05-12 JP JP2018559777A patent/JP6909374B2/ja active Active
- 2017-05-12 KR KR1020187035932A patent/KR102475021B1/ko active IP Right Grant
- 2017-05-12 TW TW106115716A patent/TWI657314B/zh active
- 2017-05-12 CN CN201780036852.8A patent/CN109313394B/zh active Active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201541194A (zh) * | 2014-02-25 | 2015-11-01 | Tokyo Electron Ltd | 用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術 |
Also Published As
Publication number | Publication date |
---|---|
CN109313394B (zh) | 2021-07-02 |
TW201809881A (zh) | 2018-03-16 |
JP2019517026A (ja) | 2019-06-20 |
CN109313394A (zh) | 2019-02-05 |
KR102475021B1 (ko) | 2022-12-06 |
US10551743B2 (en) | 2020-02-04 |
WO2017197279A1 (en) | 2017-11-16 |
JP6909374B2 (ja) | 2021-07-28 |
US20170329229A1 (en) | 2017-11-16 |
KR20190007019A (ko) | 2019-01-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI657314B (zh) | 藉由使用光敏化學品或光敏化學增幅型光阻劑之臨界尺寸控制 | |
JP7009568B2 (ja) | 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術 | |
TWI590304B (zh) | 光敏化化學放大光阻中之臨界尺寸控制 | |
JP7074990B2 (ja) | 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術 | |
CN109313395B (zh) | 通过使用光剂来进行的临界尺寸控制 | |
US7524607B2 (en) | Water soluble negative tone photoresist | |
JP6524388B2 (ja) | 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減 | |
US7662542B2 (en) | Pattern forming method and semiconductor device manufacturing method | |
US8257911B2 (en) | Method of process optimization for dual tone development | |
TWI566047B (zh) | 製作半導體裝置的方法與光敏材料 | |
US20100055624A1 (en) | Method of patterning a substrate using dual tone development | |
KR20070109638A (ko) | 반도체 소자의 패턴 형성 방법 |