CN109313394B - 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制 - Google Patents

使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制 Download PDF

Info

Publication number
CN109313394B
CN109313394B CN201780036852.8A CN201780036852A CN109313394B CN 109313394 B CN109313394 B CN 109313394B CN 201780036852 A CN201780036852 A CN 201780036852A CN 109313394 B CN109313394 B CN 109313394B
Authority
CN
China
Prior art keywords
radiation
sensitive material
wavelength
critical dimension
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780036852.8A
Other languages
English (en)
Other versions
CN109313394A (zh
Inventor
迈克尔·A·卡尔卡西
安东·J·德维利耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN109313394A publication Critical patent/CN109313394A/zh
Application granted granted Critical
Publication of CN109313394B publication Critical patent/CN109313394B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/22Matching criteria, e.g. proximity measures

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • Theoretical Computer Science (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Evolutionary Biology (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Artificial Intelligence (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一种用于临界尺寸控制的方法,其中接纳具有下伏层和在下伏层上的辐射敏感材料层的衬底。辐射敏感材料通过图案化掩模被曝光于UV光谱中的第一光波长,并且被第一次显影。辐射敏感材料被泛曝光于与第一光波长不同的第二光波长,并且被第二次显影以形成图案。在泛曝光之前,辐射敏感材料具有:第一光波长激活阈值,第一光波长激活阈值控制在辐射敏感材料层中的至第一酸浓度的酸的生成并且控制辐射敏感材料层中的光敏剂分子的生成;以及与第一光波长激活阈值不同的第二光波长激活阈值,第二光波长激活阈值能够激发光敏剂分子从而得到包括大于第一酸浓度的第二酸浓度的酸。

Description

使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
对相关申请的交叉引用
本申请要求2016年5月13日提交的标题为“Critical Dimension Control by Useof Photo-Sensitized Chemicals or Photo-Sensitized Chemically AmplifiedResist”的美国临时专利申请第62/335,977号的权益,其全部内容通过引用合并入本文。
背景技术
技术领域
本发明涉及图案化临界尺寸均匀性(critical dimension uniformity,CDU)控制。具体地,本公开涉及将光敏化学放大抗蚀剂(PSCAR)化学品合并到抗蚀剂基质中以获得更好/可替选CDU控制方法。
相关技术的描述
PSCAR是配制用于双曝光处理的抗蚀剂组合物,以在显影抗蚀剂之前完全产生潜在图案。这种双重曝光不同于常规光致抗蚀剂的使用,其中通过掩模的单次辐射曝光生成去保护(正色调)或受保护(负色调)材料的区域,该区域限定可溶或不可溶区域以用于后续显影。相比之下,PSCAR可以包括非化学选择性曝光,然后在显影抗蚀剂之前进行化学选择性曝光。光致抗蚀剂材料的第一(非化学选择性)曝光通常通过第一辐射波长或波长范围的掩模发生。该第一曝光在光致抗蚀剂中产生对二次化学选择性曝光敏感的区域。第二(化学选择性)曝光,传统上与烘烤工艺相结合,然后使这些化学敏感区域改变PSCAR在这些区域中的溶解度。换句话说,所产生的酸允许聚合物主链在受保护位点处的自催化去保护反应(通常涉及烘烤),从而在随后的显影期间改变抗蚀剂的溶解度。然后完全产生具有所需去保护轮廓的目标潜在图像或图案,然后可以将这种抗蚀剂膜显影成浮雕图案。关于使用光敏化学放大抗蚀剂的更多细节可以在S.Tagawa等人的“Super-Sensitivity Enhancementby Photo-Sensitized Chemically Amplified Resist Process”,Journal ofPhotopolymer Science and Technology,第26卷,第6期(2013年),第825-830页中找到。
当光子密度低时,例如当使用EUV(极紫外)波长进行曝光图案化时,使用PSCAR能够对光致抗蚀剂光刻图案化具有更高的灵敏度。在初始EUV(或eBeam、或准分子激光,例如使用氪氟(KrF)、氩氟(ArF)等)通过中间体的反应曝光期间产生光敏剂。随后的UV(紫外线)泛曝光(flood exposure)使得光敏剂能够使光酸生成剂(PAG)生成酸,从而通过所生成的酸和受保护的聚合物位点之间的自催化去保护反应来改变PSCAR的溶解度。UV泛波长的选择可以基于给定光敏剂的特定特征。选择使光敏剂吸收最大化同时使PAG和光敏剂的前驱体的吸收最小化的波长(或波长范围)通常是有益的。这种优化有助于防止泛曝光在没有产生光敏剂的区域中生成酸。激发的光敏剂吸收来自UV泛曝光的UV光,然后将分解光敏剂附近的PAG。这种分解放大了曝光区域中的酸生成,同时基本上保持了在暗区中由最初的EUV(或eBeam或准分子激光)曝光的缺乏酸形成。这意味着避免与泛UV曝光相关的膜中的DC偏移。
尽管PSCAR能够实现EUV光刻和其中光密度或电子密度低的其他光刻,但是使用PSCAR图案化可能具有临界尺寸(CD)均匀性的挑战。CD均匀性(CDU)可能在整个衬底表面上变化。例如,给定晶片可以在晶片的中心部分具有一个CD值,而在更接近晶片边缘具有另一个CD值。晶片还可能具有基于曝光进程的顺序而变化的CD,例如当使用步进曝光系统时。根据给定衬底的特定区域,CD可能太大或太小,并且CD变化可能在整个晶片上随机扩散,可以基于径向位置,和/或可以与诸如划线道的位置的特定特征相关联。
随着工业收缩继续将最小特征尺寸推向越来越小的临界尺寸,并且随着EUV(13.5nm)的延迟和潜在成本,该行业已经寻找进一步扩展其当前ArF(193nm)浸入式(ArFi)扫描仪系统的工艺。在多图案化中,边缘放置误差预算强烈依赖于上覆以及后显影检查(ADI)/蚀刻后检查(AEI)CDU。更严格地控制CDU的能力具有重要价值和重要性。
历史上,图案化步骤(光刻或蚀刻)的CD控制涉及三种方法之一。第一种方法是TEL的CD优化器(CDO),其中临界尺寸控制是在曝光后烘烤(PEB)期间通过区域温度补偿。第二种方法是ASML的DoseMapper(DoMa),其中临界尺寸控制是通过曝光期间的场内和/或场间剂量补偿。第三种方法是Lam Research的Hydra,其中临界尺寸控制是通过蚀刻期间的区域温度控制。
需要用于CD控制的替代方法,特别是与PSCAR图案化组合。
发明内容
本公开提供了最小化图案化CDU的替代方式和用于控制/校正的替代流程。在实施方式中,该方法包括接纳具有下伏层和沉积在下伏层上的辐射敏感材料层的衬底。该方法还包括将第一光波长通过图案化掩模曝光到辐射敏感材料层上,第一光波长包括UV光谱中的波长;对经图案曝光的辐射敏感材料层进行第一显影;将第二光波长泛曝光到经第一显影的辐射敏感材料层,第二光波长包括与第一光波长不同的波长;以及对经泛曝光的辐射敏感材料层进行第二显影,形成辐射敏感材料图案。在泛曝光步骤之前,辐射敏感材料包括:第一光波长激活阈值,第一光波长激活阈值控制在辐射敏感材料层中的至第一酸浓度的酸的生成并且控制在辐射敏感材料中的光敏剂分子的生成;以及第二光波长激活阈值,第二光波长激活阈值可以激发辐射敏感材料层中的光敏剂分子从而得到包括大于第一酸浓度的第二酸浓度的酸,第二光波长激活阈值不同于第一光波长激活阈值。在第二显影步骤之后,辐射敏感材料图案包括在整个衬底或衬底的一部分上的经校正的或减薄的临界尺寸(CD)。
当然,为了清楚起见,已经呈现了如本文所述的不同步骤的讨论顺序。通常,这些步骤可以以任何合适的顺序进行。另外,尽管本文中的不同特征、技术、配置等中的每一个可以在本公开的不同位置讨论,但是意图是概念中的每个可以彼此独立地执行或者彼此组合地执行。因此,可以以许多不同方式实施和查看本发明。
注意,该概述部分未指定本公开或要求保护的发明的每个实施方式和/或递增的新颖方面。相反,本发明内容仅提供了对传统技术的不同实施方式和新颖性的对应点的初步讨论。对于本发明和实施方式的附加细节和/或可能的观点,读者可以参考下面进一步讨论的本发明的具体实施方式部分和相应的附图。
附图说明
参考以下具体实施方式,特别是当结合附图考虑时,本发明的更完整的理解及其许多伴随的优点将变得明显,在附图中:
图1A是描绘在第一图案化曝光之后酸和光敏剂浓度的曲线图;
图1B是描绘在第二泛曝光之后酸和光敏剂浓度的曲线图;
图2是描绘用光敏剂选择性吸收UV光的曲线图;
图3是示出变更晶片内递送的径向剂量特征(signature)以变更最终临界尺寸均匀性特征的各种途径的表;以及
图4是描绘根据本发明的实施方式的用于临界尺寸控制的工艺的流程图。
具体实施方式
本发明的第一实施方式提出使用PSCAR化学品而不是传统的CAR化学品作为多图案化抗蚀剂材料。PSCAR包括具有隔离激活能力的光致抗蚀剂,其能够在不同时间和不同工艺条件下在光致抗蚀剂内生成化学品。如图1A的曲线图100所示,PSCAR化学品在初始曝光时(或在初次曝光和二次曝光之间的时间期间)产生背景光敏剂(PS)浓度分布120,其遵循所生成的初始酸浓度分布110。初次曝光波长可以是但不限于EUV(13.5nm)、ArF(193nm)(干燥或浸入)和/或KrF(248nm)。在初次曝光期间可以形成一些酸,例如,来自PSCAR内部的PAG,以形成酸浓度分布110,但在其他实施方式中,不形成酸。二次曝光波长(UV泛)处于另一波长,通常试图逃避抗蚀剂基质内其他组分的吸收,因此通常需要>300nm,例如,可以使用365nm。然后可以使用作为初次曝光的结果而生成的PS来变更通过在随后的处理中将PAG分解成酸而生成的酸。图1B示出在二次曝光之后光敏剂(PS)浓度分布150和酸浓度分布140的曲线图130。
参照图2的曲线图200,来自二次曝光波长的UV泛曝光的激发光敏剂将分解激发光敏剂附近的PAG,以扩大曝光区域中酸的生成,同时基本上保持在原始曝光的暗区(未曝光)中不形成酸(意味着与泛UV曝光相关的所有膜没有明显的DC偏移)。通过仅增加曝光区域中的酸,在暗区中基本上保持很少的酸形成。因为去保护水平与可用于去保护聚合物的酸浓度直接相关,增加横向特征边缘中的酸浓度将增加横向特征边缘处的去保护,同时保持在特征中心的保护状态(例如,在线和空间的情况下)。
在一个实施方式中,当抗蚀剂(作为膜)曝光于第一波长范围的光时,产生(或选择)抗蚀剂组合物以生成光敏剂。然后使用第二波长范围的光来放大酸反应而不使用热扩散。第一波长范围和第二波长范围可以交叠或不交叠。然而,在一个实施方式中,隔离的激活能力可以在光敏剂和光酸生成剂之间交叠,使得在第一曝光期间可以生成相对少量的酸,如图1A所示。该相对少量的酸可以是,例如,小于在第二曝光期间可能生成的酸量的一半。任选地,可以优化光致抗蚀剂以使酸的反应速率或当光致抗蚀剂曝光于第一波长范围的光时可能生成的酸的量最小化。还可以通过使光穿过图案化掩模来使酸的生成最小化,该图案化掩模可以减少在第一曝光期间可能与光致抗蚀剂相互作用的光量。在随后的泛曝光步骤中,光致抗蚀剂膜再次曝光。该随后的曝光可以包括第二波长范围,其诱导或放大光致抗蚀剂膜内的酸浓度。作为非限制性示例,第一波长范围可以小于300nm,并且第二波长范围可以大于300nm。
在传统的光致抗蚀剂图案化工艺中,第一曝光在曝光位置激活PAG,使得在那些位置生成光酸。然后,光酸可以通过所生成的酸和受保护的聚合物位点之间的自催化去保护反应来改变光致抗蚀剂膜的溶解度。相比之下,对于PSCAR膜,基于掩模的图案曝光(其功率低于传统工艺)主要产生光敏剂连同比常规工艺少得多的酸。光敏剂可以由光敏剂生成化合物产生或生成,光敏剂生成化合物是光敏剂的前驱体,与邻近的酸分子反应以将光敏剂生成分子改变为光敏剂。这种基于掩模的初始曝光可以被认为是相对于传统工艺的功率的低功率曝光。随后,执行高功率UV泛曝光,其相对于基于掩模的曝光产生的酸产生大量的酸。本文的泛曝光指的是没有任何掩模或图案化机制的曝光,使得衬底的所有部分接收大约相同量的辐射。
本文的实施方式可以包括抗蚀剂组合物,其包含一种或更多种光敏剂生成化合物。这些化合物通过在光致抗蚀剂层或组合物中生成光敏剂分子来响应特定的光波长激活阈值。光敏剂分子可以吸收光能并将光能递送给另一个分子,例如PAG。这种能量转移反过来可以激活接收分子。在PAG接收能量转移的情况下,PAG然后可以生成酸。一些光敏剂化合物可以在基态下转移能量,而其他光敏剂化合物可以在激发态下进行转移。示例性光敏剂生成化合物包括但不限于苯乙酮、三亚苯、二苯甲酮、芴酮、蒽醌、菲或其衍生物。
本文的抗蚀剂组合物还可以包括PAG化合物,其响应于控制光致抗蚀剂层中酸的生成的第二光波长激活阈值。第二激活波长可以与第一激活波长不同。PAG可以是阳离子光引发剂,其将吸收的光能转化为化学能(例如,酸性反应)。PAG化合物可以包括,但不限于三苯基锍三氟甲磺酸盐、三苯基锍九氟丁磺酸盐、三苯基锍全氟辛基磺酸盐、三芳基锍三氟甲磺酸盐、三芳基锍全氟丁基磺酸盐、三芳基锍全氟辛基磺酸盐、三苯基锍盐、三芳基锍盐、三芳基锍六氟锑酸盐、N-羟基萘二甲酰亚胺三氟甲磺酸酯、1,1-双[对氯苯基]-2,2,2-三氯乙烷(DDT)、1,1-双[对甲氧基苯基]-2,2,2-三氯乙烷、1,2,5,6,9,10-六溴环十二烷、1,10-二溴癸烷、1,1-双[对氯苯基]2,2-二氯乙烷、4,4-二氯-2-(三氯甲基)二苯甲醇、1,1-双(氯苯基)2-2,2-三氯乙醇、六氯二甲基砜、2-氯-6-(三氯甲基)吡啶或其衍生物。
本文的实施方式还可以包括可以改变酸浓度和/或光敏剂的浓度的光活性剂。光活性剂可以包括第二PAG或光破坏性碱,也称为光可分解碱。光破坏性碱可以包括在曝光区域中分解的一种或更多种碱化合物,其允许更高的总碱负载,其可以中和未曝光区域中的光活性酸。因此,光破坏性碱包括可以提供这种一般碱负载效应的化合物。未分解的碱将使一种或更多种光酸变性,使得这些光酸不再对光敏感,或者不再对辐射敏感。常见的光致抗蚀剂组合物通常包括某种类型的碱负载以帮助增加对比度以产生清晰的线。该碱负载通常用于均匀地调节酸浓度以提供均匀的轮廓(去除梯度曝光)。如本文所公开的,通过向给定抗蚀剂膜添加更多碱,可以降低给定酸浓度。同样,通过选择性地加入酸化合物可以增加给定酸浓度。
在实施方式中,光敏剂和/或光敏剂生成剂从一开始就在抗蚀剂基质内,但这并不妨碍其在第一显影步骤后溶解在溶液中并通过旋涂工艺掺入,类似用于减薄的酸洗工艺,然后通过UV泛曝光工艺(在二次曝光波长处)曝光、烘烤和显影。
在另一实施方式中,CD内晶片(WIW)分布可以被解卷积成径向和倾斜分量。在UV泛曝光期间,在一个实施方式中,可以在光源下使用旋转和平移衬底来调整径向特征。该硬件概念允许许多路径变更WIW递送的径向剂量特征以变更最终的WIW CDU特征。对于该实施方式,如图3所示,径向剂量变更可以包括用于旋转、扫描速率、功率设定、光源工作距离、孔径的使用、焦点位置变化、光源区域控制的可变设置等,仅举几个示例及其任何排列。
在又一个实施方式中,可以通过使用具有可独立寻址的投影点阵列的基于数字像素的投影系统来控制递送到晶片的UV泛能量(在二次曝光波长处),投影图案是基于CD特征,其在空间上表征结构的CD值。基于数字像素的投影系统可以体现为数字光处理(DLP)芯片、光栅光阀(GLV)、用于定向光束的电流计安装和激励镜(“电流镜”),或者任何其他微投影和/或扫描技术(“其他”),具有可以将图像或图案(可选地使用透镜)聚焦到晶片上并校正或调整临界尺寸不均匀性的光源。基于数字像素的投影系统历史上具有低于300nm波长的可靠性问题(来自投影系统内的吸收问题)。虽然有方式在较低波长处设计操作,但PS材料的吸收(其中365nm是优选的曝光波长)允许可能更可靠的操作。365nm的波长是比在大多数EUV/ArF/KrF抗蚀剂系统中光解现有PAG的波长明显更高的波长(给定历史PAG吸收曲线)。考虑到这一点,使用PSCAR化学品/方法和随后的365nm泛曝光波长使用可能具有更高的投影系统寿命和可靠性的益处。
在又一实施方式中,通过旋转/平移方法的UV泛(在二次曝光波长处)可以与基于数字像素的投影系统(在二次曝光波长处)组合以校正CD非均匀性。考虑到PSCAR化学品的剂量要求和基于数字像素的投影系统的剂量限制,可能需要这种技术组合。旋转/平移泛硬件可以提供大部分所需的二次曝光波长(例如,97%),然后基于数字像素的投影系统可以递送CD非均匀性最小化所需的剩余剂量(例如,整个晶片的0~3%)。
用于UV泛曝光的子工艺流程的具体示例包括但不限于:
子流程A:300nm-400nm DLP或GLV或其他→泛烘烤
子流程B:300nm-400nm旋转/平移→泛烘烤
子流程C:300nm-400nm DLP或GLV或其他→300nm-400nm旋转/平移→泛烘烤
子流程D:300nm-400nm旋转/平移→300nm-400nm DLP或GLV或其他→泛烘烤
子流程E:300nm-400nm Galvo-mirror→泛烘烤
子流程F:300nm-400nm Galvo-mirror→300nm-400nm旋转/平移→泛烘烤
子流程G:300nm-400nm旋转/平移→300nm-400nm Galvo-mirror→泛烘烤
可以使用子流程A-G的任何其他组合。300nm-400nm范围内的波长可以是365nm。
对于所有实施方式,最大化CDU改进的能力要求CD分布被移位,使得整个晶片上的大多数CD位于所需的标称尺寸的一侧或另一侧(取决于显影色调),使得二次曝光具有校正晶片的所有区域的能力。因此,当包含二次PSCAR泛工艺时,可能需要向初次曝光稍微不足剂量的转变。换句话说,对于使用两个曝光步骤的PSCAR工艺,相对于使用单个曝光步骤的CAR工艺中的曝光剂量,第一曝光步骤的曝光剂量减少。
本文的实施方式提供若干工艺控制方案和晶片序列选项。关于晶片序列选项,并且如图4的流程图400所示,二次曝光(和后二次曝光烘烤)可以在初次曝光之后但在任何显影(DEV)工艺之前进行,如在流程图400的分支600中,或者可选地,它们可以在初次曝光/PEB/DEV工艺序列之后发生,如在流程图400的分支500中那样。在两个序列中,该工艺开始于410——晶片的初始处理。在420处,添加薄膜,其可以被称为图案被转移到其中的下伏层。在430处,在薄膜上施加辐射敏感材料的涂层,例如光致抗蚀剂。在440处,对辐射敏感材料涂层执行光刻工艺。更具体地,辐射敏感材料涂层通过掩模被曝光于UV光谱中的第一波长(λ),以产生图案化曝光。在450处,执行曝光后烘烤(PEB)。
在450之后,该工艺可以根据一个实施方式进行,如流程图400的分支500所示。在510中,对经图案曝光的辐射敏感材料涂层进行第一显影工艺(第一DEV)。任选地,在520中,对经显影的辐射敏感材料涂层涂覆光敏剂(PS)生成化合物或PS化合物,以将PS生成化合物或PS化合物合并到辐射敏感材料涂层中。例如,旋涂可以用于施加PS生成化合物或PS化合物。在涂覆PS生成化合物的情况下,存在于经图案曝光的辐射敏感材料涂层中的酸然后可以与所合并的PS生成化合物反应。可选地,可以省略步骤520,并且当在430中施加薄膜时,PS生成化合物可以是辐射敏感材料涂层的组分,该PS生成化合物随后反应以生成PS。
在540中,执行泛工艺(或多个泛工艺)以将经第一显影的辐射敏感材料涂层泛曝光于第二波长(λ)的光,其与第一波长(λ)的光不同。泛工艺可以包括,例如,上述任何子流程A-G,其包括泛烘烤。在泛工艺(在540处)之前并且在第一DEV(在510处)之后,可以在530处可选地执行显影后检查(ADI),作为前馈(FF)控制策略的一部分。具体地,540中的泛曝光或泛烘烤的工艺参数可以基于通过检查经第一显影的辐射敏感材料涂层而获得的临界尺寸特征来变更,如分支500中的FF箭头所示。
在泛工艺之后,在550处执行第二显影工艺(第二DEV)。在570处,使用图案化辐射敏感材料涂层作为掩模来蚀刻下伏薄膜。在590处,晶片的处理继续进行下一个工艺。然后可以根据流程图400和分支500处理新晶片。
可选地,流程图400的分支500可以包括560处的ADI和/或580处的蚀刻后检查(AEI),其中在550处的第二DEV之后和/或在570处的蚀刻之后检查晶片,分别作为反馈(FB)控制策略的一部分。具体地,540中的泛曝光或泛烘烤的工艺参数可以基于通过检查经第二显影的辐射敏感材料涂层和/或经蚀刻的下伏薄膜层而获得的临界尺寸特征、针对下一个正被处理的晶片来变更,如分支500中的FB箭头所示。
在450之后,流程图400的工艺可以根据替代实施方式进行,如分支600所示。在610中,执行泛工艺(或多个工艺)以将经图案曝光的辐射敏感材料涂层泛曝光于第二波长(λ)的光,其与第一波长(λ)的光不同。与540一样,泛工艺610可以包括上述任何子流程A-G。
在泛工艺之后,在620处执行显影工艺(DEV),这是该工艺流程的唯一DEV步骤。在640处,使用图案化辐射敏感材料涂层作为掩模来蚀刻下伏薄膜。在660处,晶片的处理继续进行下一个工艺。然后可以根据流程图400和分支600处理新晶片。
可选地,流程图400的分支600可以包括630处的ADI和/或650处的AEI,其中在620处的DEV之后和/或在640处的蚀刻之后分别检查晶片作为FB控制策略的一部分。具体地,610中的泛曝光或泛烘烤的工艺参数可以基于通过检查经显影的辐射敏感材料涂层和/或经蚀刻的下伏薄膜层而获得的临界尺寸特征、针对下一个正被处理的晶片来变更,如由分支600中的FB箭头指示。
在540或610中的泛曝光之前,辐射敏感材料涂层包括:第一光波长激活阈值,第一光波长激活阈值控制在辐射敏感材料中的至第一酸浓度的酸的生成并且控制在辐射敏感材料中的光敏剂分子的生成;以及第二光波长激活阈值,第二光波长激活阈值可以激发辐射敏感材料中的光敏剂分子从而得到包括大于第一酸浓度的第二酸浓度的酸,第二光波长激活阈值不同于第一光波长激活阈值。在一个实施方式中,第一光波长(在440中)处于或高于第一光波长激活阈值并且低于第二光波长激活阈值,并且第二光波长(在540或610中)等于或高于第二光波长激活阈值。
关于工艺控制方案,存在若干反馈(FB)或前馈(FF)控制方案,其可以独立使用,或者彼此结合使用,如上面参考流程图400所讨论的。可以反馈经平均的后蚀刻检查(AEI)结果以促进批次级平均功率设置和/或WIW功率特征调整,以校正用AEI观察到的波动。波动源可能是蚀刻工艺、光刻工艺和其他处理步骤所固有的。同样,可以反馈经平均的后显影检查(ADI)结果以促进批次级平均功率设置和/或WIW功率特征调整,以校正用ADI观察到的波动。在具有2个显影步骤(例如,510和550)的情况下,可以使用来自ADI步骤(例如,530和560)的ADI结果,并且反馈用于下一个晶片工艺控制。特定于在第一DEV(例如,510)之后进行ADI步骤,但是在泛工艺(例如,540)和第二DEV(例如,550)之前,启用晶片级的前馈控制策略。可以将已知的ADI CD图前馈到泛控制器中以增加特定于该晶片的泛工艺(在晶片内局部递送的剂量),以在ADI处实现更紧密的最终CD分布。任何上述控制方案本身或结合使用都可能导致更严格的图案化CD控制。
这些概念提供了实现最小化ADI/AEI CDU的替代方式。在本文中CDU控制在很大程度上受UV泛剂量控制模块的控制。
利用这种修改的方法来图案化CDU控制,WIW控制方案也可以更加可实现;他们可以利用实际的WIW信息来前馈以进行二次曝光,以实现更严格的图案化CD控制。
除了这些方法用于临界尺寸(CD)校正,即围绕后光刻目标平均值的CDU校正之外,使用更高泛剂量的相同方法可用于减薄/收缩在整个衬底或衬底的一部分的临界尺寸(CD)。随着工业收缩继续将最小尺寸要求推向越来越小的CD,并且由于EUV(13.5nm)的延迟和潜在的高成本,业界一直在寻找扩展其当前193nm浸入式(ArFi)扫描仪系统基础设施/专业知识的工艺。在分辨率受限(例如,约40nm线和空间)抗蚀剂特征的传统后光刻ArFi的收缩/减薄是一种这样的延伸。在受控湿法工艺中将孔或沟槽收缩和/或将线减薄或修剪10纳米、20纳米、30纳米的能力具有当前和未来的应用:(1)单一图案化,例如在其中栅极层具有非常小的略微不太激进的间距的特征的逻辑设计中,以及(2)双图案化/多图案化方案,例如在光刻-蚀刻-光刻-蚀刻(LELE)或光刻-蚀刻中重复“n”次(LEn)、光刻-光刻-蚀刻(LLE)和侧壁间隔物的前驱体中。
已经将各种技术描述为多个离散操作以帮助理解各种实施方式。描述的顺序不应被解释为暗示这些操作必须依赖于顺序。实际上,这些操作不需要按照呈现的顺序执行。所描述的操作可以以与所描述的实施方式不同的顺序执行。在另外的实施方式中,可以执行各种附加操作和/或可以省略所描述的操作。
本文使用的“衬底”一般是指根据本发明加工的对象。衬底可以包括装置的任何材料部分或结构,特别是半导体器件或其他电子器件,并且可以是例如基底衬底结构,例如半导体晶片,或者在基底衬底结构上或上覆于基底衬底结构的层,例如薄膜。因此,衬底不限于任何特定的基底结构、下伏层或上覆层,图案化或未图案化,而是预期包括任何这样的层或基底结构,以及层和/或基底结构的任何组合。该描述可以参考特定类型的衬底,但这仅用于说明目的。
虽然已经通过其一个或更多个实施方式的描述说明了本发明,并且虽然已经相当详细地描述了实施方式,但是它们并不旨在限制或以任何方式将所附权利要求的范围限制到这样的细节。本领域技术人员将容易看到其他优点和修改。因因此,本发明在其更广泛的方面不限于所示出和描述的具体细节、代表性设备和方法以及说明性示例。因此,在不脱离本发明总体构思的范围的情况下,可以偏离这些细节。

Claims (23)

1.一种进行临界尺寸均匀性控制的方法,包括:
接纳衬底,所述衬底包括:
下伏层,以及
在所述下伏层上的辐射敏感材料层,所述辐射敏感材料层包括光酸生成剂并且具有第一光波长激活阈值,使得当所述辐射敏感材料层曝光于等于或长于所述第一光波长激活阈值的光波长时,所述光酸生成剂生成酸;
将第一光波长通过图案化掩模曝光到所述辐射敏感材料层上,所述第一光波长包括UV光谱中的等于或长于所述第一光波长激活阈值以便生成所述酸的波长;
对经图案曝光的所述辐射敏感材料层进行第一显影,经第一显影的所述辐射敏感材料层具有第一临界尺寸均匀性;
将第二光波长泛曝光到经第一显影的所述辐射敏感材料层,其中,经第一显影的所述辐射敏感材料层包括光敏剂分子并且具有第二光波长激活阈值,使得当经第一显影的所述辐射敏感材料层曝光于等于或长于所述第二光波长激活阈值的所述第二光波长时,所述光敏剂分子生成更多的所述酸,所述第二光波长包括与所述第一光波长不同的波长;以及
对经泛曝光的所述辐射敏感材料层进行第二显影以形成具有相对于所述第一临界尺寸均匀性提高了的第二临界尺寸均匀性的辐射敏感材料图案,
其中,在第二显影步骤之后,所述辐射敏感材料图案包括在整个所述衬底或所述衬底的一部分上的与所述第二临界尺寸均匀性相关的经校正的或减薄的临界尺寸。
2.根据权利要求1所述的方法,其中,所述辐射敏感材料包括作为所述辐射敏感材料的组分的所述光敏剂分子。
3.根据权利要求1所述的方法,还包括:
在第一显影步骤之后并且在泛曝光之前,将包括所述光敏剂分子的光敏剂生成化合物涂覆到所述辐射敏感材料层上,以将所述光敏剂分子合并到所述辐射敏感材料层中。
4.根据权利要求3所述的方法,其中,涂覆所述光敏剂生成化合物包括旋涂。
5.根据权利要求1所述的方法,其中,所述第一光波长短于所述第二光波长激活阈值。
6.根据权利要求1所述的方法,其中,所述第一光波长是13.5nm、193nm或248nm。
7.根据权利要求1所述的方法,其中,所述第二光波长在UV光谱中。
8.根据权利要求1所述的方法,其中,所述第二光波长在300nm至400nm之间。
9.根据权利要求1所述的方法,其中,所述第二光波长是365nm。
10.根据权利要求1所述的方法,其中,泛曝光步骤包括:使用基于像素的投影系统将经第一显影的所述辐射敏感材料层曝光于所述第二光波长。
11.根据权利要求1所述的方法,其中,泛曝光步骤包括:将经第一显影的所述辐射敏感材料层曝光于由安装有检流计的镜子定向的所述第二光波长。
12.根据权利要求1所述的方法,其中,泛曝光步骤包括:在所述第二光波长处的光源下平移或旋转所述衬底、或者平移和旋转所述衬底。
13.根据权利要求1所述的方法,其中,相对于包括图案化曝光而没有随后的泛曝光的工艺,所述辐射敏感材料层曝光于所述第一光波长的曝光剂量减少,以允许在随后的工艺步骤中在整个所述衬底上的临界尺寸校正。
14.根据权利要求1所述的方法,还包括:
在第一显影之后或在第二显影之后,或者在第一显影和第二显影两者之后,检查所述辐射敏感材料层。
15.根据权利要求14所述的方法,还包括:
基于在第一显影之后检查所述辐射敏感材料层而获得的所述第一临界尺寸均匀性,变更泛曝光步骤的工艺参数或泛曝光步骤之后的烘烤步骤的工艺参数、或者泛曝光步骤的工艺参数和烘烤步骤的工艺参数两者。
16.根据权利要求14所述的方法,还包括:
基于在第二显影之后检查所述辐射敏感材料层而获得的所述第二临界尺寸均匀性、针对下一个晶片变更泛曝光步骤的工艺参数或泛曝光步骤之后的烘烤步骤的工艺参数、或者泛曝光步骤的工艺参数和烘烤步骤的工艺参数两者。
17.根据权利要求1所述的方法,还包括:
使用所述辐射敏感材料图案作为掩模来蚀刻所述下伏层。
18.根据权利要求17所述的方法,还包括:
检查经蚀刻的所述下伏层。
19.根据权利要求18所述的方法,还包括:
基于检查经蚀刻的所述下伏层而获得的临界尺寸特征、针对下一个晶片变更泛曝光步骤的工艺参数或泛曝光步骤之后的烘烤步骤的工艺参数、或者泛曝光步骤的工艺参数和烘烤步骤的工艺参数两者。
20.根据权利要求1所述的方法,其中,在第一显影之后,经第一显影的所述辐射敏感材料层具有在一位置处具有第一临界尺寸的图案,并且在第二显影之后,所述辐射敏感材料图案在所述位置处具有与所述第一临界尺寸相关的第二临界尺寸,所述第二临界尺寸小于所述第一临界尺寸。
21.根据权利要求20所述的方法,其中,泛曝光包括:根据在所述图案上测得的从中确定所述第一临界尺寸均匀性的在空间上表征的临界尺寸值来将光束选择性地定向到所述图案上。
22.根据权利要求20所述的方法,其中,在将所述第一光波长曝光之后并且在第一显影之前,所述方法还包括曝光后烘烤。
23.一种使用辐射敏感材料进行临界尺寸均匀性控制的方法,所述辐射敏感材料包括具有第一光波长激活阈值的光酸生成剂,使得当所述辐射敏感材料曝光于等于或长于所述第一光波长激活阈值的光波长时,所述光酸生成剂生成酸,所述方法包括:
接纳衬底,所述衬底包括下伏层以及在所述下伏层上的所述辐射敏感材料的层;
将所述辐射敏感材料的层通过图案化掩模曝光于第一光波长,所述第一光波长包括UV光谱中的等于或长于所述第一光波长激活阈值以便在所述辐射敏感材料的层中生成所述酸的波长;
对具有多个特征的所述辐射敏感材料的层中的图案进行显影,每个特征由临界尺寸来表征,多个临界尺寸形成具有第一临界尺寸均匀性的第一临界尺寸分布;
将所述图案泛曝光于不同于所述第一光波长的第二光波长,其中,所述辐射敏感材料的层包括具有第二光波长激活阈值的光敏剂分子,使得在泛曝光于等于或长于所述第二光波长激活阈值的所述第二光波长期间,所述光敏剂分子生成更多的所述酸,并且在泛曝光期间,光根据所述第一临界尺寸分布和所述第一临界尺寸均匀性中的至少一个来被非均匀地分布到所述图案上;以及
对经泛曝光的所述图案进行显影,其中,所述多个特征由第二临界尺寸分布来表征,所述第二临界尺寸分布具有与非均匀光分布相关的第二临界尺寸均匀性,使得所述第二临界尺寸分布相对于所述第一临界尺寸分布移位,
其中,所述第一临界尺寸分布中的大多数临界尺寸大于所需的标称尺寸,并且所述第二临界尺寸分布朝着所述所需的标称尺寸移位。
CN201780036852.8A 2016-05-13 2017-05-12 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制 Active CN109313394B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662335977P 2016-05-13 2016-05-13
US62/335,977 2016-05-13
PCT/US2017/032435 WO2017197279A1 (en) 2016-05-13 2017-05-12 Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist

Publications (2)

Publication Number Publication Date
CN109313394A CN109313394A (zh) 2019-02-05
CN109313394B true CN109313394B (zh) 2021-07-02

Family

ID=58745488

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780036852.8A Active CN109313394B (zh) 2016-05-13 2017-05-12 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制

Country Status (6)

Country Link
US (1) US10551743B2 (zh)
JP (1) JP6909374B2 (zh)
KR (1) KR102475021B1 (zh)
CN (1) CN109313394B (zh)
TW (1) TWI657314B (zh)
WO (1) WO2017197279A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI575566B (zh) * 2014-02-24 2017-03-21 東京威力科創股份有限公司 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
TWI657314B (zh) 2016-05-13 2019-04-21 東京威力科創股份有限公司 藉由使用光敏化學品或光敏化學增幅型光阻劑之臨界尺寸控制
CN109313395B (zh) 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制
DE102016221261A1 (de) * 2016-10-28 2018-05-03 Carl Zeiss Smt Gmbh Verfahren zur mikrolithographischen Herstellung mikrostrukturierter Bauelemente
US11360388B2 (en) 2018-03-19 2022-06-14 Tokyo Electron Limited Critical dimension correction via calibrated trim dosing
CN112272798A (zh) * 2018-06-14 2021-01-26 国立大学法人大阪大学 抗蚀剂图案形成方法
WO2021034567A1 (en) * 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
US11747733B2 (en) * 2021-01-08 2023-09-05 Tokyo Electron Limited Freeze-less methods for self-aligned double patterning

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103034048A (zh) * 2011-09-29 2013-04-10 中芯国际集成电路制造(北京)有限公司 光刻方法
TWI459440B (zh) * 2009-04-27 2014-11-01 Tokyo Electron Ltd 微影應用中之雙型顯影用之全面性曝光製程
TW201539539A (zh) * 2014-02-24 2015-10-16 Tokyo Electron Ltd 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
TW201541194A (zh) * 2014-02-25 2015-11-01 Tokyo Electron Ltd 用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術
TW201544909A (zh) * 2014-02-24 2015-12-01 Tokyo Electron Ltd 光敏化化學放大光阻中用以測量光敏劑濃度之計量學
TW201544910A (zh) * 2014-02-24 2015-12-01 Tokyo Electron Ltd 在光敏化化學放大光阻中減輕極紫外光散射雜訊複製於酸散射雜訊中

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
EP0203215B1 (de) 1985-05-29 1990-02-21 Ibm Deutschland Gmbh Verfahren zur Reparatur von Transmissionsmasken
US4931380A (en) 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0654390B2 (ja) 1986-07-18 1994-07-20 東京応化工業株式会社 高耐熱性ポジ型ホトレジスト組成物
JPH0740543B2 (ja) 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH04239116A (ja) 1991-01-14 1992-08-27 Fujitsu Ltd 半導体装置の製造方法
JP2723405B2 (ja) 1991-11-12 1998-03-09 松下電器産業株式会社 微細電極の形成方法
US5294680A (en) 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
JP3158710B2 (ja) 1992-09-16 2001-04-23 日本ゼオン株式会社 化学増幅レジストパターンの形成方法
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
JP3288884B2 (ja) * 1995-03-13 2002-06-04 株式会社東芝 レジストパターン形成方法
JPH0990621A (ja) 1995-09-21 1997-04-04 Canon Inc レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法
JP2910654B2 (ja) 1996-01-30 1999-06-23 日本電気株式会社 レジストパターン形成方法
JP2867964B2 (ja) 1996-06-27 1999-03-10 日本電気株式会社 レジスト膜パターンの形成方法
US5905019A (en) 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11237737A (ja) 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6245492B1 (en) 1998-08-13 2001-06-12 International Business Machines Corporation Photoresist system and process for aerial image enhancement
JP2000208408A (ja) 1999-01-19 2000-07-28 Nec Corp 化学増幅系レジストのパタ―ン形成方法
JP4557328B2 (ja) 1999-02-01 2010-10-06 富士フイルム株式会社 ポジ型フォトレジスト組成物
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
KR20020041413A (ko) 2000-06-22 2002-06-01 롤페스 요하네스 게라투스 알베르투스 광영상을 형성하는 방법, 이 방법에 사용하는 마스크, 이방법을 사용하는 소자를 제조하는 방법 및 이 방법을수행하는 장치
US6548219B2 (en) 2001-01-26 2003-04-15 International Business Machines Corporation Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions
US6555479B1 (en) 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP3711083B2 (ja) * 2002-04-12 2005-10-26 株式会社東芝 パターン形成方法
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
WO2005081295A1 (ja) 2004-02-20 2005-09-01 Nikon Corporation 露光方法、露光装置及び露光システム並びにデバイス製造方法
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
JP4524154B2 (ja) 2004-08-18 2010-08-11 富士フイルム株式会社 化学増幅型レジスト組成物及びそれを用いたパターン形成方法
US20060269879A1 (en) 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
JP2007033882A (ja) * 2005-07-27 2007-02-08 Hitachi Via Mechanics Ltd 露光装置及び露光方法並びに配線基板の製造方法
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US20070275330A1 (en) 2006-05-25 2007-11-29 International Business Machines Corporation Bottom anti-reflective coating
US7687205B2 (en) 2006-06-15 2010-03-30 The Boeing Company Photolithographic method and apparatus employing a polychromatic mask
JP2007334036A (ja) 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
DE102006053074B4 (de) 2006-11-10 2012-03-29 Qimonda Ag Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
JP4961324B2 (ja) 2007-10-26 2012-06-27 富士フイルム株式会社 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法
KR101585992B1 (ko) 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US20090214985A1 (en) 2008-02-27 2009-08-27 Tokyo Electron Limited Method for reducing surface defects on patterned resist features
US20090274974A1 (en) 2008-04-30 2009-11-05 David Abdallah Spin-on graded k silicon antireflective coating
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
WO2009150074A1 (en) 2008-06-12 2009-12-17 Basf Se Sulfonium derivatives and the use thereof as latent acids
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
EP2399169B1 (en) 2009-02-19 2019-04-17 Brewer Science, Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
JP5011345B2 (ja) 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
KR20120058572A (ko) 2009-08-24 2012-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8795952B2 (en) 2010-02-21 2014-08-05 Tokyo Electron Limited Line pattern collapse mitigation through gap-fill material application
US8124319B2 (en) 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8764999B2 (en) * 2010-11-23 2014-07-01 Tokyo Electron Limited Sidewall image transfer pitch doubling and inline critical dimension slimming
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
EP2729844B1 (en) 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
JP5846046B2 (ja) 2011-12-06 2016-01-20 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
NL2010162A (en) 2012-02-03 2013-08-06 Asml Netherlands Bv A lithography model for 3d resist profile simulations.
CN103309164A (zh) 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP5741518B2 (ja) 2012-04-24 2015-07-01 信越化学工業株式会社 レジスト下層膜材料及びパターン形成方法
JP6004172B2 (ja) 2012-07-31 2016-10-05 日産化学工業株式会社 カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物
JP2014143415A (ja) 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
WO2014129556A1 (ja) 2013-02-20 2014-08-28 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料
JP6337757B2 (ja) * 2014-01-20 2018-06-06 東京エレクトロン株式会社 露光装置、レジストパターン形成方法及び記憶媒体
KR101888287B1 (ko) * 2014-01-27 2018-08-13 도쿄엘렉트론가부시키가이샤 패터닝된 필름의 임계 치수를 시프팅하기 위한 시스템 및 방법
KR102357133B1 (ko) 2014-02-21 2022-01-28 도쿄엘렉트론가부시키가이샤 광증감 화학 증폭형 레지스트 재료 및 이를 이용한 패턴 형성 방법, 반도체 디바이스, 리소그래피용 마스크와, 나노임프린트용 템플릿
US9645495B2 (en) 2014-08-13 2017-05-09 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
JP6175455B2 (ja) * 2015-01-22 2017-08-02 東京エレクトロン株式会社 レジストパターン形成方法
JP6774814B2 (ja) 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
JP6512994B2 (ja) 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6809843B2 (ja) 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
TWI657314B (zh) 2016-05-13 2019-04-21 東京威力科創股份有限公司 藉由使用光敏化學品或光敏化學增幅型光阻劑之臨界尺寸控制
CN109313395B (zh) 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI459440B (zh) * 2009-04-27 2014-11-01 Tokyo Electron Ltd 微影應用中之雙型顯影用之全面性曝光製程
CN103034048A (zh) * 2011-09-29 2013-04-10 中芯国际集成电路制造(北京)有限公司 光刻方法
TW201539539A (zh) * 2014-02-24 2015-10-16 Tokyo Electron Ltd 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
TW201544909A (zh) * 2014-02-24 2015-12-01 Tokyo Electron Ltd 光敏化化學放大光阻中用以測量光敏劑濃度之計量學
TW201544910A (zh) * 2014-02-24 2015-12-01 Tokyo Electron Ltd 在光敏化化學放大光阻中減輕極紫外光散射雜訊複製於酸散射雜訊中
TW201541194A (zh) * 2014-02-25 2015-11-01 Tokyo Electron Ltd 用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術

Also Published As

Publication number Publication date
JP2019517026A (ja) 2019-06-20
US20170329229A1 (en) 2017-11-16
US10551743B2 (en) 2020-02-04
TW201809881A (zh) 2018-03-16
KR102475021B1 (ko) 2022-12-06
KR20190007019A (ko) 2019-01-21
CN109313394A (zh) 2019-02-05
TWI657314B (zh) 2019-04-21
JP6909374B2 (ja) 2021-07-28
WO2017197279A1 (en) 2017-11-16

Similar Documents

Publication Publication Date Title
CN109313394B (zh) 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
TWI662360B (zh) 藉由使用光劑之臨界尺寸控制
US9645495B2 (en) Critical dimension control in photo-sensitized chemically-amplified resist
US9977339B2 (en) System and method for shifting critical dimensions of patterned films
JP7074990B2 (ja) 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術
EP1652007B1 (en) Further method to pattern a substrate
KR101845188B1 (ko) 광 민감형 화학적 증폭 레지스트 내에 산 산탄 잡음으로 복제되는 euv 산탄 잡음의 완화
KR20200123247A (ko) 교정된 조정 선량을 사용하여 임계 치수를 보정하기 위한 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant