TW201539539A - 與光敏化化學放大光阻化學品及程序一起使用的方法及技術 - Google Patents

與光敏化化學放大光阻化學品及程序一起使用的方法及技術 Download PDF

Info

Publication number
TW201539539A
TW201539539A TW104105863A TW104105863A TW201539539A TW 201539539 A TW201539539 A TW 201539539A TW 104105863 A TW104105863 A TW 104105863A TW 104105863 A TW104105863 A TW 104105863A TW 201539539 A TW201539539 A TW 201539539A
Authority
TW
Taiwan
Prior art keywords
light
photoresist layer
wavelength
layer
substrate
Prior art date
Application number
TW104105863A
Other languages
English (en)
Other versions
TWI575566B (zh
Inventor
Michael A Carcasi
Benjamen M Rathsack
Joshua S Hooge
Seiji Nagahara
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201539539A publication Critical patent/TW201539539A/zh
Application granted granted Critical
Publication of TWI575566B publication Critical patent/TWI575566B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本揭露內容描述利用光敏化化學放大光阻化學品(PS-CAR)以圖案化半導體基板上光敏感薄膜的方法。在一實施例中,一個兩步驟的曝光過程在光阻層中可產生具有較高酸濃度的區域。光敏化化學放大光阻化學品包括光酸產生劑(PAGs)及可加強PAG分解成酸的光敏劑成分。第一次曝光可為圖案化的EUV曝光,該過程可產生初始量的酸及光敏劑。第二次曝光為非EUV的整片曝光,該過程在光敏劑所在的基板上,可激發能增加酸生成速率的光敏劑。曝光過程中能量的分布可利用光阻層、底層及/或覆蓋層的某些特性(例如:厚度、折射率、摻雜)以最佳化。

Description

與光敏化化學放大光阻化學品及程序一起使用的方法及技術
相關申請案的交互參照:本專利申請案主張於西元2014年2月24日申請之美國暫時專利申請案第61/944,041號的優先權,該暫時專利申請案的標題為“Methods and Techniques to use with Photosensitized Chemically Amplified Resist Chemicals and Processes”,其全部內容於此藉由參照納入本案揭示內容。
本發明係關於處理基板的方法。
光微影術圖案化的臨界尺寸(CD, critical dimension)控制對半導體元件製造而言是重要的。圖案化的一個實施態樣包括於光敏感材料上產生圖案,其可藉由後續處理傳遞至底層或基板。然而,覆蓋圖案的品質對底層上圖案的品質有深遠的影響。此外,當臨界尺寸縮小至更小的尺寸時,圖案化處理已包含更短的光波長,該波長可能不會如較長的波長般具有很多光子。因此,於光敏感材料中所產生的酸量可能較低。所以,任何可增加每個極紫外光(EUV, Extreme Ultraviolet)光子所產生酸量的材料或技術是令人嚮往的。
一個在光敏感材料中可增進酸生成的方法,可能為納入可放大曝光過程及後續處理中可能發生之化學反應之化學化合物。此方法的一個例子可為使用光敏感化學放大光阻(PS-CAR, Photosensitized Chemically Amplified Resist),該光阻可增加光敏感材料或光阻中的化學反應或化學濃度。光阻中的化學濃度可藉由使用一個兩步驟的曝光過程來控制。第一曝光過程可為一圖案化的曝光過程,該過程可產生光敏劑(PS, photosensitizer),及藉由在光阻中的化學反應而從光酸產生劑(PAG, photoacid generator)產生酸。第一次曝光過程可調整以使光敏劑及光酸產生劑的吸光度皆最大化。在一實施例中,這可藉由選擇小於300nm波長的光來達成。第二次曝光過程可激發光敏劑,其可分解額外光酸產生劑,以在曝光圖案區域中取得酸擴增,而在第一曝光的暗區或未曝光區域最小化酸濃度。在一實施例中,此兩步驟的曝光過程可使用兩個不同的波長(例如:極紫外線(EUV)、紫外線)。此圖案化的曝光過程可使用極紫外線光譜的波長,而整片曝光過程可使用紫外線光譜的波長。
一個使用PS-CAR值得關注的地方為與曝露至光阻的曝光步驟相關連的反射率和吸收度控制。在一實施例中,反射率和吸收度控制可藉由定制在典型光阻層之下的薄膜層(例如:下層(UL, underlayer))來處理,以在整片曝光過程中有最少的反射或沒有反射。上述可藉由使用對整片曝光波長具有高吸吸度的材料來達成。例如:光阻層與下層間的折射率差值可用來將來自入射光和反射之整片式曝光的光之間的干涉之最高強度定制成較佳配置在光阻層之中(例如:靠近光阻/下層介面),藉此避免微細橋接及/或浮渣,或藉此當光阻被顯影時具有定制的光阻剖面控制。
在其他的實施例中,一個或多個頂部塗層可沉積在光阻層之上,以使光酸產生劑分解最佳化。此頂部塗層可被設計用於排氣及譜帶外(OOB, out-of-band)輻射控制。例如:在EUV/UV曝光過程,可能期望防止EUV的譜帶外輻射(~248nm)造成意外PAG分解,但能允許UV整片式目標曝光波長激發光敏劑。在一特定的實施例中,第一頂部塗層在第一次曝光前可沉積在光阻層上。第一頂部塗層可從基板上移除,且第二頂部塗層在整片式曝光前可沉積在光阻層上。在另一個實施例中,頂部塗層在第一次曝光前可被塗佈,且在第二次曝光前可被移除。第二頂部塗層不需要在第二次曝光前塗佈。
第二個關於PS-CAR此概念值得關注的地方係相關於當PS-CAR此概念推至低EUV曝光量極限時的散粒雜訊效應。將EUV曝光量推至其極小值時,被稱作EUV散粒雜訊的光子/二級電子散粒統計問題會產生。因為光敏劑緊鄰任何轉換自PAG的酸而產生,光敏劑將大程度地複製這個問題,且因此產生光敏劑濃度散粒雜訊效應。在UV整片式激發之前或在其現場時,若沒有校平光敏劑濃度散粒雜訊,光敏劑集中散粒雜訊將會導致依特徵部類型之非最佳化的線寬粗糙度(LWR)、LER及CER。在UV整片式曝光步驟之前納入一烘烤步驟以誘導亞於10nm等級之光敏劑擴散,可校平光敏劑濃度散粒雜訊且可導致較佳的LWR、LER及/或CER。
雖然本發明將參照在附圖中所示的實施例來描述,但是應當理解,本發明可以以實施例的許多備選形式來體現。此外,任合合適大小、形狀或類型的元件或材料皆可使用。
在半導體製造中,光阻或光敏感材料已被用於藉由在基板上沉澱、圖案化及蝕刻膜,製造電子元件。縮小電子元件的尺寸及維持影像品質(例如:解析度、線寬粗糙度及靈敏度)可增進元件的性能及產率。極紫外線(EUV)光微影術是一個可縮小尺寸的方法,其具有可影響現存光阻化學性質的固有限制。例如:EUV光阻可能需要補償EUV光源的較低功率,且同時維持光阻靈敏度及影像解析度。一個用以處理此較低功率限制的方法可能包括使用光敏化化學放大光阻(PS-CAR, Photosensitized Chemically Amplified Resist),如Seiichi Tagawa等人發表於Journal of Photopolymer Science and Technology, vol. 26 (2013) No. 6, pg. 825-830,標題為“Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist(PS-CAR) Process”的文獻所述。雖然PS-CAR的使用已使EUV處理具有可能性,但是額外的技術可用來增進光阻上的吸附及反射率控制,以促進EUV處理的影像品質。
PS-CAR化學性質可藉由一個兩步驟的曝光過程達成,該過程可至少在一定程度上基於照射於塗上光阻之基板的光的類型,而產生不同濃度的酸及/或光敏劑(PS)。該酸可產生在當光或藉由光吸收而產生的二次電子係與光阻中的光致產酸劑(PAG)互相作用之時;且光敏劑(PS)可產生在當光與包含於光阻內的PS產生劑互相作用之時。
PS-CAR光阻包括一光敏劑產生化合物以及一光致產酸(PAG, photoacid generation)化合物。一個光敏劑分子可吸收光能及將光能轉換至另一分子(例如:PAG)。某些光敏劑化合物可在基態轉換能量,而其他則可在激發態進行轉換。光敏劑產生化合物可包括但不限於苯乙酮、聯伸三苯、二苯甲酮、芴酮(flourenone)、蒽醌、菲、或其衍生物。一光致產酸劑(PAG)可為陽離子型光引發劑,該光引發劑可使被吸收的光能轉變為化學能 (例如:酸性反應)。酸產生化合物可包括但不限於下列至少一種:三苯鋶三氟甲磺酸鹽、三苯鋶全氟丁磺酸鹽、三苯鋶全氟辛基磺酸鹽、三芳基鋶三氟甲磺酸鹽、三芳基鋶全氟丁磺酸鹽、三芳基鋶全氟辛基磺酸鹽、三苯鋶鹽、三芳基鋶鹽、三芳基鋶六氟銻酸鹽、N-羥基萘二甲醯亞胺三氟甲磺酸鹽、1,1-雙[對-氯苯基]-2,2,2-三氯乙烷(DDT)、1,1-雙[對-甲氧苯基]-2,2,2-三氯乙烷、1,2,5,6,9,10-六溴環十二烷、1,10-二溴癸烷、1,1-雙[對-氯苯基]2,2-二氯乙烷、4,4-二氯-2-(三氯甲基)二苯甲醇、1,1-雙(氯苯基)2,2,2-三氯乙醇、六氯二甲基碸、2-氯-6-(三氯甲基)吡啶、或其衍生物。
參看圖1A及1B,圖1A係包括第一次曝光後酸106和光敏劑108濃度的圖例100,以及基板104上薄膜堆積的橫剖面圖102;圖1B係包括第二次曝光後酸120和光敏劑122濃度的圖例118,以及基板104上薄膜堆積的橫剖面圖126。在一個實施例裡,第一次曝光中,大部分光114之波長可能小於300nm;而第二次曝光的其中一部分,大部分光的波長可能大於300nm。
圖1A中圖例100係說明第一次曝光的結果,在第一次曝光中PS-CAR可配置成產生相對較低濃度的酸106及光敏劑108。第一次曝光光波長的光可具有相對較低的能量,該能量可產生初始量的酸106及初始量的光敏劑108。圖例100是用來解釋和表示在此兩步驟過程中的濃度差異,且無意限制在第一次或第二次的曝光過程中濃度的規模或範圍。其目的是要凸顯在第一次曝光的過程中,PAG可能不會完全分解或轉變成酸,以及在第二次曝光的過程可能會增加酸的濃度。
在一個實施例中,薄膜堆積可包括一沉積在底層112上的光阻層110,而底層112是形成或沉積於基底104上。光阻層110可包括但不限於PS-CAR化學成分,及可保留可用以控制光的反射率或吸收率的額外成分。在第一次曝光的過程中,當光114的第一波長藉由一圖案化的遮罩116曝光時,可產生初始量的酸106及初始量的光敏劑108。當光114與光阻層110中曝光區域互相作用時,可引發PS-CAR化學反應。光114未與光阻層110互相作用的未曝光區域,PS-CAR化學反應可能不會發生,或可能相對於曝光區域以最小或可忽略的量發生。如橫剖面圖102所示,酸/光敏劑的濃度可在光阻層110曝光的區域較高。在此特定實施例中,酸/光敏劑的濃度可在光阻層110及底層112的界面處較高。然而,在其他實施例中,酸/光敏劑的濃度可能不需要在光阻層110及底層112的界面處較高。酸/光敏劑濃度峰值位置可隨著薄膜堆積及曝光特性而改變。
於圖1B中,第二個圖例118顯示光阻層110經第二次曝光後,有較高的酸120濃度及/或光敏劑122濃度。第二次曝光可為第二範圍光124波長的整片或全面曝光。第二次曝光可遍及整個光阻層110,使得PAG可於整個光阻層110中分解成酸。然而,光敏劑122將增加酸的濃度或PAG的分解速率。光敏劑122可位於光阻層110中在第一曝光期間曝光於第一波長光114的部分。相對應地,酸120濃度相對於第一次曝光的未曝光區域,在這些位置是較高的,如第二次曝光橫剖面圖126所示。
除了在Seiichi Tagawa等人發表於Journal of Photopolymer Science and Technology, vol. 26 (2013) No. 6, pgs. 825-830的“Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist Process”論文中描述的那些技術外,酸120濃度和位置可使用光阻層110及/或底層112的各種特性加以最佳化,進而控制在光阻層110中酸120濃度的量或酸濃度的位置。這些技術可增加在曝光步驟中可轉換至PAG/PS能量的數值,以定制顯影後光阻層110的輪廓或幾何形狀。
圖2係包括薄膜堆積(例如:光阻層110、底層112)的兩步驟曝光過程之方法的流程圖202的說明,以及該方法一個實施例的伴隨橫剖面示意圖200。此兩步驟的曝光過程可用以允許光阻層110中來自多個成分之不同的反應。例如:PS-CAR化學成分包括PAG,其可用來產生可改變光阻層110光靈敏度特性的酸。該方法可藉由可沉積、圖案化、曝光、及/或顯影光阻層110的一個或多個處理室或工具來施行。
在方塊圖204中,一基板104由可分配光阻到基板104表面上的塗佈工具加以接收。該基板104可包括可使用光阻層110圖案化的一個或多個底層112。底層112的厚度和組成可被最佳化以控制光如何從基板104的表面反射。在一實施例中,底層112的類型可基於底層112的折射率和厚度來選擇。這些特性可藉由光阻層110的特徵(例如:折射率、厚度等)來進行最佳化,以控制在兩步驟的曝光過程中能量如何轉換至光阻層110。
在方塊圖206中,光阻層110可沉積或分配於底層112上。光阻層110包括兩個或多個光波長活化閾值,其可使光阻層110中一個被控制的化學反應序列能夠執行,進而在選定的位置及不同濃度之處產生酸。光阻層110可包含PS-CAR化學成分,其可基於光114的波長及/或劑量而產生不同濃度或不同量的酸。例如:當光阻層110曝光於第一範圍波長內的光114 時,其PS-CAR化學成分可在光阻層110中產生第一量的酸106。然而,PS-CAR化學成分可部分地分解,且當PS-CAR化學成分曝光於第二範圍波長內的光時,其仍然可產生額外的酸。
在一個實施例中,光114/124波長的第一及第二範圍可為互斥且不包括任何重疊的波長。例如:第一範圍可為任何小於200nm的波長,第二範圍可為大於或等於200nm的波長。在其他實施例中,第一及第二範圍的波長可重疊使得第一範圍的波長可小於100nm且第二範圍的波長可為任何大於5nm的波長。在一特定的實施例中,光114波長的第一範圍可為小於30nm(例如:13.5nm),而光114波長的第二範圍可為大於200nm。在另一特定的實施例中,光114波長的第一範圍可為小於或等於193nm,而光124波長的第二範圍可為大於200nm。在另一特定的實施例中,波長的第一範圍是小於或等於248nm,波長的第二範圍是大於300nm。光阻層110用於乾式或浸潤式微影處理、或KrF雷射微影處理或EUV微影處理。
除了發色團,反射率可受光阻層110及/或底層112的折射率及/或厚度影響。折射率為一定量的表示法,其代表當傳播進入介質時,有多少光124將彎曲或折射。折射率可為光在真空中的速度與光在介質中速度之比值。改變光124的方向可使更多的能量能夠轉移進入光阻層110及/或底層112。例如:光可沿著薄膜堆積較長的部分反射,或可從底層112及/或基板104反射回到光阻層110之中。由於入射光124和反射光(未顯示)間的干涉作用,入射光及反射光在薄膜堆積中可產生較多能量。干涉位置可藉由最佳化薄膜堆積成份(例如:光阻層110、底層112及/或基板104)的折射率及/或厚度,而加以最佳化以在薄膜堆積中產生於期望的位置。概括地說,光阻層110的折射率數值可介於0.95和1.75之間,而厚度可介於30nm到250nm之間。例如:以大於300nm光波長為目標的光阻層110可具有折射率數值介於1.3和1.75之間,以及厚度介於80nm至250nm之間,尤其在100nm至120nm之間。以約193nm光波長為目標的光阻層110,可具有折射率數值範圍介於1.65和1.75之間,以及厚度介於80nm至250nm之間,特別是介於100nm至120nm之間。以小於15nm波長為目標的EUV光阻層110,可具有折射率數值介於0.95至1.05之間,以及厚度介於30nm至60nm之間。
在一實施例中,薄膜堆積的特性可最佳化,以使入射光124和反射光(未顯示)在光阻層110和底層112之間的介面處達到較高程度的光干涉。該較高程度的光干涉可加以調整以發生在橫跨薄膜堆積的一水平面內。在一實施例中,干涉平面可在光阻層110和底層112間的介面或靠近介面處。然而,干涉平面可能不限於該介面,且可使用描述於本申請案的技術、或在此技術領域具有通常知識者理解的技術加以調整。分布在整個干涉平面的較高能量,可較光阻層110的其他區域在整個平面產生較高濃度的酸106。介面處較高濃度的酸106可用來移除或分解底層112表面上的有機物質,或用以當光阻層顯影時定制光阻層110的輪廓角度(profile angle)或幾何形狀。
在其他的實施例中,干涉平面可位於一光阻層110的表面,該表面係在光阻層110和底層112之間介面的相反側。較高程度的光干涉可於整個光阻層110產生較高的酸濃度。較高的酸濃度可改變顯影的光阻層110之輪廓角度或幾何形狀。例如:在顯影的光阻層110中的開口,與光阻層110和底層112之間介面處相比,於開口頂部處可能較寬。控制酸106的位置及濃度可允許調整光阻層110中開口的的輪廓角度或幾何形狀。這些最佳化的開口幾何形狀可用以使線/空間圖案化的微細橋接(micro-bridging)及/或接觸孔圖案化的浮渣減至最少。
在方塊圖208中,此兩步驟的過程可包括將第一光114波長通過一圖案遮罩116曝光到基板104上的薄膜堆積上。在一實施例中,當曝光於小於300nm波長的光時,光阻層110可包含可產生少量酸106之PS-CAR化學成分。酸的產生可靠近光阻層110的曝光部分發生。從圖案遮罩116透過的光114可留下光阻層110的未曝光的部分,其可能比光阻層曝光的部分有較低的酸濃度。
在其他的實施例中,光124的劑量及波長可改變以調整光阻層110的曝光區域中的酸濃度。例如:在一EUV實施例中,光114的波長可小於15nm或接近13.5nm。在此例子,該曝光過程可限制光114屬於EUV光譜,使得在譜帶外的輻射(例如:UV光,~>30nm)可能不會曝光至光阻層110。然而,在其他實施例中,光114可包含比EUV實施例較寬的波長範圍。例如:光114可包括EUV及UV光譜內的波長,但可能不包括整個EUV或UV光譜的波長。
在一特定的實施例中,光114可包括EUV光譜及UV光譜中上達200nm波長的部分,但可能不包括大於200nm波長的光114。例如:該曝光過程可包括不大於193nm波長的光114。然而,在另一實施例中,曝光過程可包括不大於248nm波長的光114且可包括13.5nm及193nm的波長。
在另一特定的實施例中,光114可包括UV光譜且可排除EUV光譜。因此,曝光過程可包括193nm處理過程或248nm處理過程,但不包括小於30nm波長的光114。
可在光阻層110曝光區域產生之酸106和光敏劑108初始量,可藉由將酸106和光敏劑108曝光於額外能量(例如:光124)而增加至一較大的數值,進而在光阻層110中增加酸的量及/或擴散該酸。
在方塊圖210中,光阻層110可曝露於光124波長的第二範圍,其可不同於第一次曝光的波長。此外,第二次曝光可為整片或全面曝光,將整個光阻層110曝露於光124中。然而,可能不需要全面曝光並可以圖案化的曝光取代之。在一實施例中,當第一次曝光的波長小於300nm時,第二次曝光可包括大於300nm的光波長。更具體而言,當第一次曝光的光波長屬於EUV光譜的情況。在一特定的實施例中,當第一次曝光具有小於或等於約248nm、193nm或13.5nm的光波長時,第二次曝光的波長可為約365nm。在一特定的實施例中,光124的波長可為約365nm。
在另一個實施例中,底層112的組成可改變以影響反射率。例如:底層112可摻雜發色團(未顯示),此發色團可藉由吸收光124,或選擇性地吸收某些光124的波長及反射其他光124的波長,以限制反射率。如此,發光團可加以選擇以吸收某些波長的光,以最佳化可被反射回到光阻層110之中的光波長或量。一般而言,發色團通常為有機化合物,其包括一碳元素及至少一個或多個下列元素:氫、氧、氮、鉍、溴、硫或碘。發色團化合物的最大吸收波長可介於250nm及400nm之間。一個或多個發色團可加以選擇,用以過濾掉不期望的光124波長,其可被底層112反射或被光阻層110的覆蓋層(未顯示)吸收。發色團化合物可包括但不限於CH3 -CH3 、CH4 、CH3 OH、或CH3 SH。除了發色團的類型和濃度,其在底層112的位置也可能影響反射率。至少在一定程度上,反射率可基於底層112中最高濃度發色團的位置而受影響。最高濃度之處可位於光阻層110或底層112中的任何位置。一般而言,高濃度區域和低濃度區域間的濃度差值以體積、質量或莫耳數而言可大於至少5%。
在一實施例中,發色團在光阻層110和底層112的介面處比底層112或光阻層110的其餘部分包含較高的濃度。在另一實施例中,發色團在靠近與光阻層110和底層112之介面為相反側的光阻層110的表面包含較高的濃度。光阻層110可比底層112有較高的發色團濃度。在此情況下,在這個薄膜堆積實施例中,光阻層110的表面或頂端部可能有最高的發色團濃度。光124被光阻層110的頂部表面反射或吸收,如此可將用來產生酸106或光敏劑108的所需能量(例如:光124)之數值降到最低。
在方塊圖212中,光阻層110可使用化學處理顯影,以將由兩步驟曝光過程變為可溶之光阻層110的部分214移除。光阻層110可包括正光阻或負光阻。當照光時,正光阻的曝光部分可變為可溶;而當照光時,負光阻的曝光部分變為不可溶。此兩步驟曝光過程可使用沒有限制的任何類型光阻。
兩步驟過程的另一實施例中,可納入一烘烤步驟在第一次曝光後(例如:EUV)但在第二次曝光前(例如:UV整片曝光),或是在在第二次曝光期間。當EUV曝光劑量接近其最小極限時,可能存在被稱作EUV散粒雜訊(shot noise)的光子/二級電子散粒統計問題。由於光敏劑122緊鄰任何轉變的光酸產生劑產生,光敏劑122將大程度地複製這個問題。在沒有在UV整片激發之前或在UV整片激發現場校平光敏劑108濃度散粒雜訊效應(光敏劑108濃度散粒雜訊)的情況下,光敏劑108濃度散粒雜訊效應可能導致依據特徵部之非最佳化的線寬粗糙度(LWR)、LER及CER。此問題可藉由下列方式來克服:在UV整片曝光步驟之前或期間加入一烘烤步驟,以誘導亞於10nm等級之光敏劑108擴散,以校平光敏劑108濃度散粒雜訊且導致依據特徵部類型之較佳的LWR、LER及CER。理想地,光敏劑108擴散的活化能可被設計為比酸擴散和放大的活化能低得多,使得整片曝光前或整片曝光現場的烘烤可有效地僅導致光敏劑108擴散。若不是這種情況,那麼可能需要某種程度同時優化整片烘烤及傳統後曝光烘烤(在光敏劑122已將額外的PAGs轉換成酸之後)。
在一實施例中,烘烤步驟可包括在第一次曝光後,以不多於120秒的時間加熱基板至30o C至60o C之間。或者,該加熱過程可執行為以不多於120秒的時間加熱至30o C與90o C之間。在某些情況下,烘烤步驟可在第二次曝光後進行以改善LWR。第二次加熱過程可以不多於120秒的時間加熱至80o C與130o C之間。
兩步驟過程的其他實施例可包括額外的技術,以變化光124如何在薄膜堆積中反射。這可包括增加一覆蓋層至顯示於圖2之薄膜堆積。
圖3A-3B包括膜堆疊兩步驟曝光過程的方法之流程圖300,及該方法之實施例的伴隨橫剖面示意圖302。除了改變光阻層110及底層112外,薄膜堆積內光的反射及吸收也可藉由增加及/或移除薄膜堆積成份來改變。光114的照射量及/或干涉平面的位置可加以最佳化,以影響於第一次曝光中產生之酸106或光敏劑108的量,或影響於第二次曝光中產生之酸120或光敏劑122的量。薄膜堆積組成可於第一次曝光之前及/或第一次曝光後修改。
在方塊圖304中,接收包含底層112及在該底層112上之光阻層110的基板。光阻層110可包括兩個或多個光波長活化閾值,其可使光阻層110內一被控制的化學反應序列能夠進行,以在被選定的位置及於不同濃度處產生酸。光阻層110可具有PS-CAR化學成分,其可基於光114的波長及/或劑量而產生不同濃度或不同量的酸。例如:當第一範圍波長內的光114曝照光阻層110時,其PS-CAR化學成分可於光阻層110內產生第一量的酸106。然而,PS-CAR化學成分可部分地分解,且當PS-CAR化學成分曝露於第二範圍波長內的光時仍然可能能夠產生額外的酸。光阻層110和底層112亦可使用如圖2中所描述的技術或方法來進行配置,以最佳化反射率或吸光度。薄膜堆積的反射率及吸光度特性可藉由在曝光之前及/或之後增加或移除額外的薄膜層以進行最佳化。例如:沉積於光阻層110之上的頂部塗層可用來過濾譜帶外波長或不期望的波長。
在方塊圖306中,第一頂部塗層314可沉積在光阻層110上,以控制波長的類型及/或曝露於光阻層110的能量。沉積過程可藉由噴塗或分配溶劑或液滴於光阻層110的表面上而達成。第一頂部塗層314可用以反射或吸收特定光114波長,以控制在第一次曝光時酸106和光敏劑108的濃度。波長的限制可施加至沿著EUV和UV光譜的部分,以避免或結合光譜重疊部分,或用以限制在每個光譜部分的曝光。例如:第一頂部塗層314在第一次曝光時,可用來過濾任何大於30nm的波長。然而,在其他實施例中,一個或多個光114波長的範圍可從光阻層110中過濾或反射掉。
在方塊圖308中,光114波長的第一範圍可經由一圖案化的遮罩116曝露至光阻層110及頂部塗層314。如圖1A-1B及圖2中的說明所述,曝光的區域可比未曝光的區域有較高濃度的酸106及光敏劑108。然而,部分光114的波長在到達光阻層110前可被第一頂部塗層314反射或吸收。日本東京的Nissan Chemical Industries製造一種EUV頂部塗料化學品(例如:譜帶外保護層(OBPL, Out of Band Protection Layer)系列),其可將頂部塗層314塗佈於光阻層110上。EUV頂部塗料對於非EUV光譜(例如:DUV光譜)的波長有高吸收率,且對EUV光譜(例如:13.5nm)有高的穿透率。第一頂部塗層314也可避免排氣或與光阻層110混合。
兩步驟的曝光過程可能需要不同的光124波長以在光阻層110中產生第二個化學反應。然而,第一頂部塗層的存在可能反射或吸收非EUV的波長。
在方塊圖310中,基板104可加工以移除第一頂部塗層314,進而使光阻層110外露。在此技術領域具有通常知識者可使用濕式或乾式的化學技術以移除第一頂部塗層314,例如:化學浴處理或電漿處理。該移除過程可設計以限制在第二次曝光前光阻層110中酸的產生。例如:電漿處理可設計成最小化或不產生可分解PAG或激發光敏劑108的能量。
在方塊圖312中,基板104可曝光於光124的第二範圍波長,該波長可使PAG分解及/或激發光敏劑122使PAG分解,以在光阻層110中產生較高濃度的酸120。第二次曝光為將光124分布於整個光阻層110各處的全面式的曝光。由於全面曝光,光阻層110具有光敏劑122的部分將比光阻層110不具有光敏劑122或至少是有較低光敏劑122濃度的部分,有較高的酸120產生速率或濃度。
在其他實施例中,第二次曝光可為圖案化的曝光過程,其可在光阻層110上使用遮罩以產生曝光或未曝光的區域。該遮罩可能是或可能不是與第一次曝光中所使用的遮罩116相同。如此,光阻層110可包括三個或更多具有不同酸量的不同區域。因此,顯影的光阻層110可能具有至少兩個不同輪廓角度或幾何形狀的開口。
在另一個實施例中,於移除第一頂部塗層後沉積第二頂部塗層(未顯示)於光阻上,其中第二頂部塗層可避免小於300nm波長的光抵達光阻。
該被理解的是,上述描述僅用以說明本發明。精於本項技術之人士可設計出各種替代方案以及改良處理而不背離本發明。因此,本發明意圖包括落入隨附申請專利範圍之範疇內的所有此等替代方案、改良處理以及變化。   【元件符號說明】
100‧‧‧圖例
102‧‧‧橫剖面圖
104‧‧‧基板
106‧‧‧酸
108‧‧‧光敏劑
110‧‧‧光阻層
112‧‧‧底層
14‧‧‧光
116‧‧‧遮罩
118‧‧‧圖例
120‧‧‧酸
122‧‧‧光敏劑
124‧‧‧光
126‧‧‧橫剖面圖
200‧‧‧橫剖面示意圖
202、204、206、208、210、212‧‧‧流程圖
214‧‧‧部分
300、304、306、308、310、312‧‧‧流程圖
302‧‧‧橫剖面示意圖
314‧‧‧頂部塗層
包含在說明書中並構成本說明書的一部分的附圖,說明本發明的實施例,並連同以上發明說明、以及以下實施方式章節,用於解釋本發明。此外,一個參考號碼最左邊的數字標識該參考號碼首次出現的附圖。
圖1A說明經第一範圍光波長的照射後光阻層的化學濃度分布,及經第一波長的光圖案化曝光照射後,基板上薄膜堆積的橫剖面圖。
圖1B說明經第二範圍光波長照射後,圖1A所示光阻層的化學濃度分布,及經第二範圍光波長以整片式曝光照射後,基板上薄膜堆積的橫剖面圖。
圖2包括一薄膜堆積的兩步驟曝光過程之方法流程圖,及該方法一個實施例的伴隨橫剖面示意圖。
圖3A-3B包括一薄膜堆積的兩步驟曝光過程之方法流程圖,及該方法一個實施例的伴隨橫剖面示意圖。
102‧‧‧橫剖面圖
104‧‧‧基板
110‧‧‧光阻層
112‧‧‧底層
114‧‧‧光
116‧‧‧遮罩
124‧‧‧光
200‧‧‧橫剖面示意圖
202、204、206、208、210、212‧‧‧流程圖
214‧‧‧部分

Claims (20)

  1. 一種處理基板的方法,包含: 接收基板,該基板包含一底層於該基板之上,該底層包含一底層折射率及一底層厚度;以及 沉積一光阻層於該底層上,該光阻層包含: 一第一光波長的活化閾值,在該光阻層中控制酸生成至一第一酸濃度;以及 一第二光波長的活化閾值,增加該第一酸濃度至一第二酸濃度,該第二光波長不同於該第一光波長; 通過一圖案化的遮罩曝露一第一波長的光到該基板上;以及 曝露一第二波長的光到該基板上,該第二波長的光包含不同於該第一光波長的波長。
  2. 如申請專利範圍第1項的處理基板的方法,其中該底層包含一發色團,其限制光從該底層的表面反射回來。
  3. 如申請專利範圍第2項的處理基板的方法,其中該發色團包含一碳元素及至少一個或多個下列元素:碳、氫、氧、氮、鉍、溴、硫或碘。
  4. 如申請專利範圍第1項的處理基板的方法,其中該光阻層包含: 一光阻折射率; 一光阻厚度; 以及 一干涉平面,鄰近該底層和該光阻層的介面,該干涉平面配置成與該光阻層的其他部分相比在光從該底層反射回來時接收相對較高的光強度干涉。
  5. 如申請專利範圍第4項的處理基板的方法,其中該光阻折射率包含介於0.9及1.7之間的數值。
  6. 如申請專利範圍第2項的處理基板的方法,其中該發色團在該光阻層及該底層的介面處包含與該光阻層其餘部分相比較高的濃度。
  7. 如申請專利範圍第1項的處理基板的方法,其中 該光阻層包含: 一光阻折射率; 一光阻厚度;以及 該光阻層的一干涉表面,位於與該光阻層和該底層的介面為相反側的該光阻層的一表面處,該干涉表面配置成與該光阻層其他部分相比當光從該底層反射回來時接收相對較高的光強度干涉。
  8. 如申請專利範圍第7項的處理基板的方法,其中該光阻層厚度包含介於30nm和60nm之間的數值。
  9. 如申請專利範圍第2項的處理基板的方法,其中該發色團在鄰近與該光阻層和該底層的介面為相反側的該光阻層的一表面處包含較高的濃度。
  10. 如申請專利範圍第1項的處理基板的方法,其中該光阻層包含: 一光敏劑產生化合物,包含: 苯乙酮; 聯伸三苯; 二苯甲酮; 芴酮(flourenone); 蒽醌; 菲;或 其衍生物;以及 一光酸產生化合物,包含: 至少下述其中一種:三苯鋶三氟甲磺酸鹽、三苯鋶全氟丁磺酸鹽、三苯鋶全氟辛基磺酸鹽、三芳基鋶三氟甲磺酸鹽、三芳基鋶全氟丁磺酸鹽、三芳基鋶全氟辛基磺酸鹽、三苯鋶鹽、三芳基鋶鹽、三芳基鋶六氟銻酸鹽、N-羥基萘二甲醯亞胺三氟甲磺酸鹽、1,1-雙[對-氯苯基]-2,2,2-三氯乙烷(DDT)、1,1-雙[對-甲氧苯基]-2,2,2-三氯乙烷、1,2,5,6,9,10-六溴環十二烷、1,10-二溴癸烷、1,1-雙[對-氯苯基]2,2-二氯乙烷、4,4-二氯-2-(三氯甲基)二苯甲醇、1,1-雙(氯苯基)2,2,2-三氯乙醇、六氯二甲基碸、2-氯-6-(三氯甲基)吡啶、或其衍生物。
  11. 一種處理基板的方法,包含: 接收基板,該基板包含一底層於該基板之上; 沉積一光阻層於該底層上,該光阻層包含: 一第一光波長的活化閾值,在該光阻層中控制酸生成至一第一酸濃度;以及 一第二光波長的活化閥值,增加該第一酸濃度至一第二酸濃度,該第二光波長不同於該第一光波長; 沉積一第一頂部塗層於該光阻層上; 通過一圖案化的遮罩曝露一第一範圍的光波長到該第一頂部塗層及該光阻層上; 移除該第一頂部塗層以使該光阻層曝露; 曝露一第二範圍的光波長至該光阻層上,此曝光係在整個該光阻層上的一全面曝光(blanket exposure);以及 顯影該光阻層。
  12. 如申請專利範圍第11項的處理基板的方法,其中第一頂部塗層防止大於15nm波長的光抵達該光阻層。
  13. 如申請專利範圍第12項的處理基板的方法,更包含在移除該第一頂部塗層後,沉積一第二頂部塗層於該光阻層上。
  14. 如申請專利範圍第13項的處理基板的方法,其中第二頂部塗層防止小於300nm波長的光抵達該光阻層。
  15. 一種處理基板的方法,包含: 沉積一底層於基板上; 沉積一光阻層於該底層上,該光阻層包含: 一第一光波長的活化閥值,在該光阻層中控制酸生成至一第一酸濃度;以及 一第二光波長的活化閥值,增加該第一酸濃度至一第二酸濃度,該第二光波長不同於該第一光波長; 沉積一EUV頂部塗層於該光阻層上; 通過一圖案化的遮罩曝露一第一波長的光到該EUV頂部塗層及該光阻層上,該第一波長的光包含在EUV光譜中的一波長; 曝露一第二波長的光至該EUV頂部塗層及該光阻層,第二次曝光係該基板的一全面曝光,該第二波長的光包含不同於該第一光波長的一波長;以及 顯影該光阻層。
  16. 如申請專利範圍第15項的處理基板的方法,其中該第一波長包含小於30nm的一量值,且該第二波長的光包含大於或等於30nm的一量值。
  17. 如申請專利範圍第15項的處理基板的方法,更包含於第一波長的光的曝露步驟後,以不多於120秒的時間加熱該基板於30o C與90o C之間。
  18. 如申請專利範圍第17項的處理基板的方法,更包含於第二波長的光的曝露步驟後,以不多於120秒的時間內加熱該基板於80o C與130o C之間。
  19. 如申請專利範圍第15項的處理基板的方法,其中該EUV頂部塗料建構成: 在第一波長的光的曝光期間,減少從該光阻層的排氣, 減少因來自第一波長範圍的光的曝光的譜帶外輻射而造成的意外酸光解之產生,以及 允許第二波長的光通過該光阻層。
  20. 如申請專利範圍第15項的處理基板的方法,其中該EUV頂部塗層包含介於0.9至1.1數值間的一折射率。
TW104105863A 2014-02-24 2015-02-24 與光敏化化學放大光阻化學品及程序一起使用的方法及技術 TWI575566B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201461944041P 2014-02-24 2014-02-24

Publications (2)

Publication Number Publication Date
TW201539539A true TW201539539A (zh) 2015-10-16
TWI575566B TWI575566B (zh) 2017-03-21

Family

ID=53879163

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104105863A TWI575566B (zh) 2014-02-24 2015-02-24 與光敏化化學放大光阻化學品及程序一起使用的方法及技術

Country Status (5)

Country Link
US (2) US9618848B2 (zh)
JP (2) JP6758575B2 (zh)
KR (2) KR102615912B1 (zh)
TW (1) TWI575566B (zh)
WO (1) WO2015127459A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10096528B2 (en) 2016-05-13 2018-10-09 Tokyo Electron Limited Critical dimension control by use of a photo agent
CN109073984A (zh) * 2016-02-19 2018-12-21 东京毅力科创株式会社 光敏化学放大型抗蚀剂(ps-car)模拟
CN109313394A (zh) * 2016-05-13 2019-02-05 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
US10534266B2 (en) 2014-02-24 2020-01-14 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US11163236B2 (en) 2019-08-16 2021-11-02 Tokyo Electron Limited Method and process for stochastic driven detectivity healing

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10020195B2 (en) * 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
US10503070B2 (en) * 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
JP6531723B2 (ja) * 2016-06-29 2019-06-19 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR102669150B1 (ko) * 2016-07-27 2024-05-27 삼성전자주식회사 자외선(uv) 노광 장치를 구비한 극자외선(euv) 노광 시스템
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR102129049B1 (ko) * 2017-09-11 2020-07-01 주식회사 엘지화학 광산 발생제 및 이를 포함하는 후막용 화학 증폭형 포지티브 타입 포토레지스트 조성물
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102590254B1 (ko) * 2018-06-14 2023-10-17 오사카 유니버시티 레지스트패턴 형성방법
KR20210065928A (ko) 2018-07-19 2021-06-04 린트필드 리미티드 티오크산톤 유도체 및 이를 포함하는 조성물 및 상기 조성물을 포함하는 패턴 형성 방법{Thioxanthone derivatives, composition comprising the same and pattern forming method comprising said composition}
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
GB202000736D0 (en) 2020-01-17 2020-03-04 Lintfield Ltd Modified thioxanthone photoinitators
CN115362414A (zh) * 2020-04-03 2022-11-18 朗姆研究公司 用于增强euv光刻性能的暴露前光致抗蚀剂固化
CN112257270A (zh) * 2020-10-23 2021-01-22 东方晶源微电子科技(北京)有限公司深圳分公司 一种负显影光刻工艺的全芯片快速仿真方法、负显影光刻胶模型、opc模型及电子设备

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
DE3576088D1 (de) 1985-05-29 1990-03-29 Ibm Deutschland Verfahren zur reparatur von transmissionsmasken.
US4931380A (en) 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0654390B2 (ja) * 1986-07-18 1994-07-20 東京応化工業株式会社 高耐熱性ポジ型ホトレジスト組成物
JPH0740543B2 (ja) 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH01234852A (ja) * 1988-03-16 1989-09-20 Fujitsu Ltd 微細パターン形成方法
JPH04239116A (ja) * 1991-01-14 1992-08-27 Fujitsu Ltd 半導体装置の製造方法
JP2723405B2 (ja) * 1991-11-12 1998-03-09 松下電器産業株式会社 微細電極の形成方法
US5294680A (en) * 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
JP3158710B2 (ja) * 1992-09-16 2001-04-23 日本ゼオン株式会社 化学増幅レジストパターンの形成方法
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
TW276353B (zh) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
SE9502957D0 (sv) * 1995-08-28 1995-08-28 Pharmacia Ab Device for displacing a member in a container
JPH0990621A (ja) * 1995-09-21 1997-04-04 Canon Inc レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法
JP2910654B2 (ja) * 1996-01-30 1999-06-23 日本電気株式会社 レジストパターン形成方法
JP2867964B2 (ja) * 1996-06-27 1999-03-10 日本電気株式会社 レジスト膜パターンの形成方法
JPH1083947A (ja) * 1996-09-09 1998-03-31 Hitachi Ltd レジストパターン形成方法およびその装置
US5905019A (en) * 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11168052A (ja) * 1997-12-04 1999-06-22 Mitsubishi Electric Corp 半導体装置の製造方法
JPH11237737A (ja) * 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
JP3013337B2 (ja) * 1998-02-02 2000-02-28 松下電器産業株式会社 パターン形成方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6245492B1 (en) * 1998-08-13 2001-06-12 International Business Machines Corporation Photoresist system and process for aerial image enhancement
JP2000194141A (ja) 1998-12-28 2000-07-14 Kansai Paint Co Ltd レジストパタ―ン形成方法
JP2000208408A (ja) * 1999-01-19 2000-07-28 Nec Corp 化学増幅系レジストのパタ―ン形成方法
JP4557328B2 (ja) * 1999-02-01 2010-10-06 富士フイルム株式会社 ポジ型フォトレジスト組成物
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
EP1226470A2 (en) * 2000-06-22 2002-07-31 Koninklijke Philips Electronics N.V. Method of forming optical images, mask for use in this method, method of manufacturing a device using this method, and apparatus for carrying out this method
US6548219B2 (en) * 2001-01-26 2003-04-15 International Business Machines Corporation Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions
US6555479B1 (en) * 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
US6670109B2 (en) * 2001-08-29 2003-12-30 Micron Technology, Inc. Photolithographic methods of using a single reticle to form overlapping patterns
SG155038A1 (en) 2001-09-28 2009-09-30 Consentry Networks Inc A multi-threaded packet processing engine for stateful packet processing
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
SG115693A1 (en) * 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
WO2005081295A1 (ja) * 2004-02-20 2005-09-01 Nikon Corporation 露光方法、露光装置及び露光システム並びにデバイス製造方法
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
JP4524154B2 (ja) * 2004-08-18 2010-08-11 富士フイルム株式会社 化学増幅型レジスト組成物及びそれを用いたパターン形成方法
US20060269879A1 (en) 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
JP2007033882A (ja) * 2005-07-27 2007-02-08 Hitachi Via Mechanics Ltd 露光装置及び露光方法並びに配線基板の製造方法
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US20070275330A1 (en) * 2006-05-25 2007-11-29 International Business Machines Corporation Bottom anti-reflective coating
JP2007334036A (ja) * 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
US7687205B2 (en) * 2006-06-15 2010-03-30 The Boeing Company Photolithographic method and apparatus employing a polychromatic mask
DE102006053074B4 (de) * 2006-11-10 2012-03-29 Qimonda Ag Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US7648918B2 (en) * 2007-08-20 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pattern formation in semiconductor fabrication
US8110339B2 (en) * 2007-09-06 2012-02-07 Massachusetts Institute Of Technology Multi-tone resist compositions
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
JP4961324B2 (ja) * 2007-10-26 2012-06-27 富士フイルム株式会社 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法
KR101585992B1 (ko) 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US20090214985A1 (en) * 2008-02-27 2009-08-27 Tokyo Electron Limited Method for reducing surface defects on patterned resist features
US20090274974A1 (en) * 2008-04-30 2009-11-05 David Abdallah Spin-on graded k silicon antireflective coating
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
CN102056913A (zh) * 2008-06-12 2011-05-11 巴斯夫欧洲公司 锍衍生物及其作为潜酸的用途
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
CN102395925B (zh) 2009-02-19 2015-06-03 布鲁尔科技公司 可溶于显影剂的酸敏性底部减反射涂料
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP5011345B2 (ja) 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
WO2010140870A2 (ko) * 2009-06-05 2010-12-09 주식회사 동진쎄미켐 반도체 소자의 미세 패턴 형성 방법
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8795952B2 (en) * 2010-02-21 2014-08-05 Tokyo Electron Limited Line pattern collapse mitigation through gap-fill material application
US8124319B2 (en) 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
JP2013003167A (ja) * 2011-06-10 2013-01-07 Shin Etsu Chem Co Ltd パターン形成方法
EP2729844B1 (en) 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
JP2013057877A (ja) * 2011-09-09 2013-03-28 Toshiba Corp パターン形成方法
CN103034048B (zh) * 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
JP5846046B2 (ja) * 2011-12-06 2016-01-20 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP5705103B2 (ja) * 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
JP5789275B2 (ja) 2012-02-03 2015-10-07 エーエスエムエル ネザーランズ ビー.ブイ. 3dレジストプロファイルのシミュレーション用のリソグラフィモデル
CN103309164A (zh) * 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP5741518B2 (ja) * 2012-04-24 2015-07-01 信越化学工業株式会社 レジスト下層膜材料及びパターン形成方法
JP6004172B2 (ja) * 2012-07-31 2016-10-05 日産化学工業株式会社 カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物
JP2014143415A (ja) 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
WO2014129556A1 (ja) 2013-02-20 2014-08-28 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料
CN106030417B (zh) 2014-02-21 2020-02-28 东京毅力科创株式会社 光增感化学放大型抗蚀剂材料及使用了其的图案形成方法、以及半导体器件、光刻用掩模和纳米压印用模板的制造方法
WO2015127353A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist
JP6524388B2 (ja) * 2014-02-24 2019-06-05 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
WO2015127459A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10020195B2 (en) * 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
WO2016025210A1 (en) 2014-08-13 2016-02-18 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
JP6512994B2 (ja) * 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6774814B2 (ja) * 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
JP6809843B2 (ja) * 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
CN109313394B (zh) * 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
JP6750155B2 (ja) * 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10534266B2 (en) 2014-02-24 2020-01-14 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
CN109073984A (zh) * 2016-02-19 2018-12-21 东京毅力科创株式会社 光敏化学放大型抗蚀剂(ps-car)模拟
TWI657347B (zh) * 2016-02-19 2019-04-21 東京威力科創股份有限公司 光敏化化學放大光阻之模擬
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10096528B2 (en) 2016-05-13 2018-10-09 Tokyo Electron Limited Critical dimension control by use of a photo agent
CN109313394A (zh) * 2016-05-13 2019-02-05 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
US10522428B2 (en) 2016-05-13 2019-12-31 Tokyo Electron Limited Critical dimension control by use of a photo agent
US10551743B2 (en) 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
CN109313394B (zh) * 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
US11163236B2 (en) 2019-08-16 2021-11-02 Tokyo Electron Limited Method and process for stochastic driven detectivity healing

Also Published As

Publication number Publication date
KR102615912B1 (ko) 2023-12-19
US20150241783A1 (en) 2015-08-27
US10534266B2 (en) 2020-01-14
US9618848B2 (en) 2017-04-11
JP7009568B2 (ja) 2022-01-25
TWI575566B (zh) 2017-03-21
KR102402923B1 (ko) 2022-05-27
WO2015127459A1 (en) 2015-08-27
JP6758575B2 (ja) 2020-09-23
JP2017507371A (ja) 2017-03-16
KR20160124807A (ko) 2016-10-28
KR20220075439A (ko) 2022-06-08
JP2020197727A (ja) 2020-12-10
US20170192357A1 (en) 2017-07-06

Similar Documents

Publication Publication Date Title
TWI575566B (zh) 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
JP7074990B2 (ja) 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術
CN103649830B (zh) 光刻图案化过程和其中使用的抗蚀剂
JP5705103B2 (ja) パターン形成方法
US5989776A (en) Photoresist composition for extreme ultraviolet lithography
KR101845188B1 (ko) 광 민감형 화학적 증폭 레지스트 내에 산 산탄 잡음으로 복제되는 euv 산탄 잡음의 완화
US10551743B2 (en) Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
US6045981A (en) Method of manufacturing semiconductor device
WO1999052018A1 (en) Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths
TWI704428B (zh) 光阻圖案形成方法
JP2560773B2 (ja) パターン形成方法
Wheeler et al. Basic Issues Associated With Four Potential EUV Resist Schemes: Trilayer Organometallic Bilayer, or Plasma Deposited-Plasma & Developed Bilayer, and Silylated Resists
KR20040079756A (ko) 반도체소자의 미세패턴 형성방법
JPH06275484A (ja) パターン形成方法