KR20220075439A - 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스 - Google Patents

감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스 Download PDF

Info

Publication number
KR20220075439A
KR20220075439A KR1020227017289A KR20227017289A KR20220075439A KR 20220075439 A KR20220075439 A KR 20220075439A KR 1020227017289 A KR1020227017289 A KR 1020227017289A KR 20227017289 A KR20227017289 A KR 20227017289A KR 20220075439 A KR20220075439 A KR 20220075439A
Authority
KR
South Korea
Prior art keywords
wavelength
light
layer
photoresist layer
exposure
Prior art date
Application number
KR1020227017289A
Other languages
English (en)
Other versions
KR102615912B1 (ko
Inventor
마이클 에이. 카르카시
조슈아 에스. 후게
벤자멘 엠. 라스색
세이지 나가하라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220075439A publication Critical patent/KR20220075439A/ko
Application granted granted Critical
Publication of KR102615912B1 publication Critical patent/KR102615912B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 개시는 반도체 기판 상의 감광막을 패터닝하도록 PS-CAR(Photosensitized Chemically Amplified Resist Chemicals)에 대한 방법을 기재한다. 하나의 실시예에서, 2단계 노출 프로세스는 포토레지스트 층 내에 더 높은 산 농도 영역을 생성할 수 있다. PS-CAR 화학물질은 광산 생성제(PAG; photoacid generator) 및 PAG의 산으로의 분해를 강화하는 감광제 요소를 포함할 수 있다. 제1 노출은 초기 양의 산 및 감광제를 생성하는 패터닝된 EUV 노출일 수 있다. 제2 노출은 감광제가 기판 상에 위치되는 경우 산 생성 속도를 증가시키는 감광제를 여기시키는 비-EUV 전면 노출일 수 있다. 노출 동안 에너지의 분배는 포토레지스트 층, 하부 층, 및/또는 상부 층의 특정 특성(예컨대, 두께, 굴절률, 도핑)을 사용함으로써 최적화될 수 있다.

Description

감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스{METHODS AND TECHNIQUES TO USE WITH PHOTOSENSITIZED CHEMICALLY AMPLIFIED RESIST CHEMICALS AND PROCESSES}
관련 출원에 대한 상호참조
본 출원은, 2014년 2월 24일 출원되어 발명의 명칭이 "Methods and techniques to use with Photosensitized Chemically Amplified Resist Chemicals and Processes"인 미국 가특허 출원 번호 제61/944,041호의 이점을 주장하며, 이는 그 전체가 참조에 의해 여기에 포함된다.
포토리소그래피 패터닝에 대한 임계 치수(CD; critical dimension) 제어는 반도체 디바이스 제조에 중요하다. 패터닝의 하나의 양상은, 후속 프로세싱에 의해 하부 층 또는 기판에 부여될 수 있는 감광 재료 상에 패턴을 생성하는 것을 포함한다. 그러나, 상부 패턴의 품질은 하부 층 상의 패턴의 품질에 강한 영향을 미친다. 또한, CD가 더 작은 치수로 축소함에 따라, 패터닝 프로세스는 더 작은 광 파장을 통합하였으며, 이는 더 큰 광 파장만큼 많은 광자를 갖지 않을 수 있다. 그 결과, 감광 재료 내에서 생성된 산(acid)의 양은 더 적을 수 있다. 따라서, EUV 광자당 생성된 산의 양을 증가시키는 임의의 재료 또는 기술이 바람직할 수 있다.
감광 재료 내의 산 생성을 개선하는 하나의 접근법은 노출 및 후속 프로세스 동안 일어날 수 있는 화학 반응을 증폭시키는 화합물(chemical compound)을 통합하는 것일 수 있다. 이 접근법의 하나의 예는, 감광 재료 또는 포토레지스트 내의 화학 반응 또는 화학 농도(chemical concentrations)를 증가시킬 수 있는 감광성 화학적 증폭 레지스트(PS-CAR; photosensitive chemically amplified resist)를 사용하는 것일 수 있다. 포토레지스트 내의 화학 농도는 2단계 노출 프로세스를 사용함으로써 제어될 수 있다. 제1 노출은 레지스트 내의 화학물질의 반응에 의해 광산 생성제(PAG; photoacid generator)로부터 생성된 산 및 감광제(PS; photosensitizer)를 생성하는 패터닝된 노출일 수 있다. 제1 노출 프로세스는 PS에 의한 흡광도(absorbance)를 최대화하고 PAG의 흡광도를 최대화하도록 조정될 수 있다. 이는 하나의 실시예에서, 300nm보다 작은 광 파장을 선택함으로써 달성될 수 있다. 제2 노출은 PS를 여기시킬 수 있으며, 이는 제1 노출의 다크(dark) 또는 미노출(unexposed) 영역에서의 산 농도를 최소화하면서 노출된 패턴 영역에서의 산의 증폭을 얻도록 추가의 PAG를 분해할 수 있다. 하나의 실시예에서, 2단계 노출 프로세스는 2개의 상이한 파장(예컨대, 극자외선(EUV; extreme ultraviolet), 자외선 광)을 사용할 수 있다. 패터닝된 노출은 EUV 스펙트럼 파장을 사용할 수 있고, 전면 노출(flood exposure)은 UV 스펙트럼 파장을 사용할 수 있다.
PS-CAR을 사용하는 것에 대한 하나의 관심사는, 레지스트에 노출될 수 있는 노출 단계와 연관된 반사율 및 흡수율 제어일 수 있다. 하나의 실시예에서, 반사율 및 흡수율 제어는 전면 노출 동안 반사가 최소한이거나 아예 없도록 통상의 포토레지스트 아래의 막 층(예컨대, 하부층(UL; underlayer))을 맞춤화(tailoring)함으로써 대처할 수 있다. 이는 전면 파장(들)의 높은 흡수율을 갖는 재료를 사용함으로써 달성될 수 있다. 예를 들어, 마이크로브릿징(micro-bridging) 및/또는 스커밍(scumming)을 방지하기 위한 방식으로서 또는 레지스트가 현상될 때 일부 맞춤화된 레지스트 프로파일 제어를 갖기 위한 방식으로서 레지스트 층에 우선적으로 위치되도록(예컨대, 레지스트/UL 계면에 가까이) 인입 광과 반사된 전면 광의 간섭으로부터의 가장 높은 강도를 맞춤화하도록, 레지스트 층과 UL 사이의 굴절률 차이가 사용될 수 있다.
다른 실시예에서, PAG 분해를 최적화하도록 하나 이상의 상부 코트 층이 레지스트 상에 성막될 수 있다. 상부 코트 층(들)은 아웃개싱(outgassing) 및 대역외(OOB; out-of-band) 방사선 제어를 위해 설계될 수 있다. 예를 들어, EUV/UV 노출 프로세스에서, EUV OOB 방사선(~248nm)에 의한 의도하지 않은 PAG 분해를 막지만 UV 전면 타겟 노출 파장이 PS를 여기시킬 수 있게 하는 것이 바람직할 수 있다. 하나의 특정 실시예에서, 제1 노출 전에 제1 상부 코트가 포토레지스트 상에 성막될 수 잇다. 제1 상부 코트가 기판으로부터 제거될 수 있고, 전면 노출 전에 제2 상부 코트 층이 포토레지스트 층 상에 성막될 수 있다. 또다른 실시예에서, 상부 코트 층은 제1 노출 전에 도포되고 제2 노출 전에 제거될 수 있다. 제2 상부 코트 층은 제2 노출 전에 도포될 필요가 없다.
PS-CAR 개념에 대한 두 번째 관심사는, PS-CAR 개념이 낮은 EUV 도즈(dose) 노출의 그의 극단으로 푸시될 때의 EUV 샷 노이즈(shot noise) 효과와 관련될 수 있다. EUV 노출 도즈를 그의 최소 한계로 푸시하면, EUV 샷 노이즈라고 알려진 광자/이차 전자 샷 통계 문제가 존재할 수 있다. 감광제는 PAG로부터 변환된 임의의 산에 매우 근접하여 생성되므로, 감광제는 이 문제를 크게 복제할 것이며 따라서 감광제 농도 샷 노이즈 효과를 가질 것이다. UV 전면 여기 전에 또는 이와 인시추로(in-situ) 감광제 농도 샷 노이즈의 스무딩 없이는, 감광제 농도 샷 노이즈는 피처 타입에 따라 최적이 아닌 선폭 거칠기(LWR; line width roughness), LER, 및 CWR를 초래할 것이다. 10nm 이하 정도로 감광제 확산을 유도하도록 UV 전면 단계 전에 베이크 단계를 통합하면, 감광제 농도 샷 노이즈를 없애고 보다 나은 LWR, LER 및/또는 CER을 유도할 수 있다.
본 명세서에 포함되어 이의 일부를 구성하는 첨부 도면은 본 발명의 실시예를 예시하며, 상기에 주어진 본 발명의 일반적인 설명 및 아래에 주어진 상세한 설명과 함께 본 발명을 설명하는 것을 돕는다. 추가적으로, 참조 번호의 가장 왼쪽의 숫자(들)는 그 참조 번호가 처음 나타나는 도면을 나타낸다.
도 1a는 제1 범위의 광 파장에 노출된 후의 포토레지스트 층에 대한 화학 농도 프로파일 및 제1 파장의 광의 패터닝된 노출을 받는 기판 상의 막 스택의 단면도 표면을 예시한다.
도 1b는 제2 범위의 광 파장에 노출된 후의 도 1a의 포토레지스트 층에 대한 화학 농도 프로파일 및 제2 범위의 광 파장에의 전면 노출을 받고 있는 그 기판 상의 막 스택의 단면도 표현을 예시한다.
도 2는 막 스택의 2단계 노출 프로세스에 대한 방법의 흐름도 및 방법의 하나의 실시예의 첨부 단면도 표현의 예시를 포함한다.
도 3a 및 도 3b는 막 스택의 2단계 노출 프로세스에 대한 방법의 흐름도 및 방법의 하나의 실시예의 첨부 단면도 표현의 예시를 포함한다.
본 발명은 도면에 도시된 실시예에 관련하여 기재될 것이지만, 본 발명은 실시예의 많은 대안의 형태로 구현될 수 있다는 것을 이해하여야 한다. 또한, 구성요소나 재료의 임의의 적합한 크기, 형상 또는 타입이 사용될 수 있다.
반도체 제조에 있어서, 포토레지스트 또는 감광 재료는 기판 상에 막을 성막, 패터닝 및 에칭함으로써 전자 디바이스를 제조하는데 사용되었다. 디바이스 성능 및 수율을 개선하도록 전자 디바이스의 치수를 축소시키고 이미지 품질(예컨대, 해상도, 선폭 거칠기, 및 감도)을 유지한다. 극자외선(EUV) 포토리소그래피는 치수를 축소시키는 것에 대한 하나의 접근법이며, 이는 기존의 포토레지스트 화학에 영향을 미칠 수 있는 고유의 한계를 갖는다. 예를 들어, EUV 포토레지스트는 레지스트 감도 및 이미지 해상도를 유지하면서 EUV 광원의 더 낮은 전력을 보상할 필요가 있을 수 있다. 더 낮은 전력 한계에 대처하는 하나의 접근법은, Seiichi Tagawa 등에 의한 Journal of Photopolymer Science and Technology, vol. 26(2013) No. 6, pg. 825-830에 "Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist(PS-CAR) Process" 제목의 논문에 기재된 바와 같은 감광화된 화학적 증폭 레지스트(PS-CAR)를 사용하는 것을 포함할 수 있다. PS-CAR의 사용은 EUV 프로세싱이 가능한 기회를 제공하였지만, EUV 프로세싱에 대한 이미지 품질을 개선하도록 포토레지스트의 흡수율 및 반사율 제어를 개선하기 위해 추가의 기술이 사용될 수 있다.
PS-CAR 화학은, 포토레지스트로 코팅된 기판에 노출되는 광의 타입에 적어도 부분적으로 기초하여 상이한 농도의 산 및/또는 감광제(PS; photosensitizer)를 생성할 수 있는 2단계 노출 프로세스에 의해 가능해질 수 있다. 산은 광 또는 광의 흡수에 의해 생성된 이차 전자가 포토레지스트의 PAG와 상호작용할 때 생성될 수 있고, PS는 광이 포토레지스트 안에 포함되어 있는 PS 생성제와 상호작용할 때 생성될 수 있다.
PS-CAR 포토레지스트는 감광제 생성 화합물 및 광산 생성(PAG; photoacid generation) 화합물을 포함할 수 있다. 감광제 분자는 광 에너지를 흡수하고 광 에너지를 다른 분자(예컨대, PAG)로 전달할 수 있다. 일부 PS 화합물은 그라운드 상태로 에너지를 전달할 수 있는 반면에, 다른 것은 여기 상태로 전달을 수행할 수 있다. PS 생성 화합물은 아세토페논, 트리페닐렌, 벤조페논, 플루오렌온, 안트라퀴논, 페난트렌, 또는 이의 유도체를 포함할 수 있지만, 이에 한정되는 것은 아니다. 광산 생성제(PAG)는 흡수된 광 에너지를 화학 에너지(예컨대, 산성 반응)로 변환할 수 있는 양이온 광개시제일 수 있다. 산 생성 화합물은, triphenylsulfonium triflate, triphenylsulfonium nonaflate, triphenylsulfonium perfluorooctylsulfonate, triarylsulfonium triflate, triarylsulfonium nonaflate, triarylsulfonium perfluorooctylsulfonate, a triphenylsulfonium salt, a triarylsulfonium salt, a triarylsulfonium hexafluoroantimonate salt, N-hydroxynaphthalimide triflate, 1,1-bis[p-chlorophenyl]-2,2,2-trichloroethane(DDT), 1,1-bis[p-methoxyphenyl]-2,2,2-trichloroethane, 1,2,5,6,9,10-hexabromocyclododecane, 1,10-dibromodecane, 1,1-bis[p-chlorophenyl]2,2-dichloroethane, 4,4-dichloro-2-(trichloromethyl)benzhydrol, 1,1-bis(chlorophenyl) 2-2,2-trichloroethanol, hexachlorodimethylsulfone, 2-chloro-6-(trichloromethyl)pyridine, 또는 이의 유도체 중의 적어도 하나를 포함할 수 있지만, 이에 한정되는 것은 아니다.
도 1a 및 도 1b를 참조하면, 도 1a는 제1 노출 다음의 산(106) 및 PS(108)의 농도의 그래픽 예시(100) 및 기판(104) 상의 막 스택의 단면도(102)를 포함한다. 도 1b는 제2 노출 다음의 산(120) 및 PS(122)의 농도의 그래픽 예시(118) 및 기판(104) 상의 막 스택의 단면도(126)를 포함한다. 하나의 실시예에서, 제1 노출은 300nm보다 작을 수 있는 주요 광(114) 파장을 가질 수 있고, 제2 노출의 일부는 300nm보다 더 클 수 있는 대부분의 광 파장을 가질 수 있다.
도 1a의 그래픽 예시(100)는, PS-CAR가 제1 노출 동안 상대적으로 더 낮은 농도의 산(106) 및 PS(108)를 생성하도록 구성될 수 있는 경우의 제1 노출의 결과를 예시한다. 제1 노출 광 파장 광은 초기 양의 산(106) 및 초기 양의 PS(108)를 생성하는 상대적으로 더 낮은 에너지를 가질 수 있다. 그래픽 예시(100)는 설명을 위한 목적으로 사용되며 2단계 프로세스 동안 농도의 차이를 보여주고자 한 것이고, 제1 또는 제2 노출 동안 농도의 스케일이나 규모를 한정하고자 하는 것이 아니다. 그 의도는, 제1 노출 동안 PAG가 산으로 완전히 분해되거나 변환되지 않을 수 있고 제2 노출은 산 농도를 증가시킬 수 있다는 것을 강조하는 것이다.
하나의 실시예에서, 막 스택은 기판(104) 상에 형성되거나 성막된 하부 층(112) 상에 성막된 포토레지스트 층(110)을 포함할 수 있다. 포토레지스트 층(110)은 PS-CAR 화학물질을 포함할 수 있지만 이에 한정되는 것은 아니고, 광의 반사율 또는 흡수율을 제어하는데 사용될 수 있는 추가의 구성요소를 보유할 수 있다. 제1 노출 동안, 제1 파장의 광(114)이 패턴 마스크(116)를 통해 노출될 때 초기 양의 산(106) 및 초기 양의 PS(108)가 생성될 수 있다. PS-CAR 화학 반응은 광(114)이 포토레지스트 층(110)의 노출된 영역과 상호작용할 때 개시된다. 광(114)이 포토레지스트 층(110)과 상호작용하지 않는 미노출 영역에서는, PS-CAR 화학 반응이 일어나지 않거나 노출된 영역에 비교하여 최소한의 또는 무시할만한 양으로 일어날 수 있다. 단면도(102)에 도시된 바와 같이, 산/PS의 농도는 포토레지스트 층(110)의 노출된 영역에서 더 높을 수 있다. 이 특정 실시예에서, 산/PS 농도는 포토레지스트 층(110)과 하부 층(112)의 계면에서 더 높을 수 있다. 그러나, 다른 실시예에서 산/PS 농도가 포토레지스트 층(110)과 하부 층(112)의 계면에서 더 높도록 요구되지 않을 수 있다. 피크 산/PS 농도의 위치는 막 스택 및 노출 특성에 따라 다양할 수 있다.
도 1b에서, 제2 그래픽 예시(118)는 포토레지스트 층(110)의 제2 노출 다음의 더 높은 레벨의 산(120) 농도 및/또는 PS 농도(122)를 도시한다. 제2 노출은 제2 범위의 광(124) 파장의 전면 노출 또는 전체 노출(blanket exposure)일 수 있다. 제2 노출은 전체 포토레지스트 층(110)에 걸쳐 이루어질 수 있으며, 그리하여 PAG는 포토레지스트 층(110)에 걸쳐 산으로 분해될 수 있다. 그러나, PS(122)는 산 농도 또는 PAG의 분해 속도를 증가시킬 것이다. PS(122)는 제1 노출 동안 제1 파장(114)에 노출되었던 포토레지스트 층(110)의 부분에 위치될 수 있다. 따라서, 제2 노출 단면도(126)에 도시된 바와 같이, 산 농도(120)는 제1 노출의 미노출 위치에 비해 이들 위치에서 더 높을 수 있다.
산 농도(122) 및 위치는, Seiichi Tagawa 등의 Journal of Photopolymer Science and Technology, Vol. 26, Number 6 (2013), pgs. 825-830의 "Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist Process"에 기재된 이들 기술에 추가적으로, 포토레지스트 층(110) 내의 산 농도의 위치 또는 산 농도(122)의 양을 제어하도록 포토레지스트 층(110) 및/또는 하부 층(11)의 다양한 특성을 사용하여 최적화될 수 있다. 이들 기술은 현상-후 포토레지스트(110) 프로파일 또는 기하학을 맞춤화하도록 노출 단계 동안 PAG/PS로 전달될 수 있는 에너지의 양을 증가시킬 수 있다.
도 2는 막 스택(예컨대, 포토레지스트 층(110), 하부 층(112))의 2단계 노출 프로세스에 대한 방법의 흐름도(202)의 예시 및 방법의 하나의 실시예의 첨부 단면도 표현(200)을 포함한다. 2단계 노출 프로세스는 포토레지스트 층(110) 내의 구성요소들로부터의 상이한 반응을 가능하게 하도록 사용될 수 있다. 예를 들어, PS-CAR 화학은, 포토레지스트 층(110)의 광 감도 특성을 변경할 수 있는 산을 생성하는데 사용될 수 있는 PAG를 포함할 수 있다. 방법은, 포토레지스트 층(110)을 성막, 패터닝, 노출, 및/또는 현상할 수 있는 하나 이상의 프로세스 챔버 또는 툴에 의해 수행될 수 있다.
블록 204에서, 기판(104)은 기판(104)의 표면 위에 포토레지스트를 디스펜싱할 수 있는 코팅 툴에 의해 수용될 수 있다. 기판(104)은 포토레지스트 층(110)을 사용하여 패터닝될 수 있는 하나 이상의 하부 층(112)을 포함할 수 있다. 하부 층(112)의 두께 및 조성은, 광이 기판(104)의 표면으로부터 어떻게 반사될 수 있는지 제어하도록 최적화될 수 있다. 하나의 실시예에서, 하부 층(112)의 타입은 하부 층(112)의 두께 및 굴절률에 기초하여 선택될 수 있다. 이들 특징들은 2단계 노출 프로세스 동안 에너지가 포토레지스트 층(110)으로 어떻게 전달될 수 있는지 제어하도록 포토레지스트 층(110)의 특성(예컨대, 굴절률, 두께 등)으로 최적화될 수 있다.
블록 206에서, 포토레지스트 층(110)은 하부 층(112) 위에 성막되거나 디스펜싱될 수 있다. 포토레지스트 층(110)은 선택 위치에서 상이한 농도로 산을 생성하도록 포토레지스트 층(110) 내의 화학 반응의 제어된 시퀀스를 가능하게 할 수 있는 둘 이상의 광 파장 활성 임계치(activation thresholds)를 포함할 수 있다. 포토레지스트 층(110)은 광(114)의 파장 및/또는 도즈에 따라 상이한 농도 또는 양의 산을 생성할 수 있는 PS-CAR 화학물질을 포함할 수 있다. 예를 들어, 제1 범위의 파장 내의 광(114)이 포토레지스트 층(110)에 노출될 때, PS-CAR 화학물질은 포토레지스트 층(110) 내에 제1 양의 산(106)을 생성할 수 있다. 그러나, PS-CAR 화학물질은 부분적으로 분해될 수 있고, PS-CAR 화학물질이 제2 범위의 파장 내의 광에 노출될 때 여전히 추가의 산을 생성할 수 있다.
하나의 실시예에서, 제1 및 제2 범위의 광(114/124) 파장은 상호 배타적일 수 있고 파장의 어떠한 중첩도 포함하지 않을 수 있다. 예를 들어, 제1 범위는 200nm보다 적은 임의의 것일 수 있고 제2 범위는 200nm 이상일 수 있다. 다른 실시예에서, 파장은 제1 범위가 100nm보다 적고 제2 범위가 5nm보다 큰 임의의 것이도록 중첩할 수 있다. 하나의 특정 실시예에서, 제1 범위의 광(114) 파장은 30nm보다 작을 수 있고(예컨대, 13.5nm), 제2 범위의 광(114) 파장은 200nm보다 더 클 수 있다. 다른 특정 실시예에서, 제1 범위의 광(114) 파장은 193nm 이하일 수 있고 제2 범위의 광(124) 파장은 200nm보다 더 클 수 있다. 다른 특정 실시예에서, 제1 범위의 파장은 248nm 이하이고 제2 범위의 파장은 300nm보다 더 크다. 포토레지스트 층(110)은 건식 또는 침지 리소그래피 프로세싱 또는 KrF 레이저 리소그래피 프로세싱 또는 EUV 리소그래피 프로세싱에 사용될 수 있다.
발색단(chromophores)에 추가적으로, 반사율은 포토레지스트 층(110) 및/또는 하부 층(112)의 굴절률 및/또는 두께에 의해 영향받을 수 있다. 굴절률은 광(124)이 매체 안으로 전파할 때에 얼마나 많이 구부려지거나 굴절될 것인지의 정량 표현일 수 있다. 굴절률은 진공에서의 광의 속도와 매체 내의 광의 속도 간의 비율일 수 있다. 광(124)의 방향을 변경하는 것은, 더 많은 에너지가 포토레지스트 층(110) 및/또는 하부 층(112) 안으로 전달될 수 있게 할 수 있다. 예를 들어, 광은 막 스택의 더 긴 부분을 따라 반사될 수 있거나 또는 하부 층(112) 및/또는 기판(104)으로부터 포토레지스트 층(110) 안으로 다시 반사될 수 있다. 인입 광 및 반사 광은 인입 광(124)과 반사 광(도시되지 않음) 간의 간섭으로 인해 막 스택 내에서 더 많은 에너지를 생성할 수 있다. 간섭 위치는, 막 스택 컴포넌트(예컨대, 포토레지스트 층(110), 하부 층(112) 및/또는 기판(104))의 굴절률 및/또는 두께를 최적화함으로써 막 스택 내의 원하는 위치에서 일어나도록 최적화될 수 있다. 넓게는, 포토레지스트 층(110)에 대한 굴절률은 0.95와 1.75 사이에서 다양할 수 있고 두께는 30nm와 250nm 사이에서 다양할 수 있다. 예를 들어, 300nm보다 더 큰 광 파장을 타겟으로 하는 포토레지스트 층(110)에 대한 굴절률은 1.3 내지 1.75 사이의 굴절률 및 80nm 내지 250nm의 두께, 보다 구체적으로는 100nm 내지 120nm의 두께를 가질 수 있다. 약 193nm의 광 파장을 타겟으로 하는 포토레지스트 층(110)은 1.65와 1.75 사이 범위일 수 있고 80nm 내지 250nm의 두께, 보다 구체적으로는 100nm 내지 120nm의 두께 범위일 수 있다. 15nm보다 적은 파장을 타겟으로 하는 EUV 포토레지스트 층(110)은 0.95 내지 1.05 사이의 굴절률 및 30nm와 60nm 사이의 두께를 가질 수 있다.
하나의 실시예에서, 막 스택 특성은 포토레지스트 층(110)과 하부 층(112) 사이의 계면에서 입사 광(124)과 반사 광(도시되지 않음) 간의 더 높은 광 간섭을 달성하도록 최적화될 수 있다. 더 높은 광 간섭은 막 스택에 걸친 수평 평면 내에서 일어나도록 조정될 수 있다. 하나의 실시예에서, 간섭 평면은 포토레지스트 층(110)과 하부 층(112) 사이의 계면에 있거나 또는 이에 근접할 수 있다. 그러나, 간섭 평면은 계면에 한정되지 않을 수 있고, 본 출원에 기재되거나 당해 기술 분야에서의 통상의 지식을 가진 자에 의해 이해될 기술을 사용하여 조정될 수 있다. 간섭 평면에 걸쳐 분배된 더 높은 에너지는 포토레지스트 층(110)의 다른 영역보다 평면에 걸쳐 더 높은 농도의 산(106)을 생성할 수 있다. 계면에서의 더 높은 농도의 산(106)은, 포토레지스트 층이 현상될 때 포토레지스트 층(110)의 프로파일 각도 또는 기하학을 맞춤화하도록 또는 하부 층(112)의 표면 상의 유기 물질을 제거하거나 분해하는데 사용될 수 있다.
또다른 실시예에서, 간섭 평면은 포토레지스트 층(110)과 하부 층(112) 사이의 계면 반대에 있는 포토레지스트 층(110)의 표면에 위치될 수 있다. 더 높은 광 간섭은 포토레지스트 층(110)에 걸쳐 더 높은 산 농도를 생성할 수 있다. 더 높은 산 농도는 현상된 포토레지스트 층(110)의 프로파일 각도 또는 기하학을 변경할 수 있다. 예를 들어, 현상된 포토레지스트 층(110)에서의 개구는, 포토레지스트 층(110)과 하부 층(112)의 계면에서보다 개구의 상부에서 더 넓을 수 있다. 산(106)의 위치 및 농도를 제어하는 것은, 포토레지스트 층(110)에서의 개구의 프로파일 각도 또는 기하학의 조정을 가능하게 한다. 이들 최적화된 개구 기하학은 컨택 홀 패터닝의 스커밍 및/또는 선/공간 패터닝에 있어서의 마이크로브릿징을 최소화하도록 사용될 수 있다.
블록 208에서, 2단계 프로세스는 기판(104) 상의 막 스택에 패턴 마스크(116)를 통해 제1 광 파장(들)(114)을 노출시키는 것을 포함할 수 있다. 하나의 실시예에서, 포토레지스트 층(110)은 300nm보다 더 적은 광의 파장에 노출될 때 낮은 양의 산(106)을 생성할 수 있는 PS-CAR 화학을 포함할 수 있다. 산 생성은 포토레지스트 층(110)의 노출된 부분에 근접하여 일어날 수 있다. 패턴 마스크(116)로부터의 광(114)은 포토레지스트 층(110)의 노출된 부분보다 더 낮은 산 농도를 가질 수 있는 포토레지스트 층(110)의 미노출 부분을 남길 수 있다.
다른 실시예에서, 광(124)의 도즈 및 파장은 포토레지스트 층(110)의 노출된 부분 내의 산 농도 양을 조정하도록 달라질 수 있다. 예를 들어, EUV 실시예에서, 광(114) 파장은 15nm 또는 대략 13.5nm보다 작을 수 있다. 이 경우에, 노출 프로세스는 광(114)을 EUV 스펙트럼에 한정할 수 있으며, 그리하여 범위 밖의 방사선(예컨대, UV 광, ~>30nm)이 포토레지스트 층(110)에 노출되지 않을 수 있다. 그러나, 다른 실시예에서, 광(114)은 EUV 실시예보다 더 넓은 범위의 파장을 포함할 수 있다. 예를 들어, 광(114)은 EUV 및 UV 스펙트럼 내의 파장을 포함할 수 있지만, 전체 EUV 또는 UV 스펙트럼으로부터의 파장을 포함하지 않을 수 있다.
하나의 특정 실시예에서, 광(114)은 EUV 스펙트럼 및 최대 200nm의 UV 스펙트럼의 일부를 포함할 수 있지만, 200nm보다 더 큰 파장을 갖는 광(114)을 포함하지 않을 수 있다. 예를 들어, 노출 프로세스는 193nm 이하의 파장을 갖는 광(114)을 포함할 수 있다. 그러나, 또다른 실시예에서, 노출 프로세스는 248nm 이하의 파장을 갖는 광(114)을 포함할 수 있고 13.5nm 및 193nm 파장을 포함할 수 있다.
또다른 특정 실시예에서, 광(114)은 UV 스펙트럼을 포함할 수 있고 EUV 스펙트럼을 제외할 수 있다. 따라서, 노출 프로세스는 193nm 프로세스 또는 248nm 프로세스를 포함할 수 있지만, 30nm보다 작은 파장을 갖는 광(114)을 포함하지 않을 수 있다.
포토레지스트 층(110)의 노출된 영역에서 생성될 수 있는 산(106) 및 PS(108)의 초기 양은, 포토레지스트 층(110) 내의 산의 양을 증가시키고/증가시키거나 산을 확산시키도록 추가의 에너지(예컨대, 광(124))에 산(106) 및 PS(108)을 노출시킴으로써 더 큰 양으로 증가될 수 있다.
블록 210에서, 포토레지스트 층(110)은 제1 노출의 파장과 상이할 수 있는 제2 범위의 파장의 광(124)에 노출될 수 있다. 추가적으로, 제2 노출은 전체 포토레지스트 층(110)을 광(124)에 노출시키는 전체 노출 또는 전면 노출일 수 있다. 그러나, 전체 노출이 요구되지 않을 수 있고, 대안으로 패터닝된 노출이 행해질 수 있다. 하나의 실시예에서, 제2 노출은, 제1 노출이 300nm보다 작은 파장을 가질 때 300nm보다 더 큰 파장을 갖는 광을 포함할 수 있다. 보다 구체적으로, 제1 노출이 EUV 스펙트럼 내의 파장을 가질 때에 그러하다. 하나의 특정 실시예에서, 제2 노출 파장은, 제1 노출이 약 248nm, 193nm, 또는 13.5nm 이하의 파장을 가질 때에 약 365nm일 수 있다. 하나의 특정 실시예에서, 광(124)의 파장은 약 365nm일 수 있다.
또다른 실시예에서, 하부 층(112)의 조성은 반사율에 영향을 미치도록 변경될 수 있다. 예를 들어, 하부 층(112)은, 광(124)을 흡수하거나 특정 광(124) 파장을 선택적으로 흡수하고 다른 광(124) 파장을 반사함으로써 반사율을 한정할 수 있는 발색단(도시되지 않음)으로 도핑될 수 있다. 이 방식에서, 발색단은 포토레지스트 층(110)으로 다시 반사될 수 있는 양 또는 광 파장을 최적화하기 위해 특정 파장의 광을 흡수하도록 선택될 수 있다. 통상적으로, 발색단은 일반적으로 탄소 원자 및 다음의 원소들, H, O, N, Bl, Br, S, 또는 I 중의 적어도 하나 이상을 포함하는 유기 화합물이다. 발색단 화합물의 최대 흡수 파장은 250nm와 400nm 사이에서 다양할 수 있다. 하나 이상의 발색단은 하부 층(112)에 의해 반사되거나 포토레지스트 층(110)의 상부 층(도시되지 않음)에 의해 흡수될 수 있는 원치않은 광(124) 파장을 걸러내도록 선택될 수 있다. 발색단 화합물은 CH3-CH3, CH4, CH3OH, 또는 CH3-SH를 포함할 수 있지만, 이에 한정되지 않는다. 타입 및 농도에 추가적으로, 하부 층(112) 내의 발색단의 위치도 또한 반사율에 영향을 미칠 수 있다. 반사율은, 가장 높은 농도의 발색단이 하부 층(112) 내에 있는 곳에 적어도 부분적으로 기초하여 영향받을 수 있다. 가장 높은 농도는 포토레지스트 층(110) 또는 하부 층(112) 내의 임의의 위치에 위치될 수 있다. 일반적으로, 높은 농도 영역과 낮은 농도 영역 사이의 농도 차이는 적어도 5 체적%, 질량%, 또는 몰%보다 더 클 수 있다.
하나의 실시예에서, 발색단은 포토레지스트 층(110)과 하부 층(112)의 계면에서 하부 층(112) 또는 포토레지스트 층(110)의 나머지 부분보다 더 높은 농도를 포함한다. 다른 실시예에서, 발색단은 포토레지스트 층(110)과 하부 층(112)의 계면 반대에 있는 포토레지스트 층(110)의 표면에 근접하여 더 높은 농도를 포함한다. 포토레지스트 층(110)은 하부 층(112)보다 더 높은 농도의 발색단을 가질 수 있다. 이 경우에, 포토레지스트 층(110)의 표면 또는 상부 부분은 이 막 스택 실시예에서 가장 높은 발색단 농도를 가질 수 있다. 광(124)은, 산(106) 또는 PS(108)을 생성하는데 사용될 수 있는 에너지(예컨대, 광(124))의 양을 최소화할 수 있는 포토레지스트 층(110)의 상부 표면에 의해 반사되거나 흡수될 수 있다.
블록 212에서, 포토레지스트 층(110)은 2단계 노출 프로세스에 의해 가용성이 된 포토레지스트 층(110)의 부분(214)을 제거하도록 화학적 처리를 사용하여 현상될 수 있다. 포토레지스트 층(110)은 포지티브 레지스트 또는 네가티브 레지스트를 포함할 수 있다. 포지티브 레지스트의 노출된 부분은 광에 노출될 때 가용성이 될 수 있는 반면에, 네가티브 레지스트의 노출된 부분은 불용성이 된다. 2단계 노출 프로세스는 한정없이 임의의 타입의 레지스트를 사용할 수 있다.
2단계 프로세스의 또다른 실시예에서, 제1 노출(예컨대, EUV) 후에 하지만 제2 노출(예컨대, UV 전면) 전에 또는 제2 노출 자체 동안 베이크 단계가 통합될 수 있다. EUV 노출 도즈가 그의 최소 한계에 접근함에 따라, EUV 샷 노이즈로 알려진 광자/이차 전자 샷 통계 문제가 존재할 수 있다. PS(122)는 임의의 변환된 PAG에 매우 근접하게 생성되므로, PS(122)는 이 문제를 크게 복제할 것이다. PS(108) 농도 샷 노이즈 효과는, UV 전면 여기 전에 또는 이와 인시추로 PS(108) 농도 샷 노이즈 효과의 스무딩 없이는, 피처에 따라 최적이 아닌 선폭 거칠기(LWR), LER, 및 CER을 초래할 것이다. 이 문제는, PS(108) 농도 샷 노이즈를 없애고 피처 타입에 따라 보다 나은 LWR, LER, 및 CER을 유도하기 위해, PS(108) 확산을 10nm 이하 정도로 유도하도록 UV 전면 단계 전에 또는 그 동안에 베이크 단계의 통합에 의해 극복될 수 있다. 이상적으로, 산 확산 및 증폭 활성 에너지보다 훨씬 더 낮도록 PS(108) 확산에 대한 활성 에너지가 설계될 수 있으며, 그리하여 전면-전(pre-flood) 또는 인시추 전면 베이크는 효과적으로 PS(108) 확산만 초래할 수 있다. 이러한 경우가 아니라면, 일부 레벨의 전면 베이크 및 종래의 노출-후 베이크(PS(122)가 추가의 PAG를 산으로 변환한 후) 공동 최적화가 필요할 수 있다.
하나의 실시예에서, 베이크 단계는 제1 노출 후에 30℃ 내지 60℃로 120초 이하 동안 기판을 가열하는 것을 포함할 수 있다. 대안으로서, 가열은 또한 120초 이하 동안 30℃ 내지 90℃로 행해질 수도 있다. 특정 경우에, 베이크 단계는 LWR를 개선하도록 제2 노출 후에 행해질 수 있다. 제2 가열 프로세스는 120초 이하 동안 80℃ 내지 130℃ 일 수 있다.
2단계 프로세스의 다른 실시예는 광(124)이 막 스택 내에서 어떻게 반사될 수 있는지 다양하도록 추가적인 기술을 포함할 수 있다. 이는 도 2에 도시된 막 스택에 위의 층을 추가하는 것을 포함할 수 있다.
도 3a 및 도 3b는 막 스택의 2단계 노출 프로세스에 대한 방법의 흐름도(300)의 예시 및 방법의 하나의 실시예의 첨부 단면도 표현(302)을 포함한다. 포토레지스트 층(110) 및 하부 층(112)을 다양하게 하는 것에 추가적으로, 막 스택의 컴포넌트를 추가 및/또는 제거함으로써 막 스택에서의 광의 반사율 및 흡수율 또한 달라질 수 있다. 광(114)의 양 및/또는 간섭 평면의 위치는, 제1 노출 동안 생성되는 산(106) 또는 PS(108)의 양 또는 제2 노출 동안 산(120) 또는 PS(122)의 양에 영향을 미치도록 최적화될 수 있다. 막 스택 조성은 제1 노출 전에 그리고/또는 후에 수정될 수 있다
블록 304에서, 하부 층(112) 및 하부 층(112) 상의 포토레지스트 층(110)을 포함하는 기판을 수용한다. 포토레지스트 층(100)은, 선택 위치에 상이한 농도로 산을 생성하도록 포토레지스트 층(110) 내에서 화학 반응의 제어된 시퀀스를 가능하게 할 수 있는 둘 이상의 광 파장 활성 임계치를 포함할 수 있다. 포토레지스트 층(110)은 광(114)의 파장 및/또는 도즈에 따라 상이한 농도 또는 양의 산을 생성할 수 있는 PS-CAR 화학물질을 포함할 수 있다. 예를 들어, 제1 범위의 파장 내의 광(114)이 포토레지스트 층(110)에 노출될 때, PS-CAR 화학물질은 포토레지스트 층(110) 내에 제1 양의 산(106)을 생성할 수 있다. 그러나, PS-CAR 화학물질은 부분적으로 분해될 수 있고, PS-CAR 화학물질이 제2 범위의 파장 내에서 광에 노출될 때 여전히 추가적인 산을 생성할 수 있다. 포토레지스트 층(110) 및 하부 층(112)은 또한, 도 2의 설명에서 기재된 바와 같이 반사율 또는 흡수율을 최적화하는 기술 또는 방법을 사용하여 구성될 수도 있다. 막 스택의 반사율 및 흡수율 특성은 노출 전에 그리고/또는 노출 후에 추가적인 층을 추가하거나 제거함으로써 최적화될 수 있다. 예를 들어, 범위 외의 파장 또는 원치않는 파장을 필터링하도록 포토레지스트 층(110) 상에 성막된 상부 코트가 사용될 수 있다.
블록 306에서, 포토레지스트 층(100)에 노출된 파장 타입 및/또는 에너지를 제어하도록 제1 상부 코트 층(314)이 포토레지스트 층(110) 상에 성막될 수 있다. 성막은 포토레지스트 층(110)의 표면 위에 용액 또는 액적을 스프레이 또는 디스펜싱함으로써 달성될 수 있다. 제1 상부 코트 층(314)은 제1 노출 동안 산(106) 및 PS(108) 농도를 제어하도록 특정 광(114) 파장을 반사하거나 흡수하는데 사용될 수 있다. 파장 제한은 스펙트럼의 중첩을 막거나 결합하도록 또는 각 스펙트럼의 일부 내의 노출을 한정하도록 EUV 및 UV 스펙트럼에 따라 부분에 적용될 수 있다. 예를 들어, 제1 상부 코트 층(314)은 제1 노출 동안 30nm보다 더 큰 임의의 파장을 필터링하도록 사용될 수 있다. 그러나, 다른 실시예에서, 하나 이상의 범위의 광(114) 파장이 포토레지스트 층(110)으로부터 멀리 반사되거나 또는 필터링될 수 있다.
블록 308에서, 제1 범위의 광(114) 파장이 패터닝된 마스크(116)를 통해 포토레지스트 층(110) 및 상부 코트 층(314)에 노출될 수 있다. 도 1a 및 도 1b와 도 2의 설명에 기재된 바와 같이, 노출된 영역은 노출되지 않은 영역보다 더 높은 농도의 산(106) 및 PS(108)를 가질 수 있다. 그러나, 광(114) 파장의 일부는 포토레지스트 층(110)에 도달하기 전에 제1 상부 코트 층(314)에 의해 반사되거나 흡수되었을 수 있다. 일본 도쿄의 Nissan Chemical Industries는 포토레지스트 층(110)에 상부 코트 층(314)을 적용하는데 사용될 수 있는 EUV 상부 코트 화학물질(예컨대, Out of Band Protection Layer(OBPL) 시리즈)을 제조한다. EUV 상부 코트는 비-EUV 스펙트럼(예컨대, DUV 스펙트럼) 파장의 높은 흡수율 및 EUV 스펙트럼(예컨대, 13.5nm)에 대한 높은 투과율을 가질 수 있다. 제1 상부 코트 층(314)은 또한 포토레지스트 층(110)과의 혼합 또는 아웃개싱을 막을 수 있다.
2단계 노출 프로세스는 포토레지스트 층(110) 내에서 제2 화학 반응을 생성하도록 상이한 광(124) 파장을 필요로 할 수 있다. 그러나, 제1 상부 코트 층의 존재는 비-EUV 파장을 반사하거나 흡수할 수 있다.
블록 310에서, 기판(104)은 제1 상부 코트 층(314)을 제거하거나 포토레지스트 층(110)을 노출시키도록 처리될 수 있다. 당해 기술 분야에서의 통상의 지식을 가진 자는, 화학 욕 처리 또는 플라즈마 처리와 같이 제1 상부 코트 층(314)을 제거하도록 습식 또는 건식 화학 기술을 사용할 수 있다. 제거 프로세스는 제2 노출 전에 포토레지스트 층(110) 내의 산 생성을 한정하도록 설계될 수 있다. 예를 들어, 플라즈마 처리는 PAG를 분해하거나 PS(108)를 여기시킬 수 있는 에너지를 생성하지 않거나 최소화하도록 설계될 수 있다.
블록 312에서, 기판(104)은 포토레지스트 층(110) 내에서 더 높은 농도의 산(120)을 생성하도록 PAG를 분해하기 위해 PAG를 분해하고/하거나 PS(122)를 여기시킬 수 있는 제2 범위의 광(126) 파장에 노출될 수 있다. 제2 노출은 전체 포토레지스트 층(104)에 걸쳐 광(124)을 분배시키는 전체 노출일 수 있다. 전체 노출의 결과로서, PS(122)를 포함하는 포토레지스트 층(110)의 부분은, PS(122)를 포함하지 않거나 또는 적어도 더 낮은 농도의 PS(122)의 부분보다 더 높은 산(120) 생성 속도 또는 농도를 가질 것이다.
다른 실시예에서, 제2 노출은 포토레지스트 층(110)에 노출 및 미노출 영역을 생성하도록 마스크를 사용할 수 있는 패터닝된 노출일 수 있다. 마스크는 제1 노출 동안 사용된 것과 동일한 마스크(116)이거나 아닐 수 있다. 이 방식으로, 포토레지스트 층(110)은 다양한 양의 산을 갖는 셋 이상의 상이한 영역을 포함할 수 있다. 따라서, 현상된 포토레지스트 층(110)은 적어도 2개의 상이한 프로파일 각도 또는 기하학을 갖는 개구를 가질 수 있다.
다른 실시예에서, 제1 상부 코트 층의 제거 후에 포토레지스트 위에 제2 상부 코트 층(도시되지 않음)을 성막하는 것을 포함하며, 제2 상부 코트 층은 300nm보다 작은 파장을 갖는 광이 포토레지스트에 도달하는 것을 막는다.
전술한 설명은 단지 본 발명의 설명을 위한 것임을 이해하여야 한다. 본 발명에서 벗어나지 않고서 다양한 대안 및 수정이 당해 기술 분야에서의 숙련자에 의해 고안될 수 있다. 따라서, 본 발명은 첨부된 청구항의 범위 내에 속하는 모든 이러한 대안, 수정 및 변형을 포함하도록 의도된다.

Claims (22)

  1. 기판 상에서의 포토리소그래피 방법에 있어서,
    기판 - 상기 기판은 상기 기판 상에 하부 층을 포함하고, 상기 하부 층은 하부 층 굴절률 및 하부 층 두께를 포함함 - 을 수용하는 단계;
    상기 하부 층 상에 포토레지스트 층 - 상기 포토레지스트 층은,
    상기 포토레지스트 층 내의 산 생성을 제1 산 농도로 제어하는 제1 광 파장 활성 임계치;
    상기 제1 산 농도를 제2 산 농도로 증가시키는, 상기 제1 광 파장 활성 임계치와 상이한 제2 광 파장 활성 임계치;
    포토레지스트 굴절률;
    포토레지스트 두께; 및
    상기 하부 층과 상기 포토레지스트 층의 계면에, 또는 상기 하부 층과 상기 포토레지스트 층의 계면의 반대 측에 있는 상기 포토레지스트 층의 표면에, 또는 상기 하부 층과 상기 포토레지스트 층의 계면의 반대 측에 있는 상기 포토레지스트 층의 표면과 상기 계면 사이의 중간 위치에, 위치되는 간섭 평면을 포함함 - 을 성막하는 단계;
    패터닝된 마스크를 통해 상기 기판 상에 제1 파장의 광을 노출시키는 단계; 및
    상기 기판에 제2 파장의 광 - 상기 제2 파장의 광은 상기 제1 파장의 광과 상이한 파장을 포함함 - 을 노출시키는 단계를 포함하고,
    상기 제1 파장의 광 및 상기 제2 파장의 광 중 하나에 노출되는 동안에만 상기 하부 층에서의 반사로 인해 상기 포토레지스트 층 내의 임의의 다른 평면 위치에서보다 상기 간섭 평면에서 상대적으로 더 높은 광 강도 간섭이 일어나고,
    상기 기판 상에서의 포토리소그래피 방법은,
    상기 제1 파장의 광을 노출시키기 전에 상기 포토레지스트 층 상에 EUV 상부 코트 층을 성막하는 단계;
    상기 제2 파장의 광을 노출시키기 전에 상기 EUV 상부 코트 층을 제거하는 단계;
    상기 제2 파장의 광을 노출시킨 후에 상기 포토레지스트 층을 현상하는 단계를 더 포함하고,
    상기 EUV 상부 코트 층은 EUV 스펙트럼에 대해 투명하고, 상기 제1 파장의 광을 노출시키는 단계는 상기 EUV 상부 코트 층 및 상기 포토레지스트 층 상에 상기 제1 파장의 광을 노출시키는 단계를 포함하고, 상기 제1 파장의 광은 상기 EUV 스펙트럼 내의 파장을 포함하며,
    상기 제2 파장의 광의 노출은 상기 기판의 전체 노출(blanket exposure)인 것인, 기판 상에서의 포토리소그래피 방법.
  2. 청구항 1에 있어서, 상기 포토레지스트 굴절률은 0.9와 1.7 사이의 값을 포함하는 것인, 기판 상에서의 포토리소그래피 방법.
  3. 청구항 1에 있어서, 상기 포토레지스트 두께는 30nm와 60nm 사이의 값을 포함하는 것인, 기판 상에서의 포토리소그래피 방법.
  4. 청구항 1에 있어서, 상기 제1 파장의 광은 30nm 미만의 크기를 포함하고, 상기 제2 파장의 광은 30nm 이상의 크기를 포함하는 것인, 기판 상에서의 포토리소그래피 방법.
  5. 청구항 1에 있어서,
    상기 제1 파장의 광의 노출 이후에, 120초 이하 동안 30℃ 내지 90℃ 사이로 상기 기판을 가열하는 단계를 더 포함하는, 기판 상에서의 포토리소그래피 방법.
  6. 청구항 5에 있어서,
    상기 제2 파장의 광의 노출 이후에, 120초 이하 동안 80℃ 내지 130℃ 사이로 상기 기판을 가열하는 단계를 더 포함하는, 기판 상에서의 포토리소그래피 방법.
  7. 청구항 1에 있어서, 상기 EUV 상부 코트 층은,
    상기 제1 파장의 광의 노출 동안 상기 포토레지스트 층으로부터 아웃개싱(outgassing)을 감소시키고,
    상기 제1 파장의 광의 노출로부터 대역외(out-of-band) 방사선으로부터의 의도하지 않은 산 광분해(photolysis)의 생성을 감소시키고,
    상기 제1 파장의 광이 상기 포토레지스트 층으로 통과하는 것을 허용하도록 구성되는 것인, 기판 상에서의 포토리소그래피 방법.
  8. 청구항 1에 있어서, 상기 EUV 상부 코트 층은 0.9와 1.1 사이의 굴절률을 포함하는 것인, 기판 상에서의 포토리소그래피 방법.
  9. 청구항 1에 있어서, 상기 간섭 평면의 위치는, 상기 포토레지스트 굴절률, 상기 포토레지스트 두께, 또는 상기 하부 층의 두께, 중 하나 이상을 조정함으로써 조정되는 것인, 기판 상에서의 포토리소그래피 방법.
  10. 청구항 1에 있어서, 상기 제1 파장의 광을 노출시키는 단계는 상기 포토레지스트 층의 노출된 부분 및 상기 포토레지스트 층의 노출되지 않은 부분을 생성하고, 상기 제2 파장의 광을 노출시키는 단계는 상기 노출된 부분 및 상기 노출되지 않은 부분을 상기 제2 파장의 광에 노출시키는 단계를 포함하는 것인, 기판 상에서의 포토리소그래피 방법.
  11. 청구항 10에 있어서, 상기 제1 파장의 광 및 상기 제2 파장의 광을 노출시킨 후에, 상기 기판 상에서의 포토리소그래피 방법은,
    상기 포토레지스트 층을 현상하는 단계를 더 포함하는, 기판 상에서의 포토리소그래피 방법.
  12. 청구항 1에 있어서, 상기 제1 파장의 광은 상기 제1 광 파장 활성 임계치이상이고 상기 제2 광 파장 활성 임계치 미만이며, 상기 제2 파장의 광은 상기 제2 광 파장 활성 임계치 이상인 것인, 기판 상에서의 포토리소그래피 방법.
  13. 기판 상에서의 포토리소그래피 방법에 있어서,
    기판 - 상기 기판은 상기 기판 상에 하부 층을 포함하고, 상기 하부 층은 하부 층 굴절률 및 하부 층 두께를 포함함 - 을 수용하는 단계;
    상기 하부 층 상에 포토레지스트 층 - 상기 포토레지스트 층은,
    상기 포토레지스트 층 내의 산 생성을 제1 산 농도로 제어하는 제1 광 파장 활성 범위;
    상기 제1 산 농도를 제2 산 농도로 증가시키는, 상기 제1 광 파장 활성 범위와 상이한 제2 광 파장 활성 범위;
    포토레지스트 굴절률;
    포토레지스트 두께; 및
    상기 하부 층과 상기 포토레지스트 층의 계면에, 또는 상기 하부 층과 상기 포토레지스트 층의 계면의 반대 측에 있는 상기 포토레지스트 층의 표면에, 또는 상기 하부 층과 상기 포토레지스트 층의 계면의 반대 측에 있는 상기 포토레지스트 층의 표면과 상기 계면 사이의 중간 위치에, 위치되는 간섭 평면을 포함함 - 을 성막하는 단계;
    패터닝된 마스크를 통해 상기 기판 상에 제1 파장의 광 - 상기 제1 파장의 광은 상기 제1 광 파장 활성 범위 내에 있음 - 을 노출시키는 단계; 및
    상기 기판에 제2 파장의 광 - 상기 제2 파장의 광은 상기 제2 광 파장 활성 범위 내에 있음 - 을 노출시키는 단계를 포함하고,
    상기 제1 파장의 광 및 상기 제2 파장의 광 중 하나에 노출되는 동안에만 상기 하부 층에서의 반사로 인해 상기 포토레지스트 층 내의 임의의 다른 평면 위치에서보다 상기 간섭 평면에서 상대적으로 더 높은 광 강도 간섭이 일어나고,
    상기 기판 상에서의 포토리소그래피 방법은,
    상기 제1 파장의 광을 노출시키기 전에 상기 포토레지스트 층 상에 EUV 상부 코트 층을 성막하는 단계;
    상기 제2 파장의 광을 노출시키기 전에 상기 EUV 상부 코트 층을 제거하는 단계; 및
    상기 제2 파장의 광을 노출시킨 후에 상기 포토레지스트 층을 현상하는 단계를 더 포함하고,
    상기 EUV 상부 코트 층은 EUV 스펙트럼에 대해 투명하고, 상기 제1 파장의 광을 노출시키는 단계는 상기 EUV 상부 코트 층 및 상기 포토레지스트 층 상에 상기 제1 파장의 광을 노출시키는 단계를 포함하고, 상기 제1 파장의 광은 상기 EUV 스펙트럼 내의 파장을 포함하며,
    상기 제2 파장의 광의 노출은 상기 기판의 전체 노출(blanket exposure)인 것인, 기판 상의 포토리소그래피 방법.
  14. 청구항 13에 있어서, 상기 포토레지스트 굴절률은 0.9와 1.7 사이의 값을 포함하는 것인, 기판 상에서의 포토리소그래피 방법.
  15. 청구항 13에 있어서, 상기 포토레지스트 두께는 30nm와 60nm 사이의 값을 포함하는 것인, 기판 상에서의 포토리소그래피 방법.
  16. 청구항 13에 있어서, 상기 제1 파장의 광은 30nm 미만의 크기를 포함하고, 상기 제2 파장의 광은 30nm 이상의 크기를 포함하는 것인, 기판 상에서의 포토리소그래피 방법.
  17. 청구항 13에 있어서,
    상기 제1 파장의 광의 노출 이후에, 120초 이하 동안 30℃ 내지 90℃ 사이로 상기 기판을 가열하는 단계를 더 포함하는, 기판 상에서의 포토리소그래피 방법.
  18. 청구항 17에 있어서,
    상기 제2 파장의 광의 노출 이후에, 120초 이하 동안 80℃ 내지 130℃ 사이로 상기 기판을 가열하는 단계를 더 포함하는, 기판 상에서의 포토리소그래피 방법.
  19. 청구항 13에 있어서, 상기 EUV 상부 코트 층은,
    상기 제1 파장의 광의 노출 동안 상기 포토레지스트 층으로부터 아웃개싱(outgassing)을 감소시키고,
    상기 제1 파장의 광의 노출로부터 대역외(out-of-band) 방사선으로부터의 의도하지 않은 산 광분해(photolysis)의 생성을 감소시키고,
    상기 제1 파장의 광이 상기 포토레지스트 층으로 통과하는 것을 허용하도록 구성되는 것인, 기판 상에서의 포토리소그래피 방법.
  20. 청구항 13에 있어서, 상기 EUV 상부 코트 층은 0.9와 1.1 사이의 굴절률을 포함하는 것인, 기판 상에서의 포토리소그래피 방법.
  21. 청구항 13에 있어서, 상기 제1 파장의 광을 노출시키는 단계는 상기 포토레지스트 층의 노출된 부분 및 상기 포토레지스트 층의 노출되지 않은 부분을 생성하고, 상기 제2 파장의 광을 노출시키는 단계는 상기 노출된 부분 및 상기 노출되지 않은 부분을 상기 제2 파장의 광에 노출시키는 단계를 포함하는 것인, 기판 상에서의 포토리소그래피 방법.
  22. 청구항 21에 있어서, 상기 제1 파장의 광 및 상기 제2 파장의 광을 노출시킨 후에, 상기 기판 상에서의 포토리소그래피 방법은,
    상기 포토레지스트 층을 현상하는 단계를 더 포함하는, 기판 상에서의 포토리소그래피 방법.
KR1020227017289A 2014-02-24 2015-02-24 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스 KR102615912B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461944041P 2014-02-24 2014-02-24
US61/944,041 2014-02-24
PCT/US2015/017347 WO2015127459A1 (en) 2014-02-24 2015-02-24 Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR1020167025293A KR102402923B1 (ko) 2014-02-24 2015-02-24 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020167025293A Division KR102402923B1 (ko) 2014-02-24 2015-02-24 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스

Publications (2)

Publication Number Publication Date
KR20220075439A true KR20220075439A (ko) 2022-06-08
KR102615912B1 KR102615912B1 (ko) 2023-12-19

Family

ID=53879163

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227017289A KR102615912B1 (ko) 2014-02-24 2015-02-24 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스
KR1020167025293A KR102402923B1 (ko) 2014-02-24 2015-02-24 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020167025293A KR102402923B1 (ko) 2014-02-24 2015-02-24 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스

Country Status (5)

Country Link
US (2) US9618848B2 (ko)
JP (2) JP6758575B2 (ko)
KR (2) KR102615912B1 (ko)
TW (1) TWI575566B (ko)
WO (1) WO2015127459A1 (ko)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR102402422B1 (ko) * 2014-02-25 2022-05-25 도쿄엘렉트론가부시키가이샤 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술
US10503070B2 (en) * 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
KR102177192B1 (ko) 2016-05-13 2020-11-10 도쿄엘렉트론가부시키가이샤 광 작용제의 사용에 의한 임계 치수 제어
CN109313394B (zh) * 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
JP6531723B2 (ja) * 2016-06-29 2019-06-19 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR102669150B1 (ko) * 2016-07-27 2024-05-27 삼성전자주식회사 자외선(uv) 노광 장치를 구비한 극자외선(euv) 노광 시스템
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR102129049B1 (ko) * 2017-09-11 2020-07-01 주식회사 엘지화학 광산 발생제 및 이를 포함하는 후막용 화학 증폭형 포지티브 타입 포토레지스트 조성물
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
KR20240050466A (ko) 2018-01-31 2024-04-18 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
WO2019240279A1 (ja) * 2018-06-14 2019-12-19 国立大学法人大阪大学 レジストパターン形成方法
CN112969965A (zh) 2018-07-19 2021-06-15 林特弗德有限公司 噻吨酮衍生物、包含其的组合物和包含所述组合物的图案形成方法
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
GB202000736D0 (en) 2020-01-17 2020-03-04 Lintfield Ltd Modified thioxanthone photoinitators
TW202205020A (zh) * 2020-04-03 2022-02-01 美商蘭姆研究公司 用以增強euv微影效能的曝光前光阻固化
CN112257270A (zh) * 2020-10-23 2021-01-22 东方晶源微电子科技(北京)有限公司深圳分公司 一种负显影光刻工艺的全芯片快速仿真方法、负显影光刻胶模型、opc模型及电子设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040115564A1 (en) * 2001-08-29 2004-06-17 Van Itallie John F. Photolithographic methods of using a single reticle to form overlapping patterns
US20070024838A1 (en) * 2005-07-27 2007-02-01 Yoshihide Yamaguchi Exposure apparatus and exposure method, and method of manufacturing electrical wiring board
JP2013140319A (ja) * 2011-12-06 2013-07-18 Shin Etsu Chem Co Ltd レジスト保護膜材料及びパターン形成方法
JP2013228447A (ja) * 2012-04-24 2013-11-07 Shin Etsu Chem Co Ltd レジスト下層膜材料及びパターン形成方法

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
EP0203215B1 (de) 1985-05-29 1990-02-21 Ibm Deutschland Gmbh Verfahren zur Reparatur von Transmissionsmasken
US4931380A (en) 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0654390B2 (ja) * 1986-07-18 1994-07-20 東京応化工業株式会社 高耐熱性ポジ型ホトレジスト組成物
JPH0740543B2 (ja) 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH01234852A (ja) * 1988-03-16 1989-09-20 Fujitsu Ltd 微細パターン形成方法
JPH04239116A (ja) * 1991-01-14 1992-08-27 Fujitsu Ltd 半導体装置の製造方法
JP2723405B2 (ja) * 1991-11-12 1998-03-09 松下電器産業株式会社 微細電極の形成方法
US5294680A (en) * 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
JP3158710B2 (ja) * 1992-09-16 2001-04-23 日本ゼオン株式会社 化学増幅レジストパターンの形成方法
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
TW276353B (ko) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
SE9502957D0 (sv) * 1995-08-28 1995-08-28 Pharmacia Ab Device for displacing a member in a container
JPH0990621A (ja) * 1995-09-21 1997-04-04 Canon Inc レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法
JP2910654B2 (ja) * 1996-01-30 1999-06-23 日本電気株式会社 レジストパターン形成方法
JP2867964B2 (ja) * 1996-06-27 1999-03-10 日本電気株式会社 レジスト膜パターンの形成方法
JPH1083947A (ja) * 1996-09-09 1998-03-31 Hitachi Ltd レジストパターン形成方法およびその装置
US5905019A (en) * 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11168052A (ja) * 1997-12-04 1999-06-22 Mitsubishi Electric Corp 半導体装置の製造方法
JPH11237737A (ja) * 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
JP3013337B2 (ja) * 1998-02-02 2000-02-28 松下電器産業株式会社 パターン形成方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6245492B1 (en) * 1998-08-13 2001-06-12 International Business Machines Corporation Photoresist system and process for aerial image enhancement
JP2000194141A (ja) 1998-12-28 2000-07-14 Kansai Paint Co Ltd レジストパタ―ン形成方法
JP2000208408A (ja) 1999-01-19 2000-07-28 Nec Corp 化学増幅系レジストのパタ―ン形成方法
JP4557328B2 (ja) * 1999-02-01 2010-10-06 富士フイルム株式会社 ポジ型フォトレジスト組成物
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
WO2001098838A2 (en) * 2000-06-22 2001-12-27 Koninklijke Philips Electronics N.V. Method of forming optical images, mask for use in this method, method of manufacturing a device using this method, and apparatus for carrying out this method
US6548219B2 (en) * 2001-01-26 2003-04-15 International Business Machines Corporation Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions
US6555479B1 (en) * 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
US7360217B2 (en) 2001-09-28 2008-04-15 Consentry Networks, Inc. Multi-threaded packet processing engine for stateful packet processing
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
SG115693A1 (en) * 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
WO2005081295A1 (ja) * 2004-02-20 2005-09-01 Nikon Corporation 露光方法、露光装置及び露光システム並びにデバイス製造方法
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
JP4524154B2 (ja) * 2004-08-18 2010-08-11 富士フイルム株式会社 化学増幅型レジスト組成物及びそれを用いたパターン形成方法
US20060269879A1 (en) 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US20070275330A1 (en) * 2006-05-25 2007-11-29 International Business Machines Corporation Bottom anti-reflective coating
US7687205B2 (en) * 2006-06-15 2010-03-30 The Boeing Company Photolithographic method and apparatus employing a polychromatic mask
JP2007334036A (ja) * 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
DE102006053074B4 (de) * 2006-11-10 2012-03-29 Qimonda Ag Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US7648918B2 (en) * 2007-08-20 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pattern formation in semiconductor fabrication
US8110339B2 (en) * 2007-09-06 2012-02-07 Massachusetts Institute Of Technology Multi-tone resist compositions
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
JP4961324B2 (ja) * 2007-10-26 2012-06-27 富士フイルム株式会社 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法
KR101585992B1 (ko) 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US20090214985A1 (en) * 2008-02-27 2009-08-27 Tokyo Electron Limited Method for reducing surface defects on patterned resist features
US20090274974A1 (en) * 2008-04-30 2009-11-05 David Abdallah Spin-on graded k silicon antireflective coating
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
CN102056913A (zh) * 2008-06-12 2011-05-11 巴斯夫欧洲公司 锍衍生物及其作为潜酸的用途
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
WO2010096615A2 (en) 2009-02-19 2010-08-26 Brewer Science Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) * 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP5011345B2 (ja) 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
WO2010140870A2 (ko) * 2009-06-05 2010-12-09 주식회사 동진쎄미켐 반도체 소자의 미세 패턴 형성 방법
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8795952B2 (en) * 2010-02-21 2014-08-05 Tokyo Electron Limited Line pattern collapse mitigation through gap-fill material application
US8124319B2 (en) 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
JP2013003167A (ja) * 2011-06-10 2013-01-07 Shin Etsu Chem Co Ltd パターン形成方法
KR101909567B1 (ko) 2011-07-08 2018-10-18 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
JP2013057877A (ja) * 2011-09-09 2013-03-28 Toshiba Corp パターン形成方法
CN103034048B (zh) 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
JP5705103B2 (ja) * 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
NL2010162A (en) 2012-02-03 2013-08-06 Asml Netherlands Bv A lithography model for 3d resist profile simulations.
CN103309164A (zh) * 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP6004172B2 (ja) * 2012-07-31 2016-10-05 日産化学工業株式会社 カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物
JP2014143415A (ja) 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
WO2014129556A1 (ja) 2013-02-20 2014-08-28 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料
TWI600966B (zh) 2014-02-21 2017-10-01 東京威力科創股份有限公司 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板
US9519227B2 (en) * 2014-02-24 2016-12-13 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR)
WO2015127348A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR102402422B1 (ko) * 2014-02-25 2022-05-25 도쿄엘렉트론가부시키가이샤 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술
WO2016025210A1 (en) 2014-08-13 2016-02-18 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
JP6809843B2 (ja) * 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
JP6774814B2 (ja) * 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
JP6512994B2 (ja) * 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
CN109313394B (zh) * 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
KR102177192B1 (ko) * 2016-05-13 2020-11-10 도쿄엘렉트론가부시키가이샤 광 작용제의 사용에 의한 임계 치수 제어

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040115564A1 (en) * 2001-08-29 2004-06-17 Van Itallie John F. Photolithographic methods of using a single reticle to form overlapping patterns
US20070024838A1 (en) * 2005-07-27 2007-02-01 Yoshihide Yamaguchi Exposure apparatus and exposure method, and method of manufacturing electrical wiring board
JP2013140319A (ja) * 2011-12-06 2013-07-18 Shin Etsu Chem Co Ltd レジスト保護膜材料及びパターン形成方法
JP2013228447A (ja) * 2012-04-24 2013-11-07 Shin Etsu Chem Co Ltd レジスト下層膜材料及びパターン形成方法

Also Published As

Publication number Publication date
TWI575566B (zh) 2017-03-21
US20150241783A1 (en) 2015-08-27
US20170192357A1 (en) 2017-07-06
JP2017507371A (ja) 2017-03-16
JP6758575B2 (ja) 2020-09-23
KR102402923B1 (ko) 2022-05-27
JP2020197727A (ja) 2020-12-10
TW201539539A (zh) 2015-10-16
US9618848B2 (en) 2017-04-11
KR102615912B1 (ko) 2023-12-19
JP7009568B2 (ja) 2022-01-25
US10534266B2 (en) 2020-01-14
WO2015127459A1 (en) 2015-08-27
KR20160124807A (ko) 2016-10-28

Similar Documents

Publication Publication Date Title
KR102402923B1 (ko) 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스
JP7074990B2 (ja) 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術
US9645495B2 (en) Critical dimension control in photo-sensitized chemically-amplified resist
CN103649830B (zh) 光刻图案化过程和其中使用的抗蚀剂
KR102303158B1 (ko) 포토리소그래피 프로세스용 어시스트 피처
JP5705103B2 (ja) パターン形成方法
JP6524388B2 (ja) 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
KR102475021B1 (ko) 감광 화학물질 또는 감광 화학 증폭형 레지스트의 사용에 의한 임계 치수 제어
JP2003316019A (ja) パターン形成方法および半導体装置の製造方法
US8551689B2 (en) Methods of manufacturing semiconductor devices using photolithography
KR20150059615A (ko) 극자외선 리소그래피 공정 및 마스크
Kim et al. CD uniformity improvement for EUV resists process: EUV resolution enhancement layer
KR20090095388A (ko) 반사형 포토마스크의 제조방법
Wheeler et al. Basic Issues Associated With Four Potential EUV Resist Schemes: Trilayer Organometallic Bilayer, or Plasma Deposited-Plasma & Developed Bilayer, and Silylated Resists
KR20040079756A (ko) 반도체소자의 미세패턴 형성방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant