TW202205020A - 用以增強euv微影效能的曝光前光阻固化 - Google Patents

用以增強euv微影效能的曝光前光阻固化 Download PDF

Info

Publication number
TW202205020A
TW202205020A TW110111878A TW110111878A TW202205020A TW 202205020 A TW202205020 A TW 202205020A TW 110111878 A TW110111878 A TW 110111878A TW 110111878 A TW110111878 A TW 110111878A TW 202205020 A TW202205020 A TW 202205020A
Authority
TW
Taiwan
Prior art keywords
metal
containing photoresist
euv
photoresist
exposing
Prior art date
Application number
TW110111878A
Other languages
English (en)
Inventor
蒂莫西 威廉 魏德曼
凱文 李 古
吳呈昊
凱蒂 林恩 納迪
博里斯 佛洛斯基
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202205020A publication Critical patent/TW202205020A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

本文公開了用於在微影圖案化操作之前將有機金屬氧化物膜曝光於全面性UV處理的方法和設備。全面性UV處理可以用來推移薄膜的溶解度曲線,從而可以使用較低的EUV劑量來對薄膜進行圖案化。此外,全面性UV處理可以在顯影之後使用,以進一步固化薄膜。

Description

用以增強EUV微影效能的曝光前光阻固化
本文提供的背景描述是為了大致呈現本技術之上下文的目的。當前列名發明人的工作成果,到在此背景技術所描述的程度,以及在提出申請時可能不以其他方式具有資格作為先前技術的描述態樣,前述各者既不明示亦不暗示被承認為與本技術相牴觸的先前技術。
半導體裝置(例如積體電路)的製造是一種涉及微影的多步驟處理。通常,該處理包含在晶圓上沉積材料,以及藉由微影技術圖案化材料以形成半導體裝置的結構特徵部(例如電晶體和電路)。本領域已知的典型微影處理的步驟包含:準備基板;例如藉由旋塗來施加光阻;將光阻以所需圖案曝光,使光阻的曝光區域或多或少可溶於顯影液;施加顯影液進行顯影,以移除光阻的曝光或未曝光區域;以及進行隨後的處理,例如藉由蝕刻或材料沉積,以在已移除光阻的基板區域上產生特徵部。
半導體設計的發展產生了在半導體基板材料上創造更小特徵部的需求,並受到這種能力的推動。這種技術的進步在「摩爾定律」中係描述為在密集積體電路中之電晶體密度每兩年會加倍一次。事實上,晶片設計和製造已經進步到使得現代微處理器可能在單一晶片上包含數十億個電晶體和其他電路特徵部。此類晶片上的個別特徵部可能是約22 奈米 (nm) 或更小的級別,在某些情況下小於10 nm。
製造具有如此小特徵部之裝置的一個挑戰是能夠可靠且可重複地產生具有足夠解析度的微影遮罩。當前的微影處理通常使用 193 nm 紫外 (UV) 光來曝光光阻。光的波長明顯大於要在半導體基板上產生之特徵部之所需尺寸的這個事實產生了固有問題。達成小於光波長的特徵部尺寸乃需要使用例如多重圖案化的複雜解析度增強技術。因此,在開發使用例如極紫外輻射(EUV)之較短波長光(例如具有10 nm至15 nm,例如13.5 nm)的微影技術方面存在顯著的興趣和研究努力。
然而,EUV 微影處理可能會帶來挑戰,包含低功率輸出和光子吸收隨機性。類似於 193 nm UV微影中使用的那些傳統有機化學增幅光阻 (CAR) 在用於 EUV 微影時具有潛在的缺點,尤其是因為它們在 EUV 區域具有低吸收係數,且光活化之化學物質的擴散會導致模糊或線邊緣粗糙度。此外,為了提供對下層裝置層進行圖案化所需的抗蝕刻性,在傳統 CAR 材料中圖案化的小特徵部可能會導致高深寬比,進而出現圖案坍塌的風險。因此,仍然需要有較佳的EUV光阻材料,其具有例如較薄的厚度、更大的吸光性和更大的抗蝕刻性等特性。
本文揭露了處理光阻的方法和系統,該方法包含:在處理室中接收基板上的含金屬光阻,並藉由將該含金屬光阻曝光至全面性UV (blanket UV)來進行處理,以修正該含金屬光阻之材料特性,俾使該含金屬光阻之輻射敏感性增加。在各個實施例中,全面性UV的波長小於300 nm、為約248 nm、約193 nm或DUV。在一些實施例中,全面性UV的強度係介於1和100 mJ/cm2 之間。在一些實施例中,處理含金屬光阻的步驟係增加了含金屬光阻對EUV輻射的敏感性。在各個實施例中,處理含金屬光阻的步驟係增加了含金屬光阻對基板的黏著性。在一些實施例中,在將含金屬光阻曝光於EUV微影之前先進行含金屬光阻的處理。在各個實施例中,含金屬光阻的溶解度在不超過約2 mJ/cm2 的劑量範圍內突然變化。在一些實施例中,處理含金屬光阻的步驟還包含控制溫度、壓力、環境氣體化學物質、氣流/比率以及濕度進行的熱處理。
在各個實施例中,該方法還包含將該含金屬光阻曝光至圖案化EUV光,以及使該含金屬光阻顯影,以移除部分之該含金屬光阻。在一些實施例中,EUV光的強度係介於20和100 mJ/cm2 之間。在一些實施例中,使含金屬光阻顯影的步驟為乾式處理。在一些實施例中,使含金屬光阻顯影的步驟為濕式處理。在各個實施例中,使含金屬光阻顯影的步驟為正型處理。在一些實施例中,使含金屬光阻顯影的步驟為負型處理。在一些實施例中,該方法還包含在使含金屬光阻進行顯影之後,清洗含金屬光阻以移除殘渣。在各個實施例中,該方法更包含在使含金屬光阻顯影之後,將含金屬光阻曝光至額外的全面性UV,以改善含金屬光阻的蝕刻選擇性。在各個實施例中,在氧存在的情況下進行將含金屬光阻曝光於額外的全面性UV。在一些實施例中,氧的分壓為至少10 Torr。
下面將參考相關附圖詳細描述所揭露之實施例的這些和其他特徵。
介紹及背景
本揭露內容係大致涉及半導體加工領域。在特定的態樣中,本揭露內容係涉及用於在 EUV 圖案化和 EUV 圖案化膜顯影的背景下處理 EUV 光阻(例如對EUV-敏感的金屬以及/或含金屬氧化物的光阻膜)以形成圖案化遮罩的方法和設備。
本文係詳細參照本揭露內容之特定實施例。特定實施例之範例係由附圖說明。雖然將結合特定實施例來描述本揭露內容,但吾人應當理解,其並非旨在限制將本揭露內容限制於此等特定實施例。相反地,其係意欲將替代物、修改及等效物可以包含在本揭露內容的精神及範圍內。在以下描述中,闡述了許多具體細節以便提供對所呈現揭露內容的透徹理解。可以在沒有這些具體細節中的一些或全部的情況下實踐本揭露內容。在其他情況下,不詳細描述為人熟知之處理操作,以免不必要地模糊本揭露內容。
半導體製程中之薄膜的圖案化通常是半導體製造中的一個重要步驟。圖案化係涉及微影。在例如 193 nm 微影的傳統微影技術中,藉由將光子從光子源發射到遮罩上並將圖案印刷到光敏感光阻上來印刷圖案,從而在光阻中引起化學反應,並在顯影之後移除光阻的某些部分來形成圖案。
先進技術節點(如國際半導體技術路線圖所定義)包含 22  nm、16  nm及以上的節點。例如在 16  nm節點中,鑲嵌結構中的典型通孔或線的寬度通常不大於約 30  nm。先進半導體積體電路 (IC) 和其他裝置上的特徵縮放正在推動微影技術以提高解析度。
極紫外 (EUV) 微影可以藉由使用比傳統微影方法更小的成像源波長來擴展微影技術。大約 10-20 nm 或 11-14 nm 波長(例如 13.5 nm 波長)的 EUV 光源可用於尖端的微影工具,也稱為掃描器。EUV 輻射會在包含石英和水蒸氣在內之大範圍的固體和流體材料中被強烈吸收,因此在低壓環境中運行。
EUV 微影係利用 EUV 光阻,這些光阻經過圖案化以形成用於蝕刻下層的遮罩。EUV 光阻可以是藉由基於液體的旋塗技術生產之基於聚合物的化學增幅光阻 (CAR)。CAR的替代物為可直接光圖案化之含金屬氧化物膜,例如那些可從俄勒岡州科瓦利斯市的Inpria公司取得並描述於例如美國專利公開案第US 2017/0102612、US 2016/021660和US 2016/0116839號中,至少其揭露之可光圖案化的含金屬氧化物膜係藉由參照而併入在此。這種薄膜可以藉由旋塗技術或乾式氣相沉積來生產。可以藉由在低壓環境中的 EUV 曝光來直接圖案化含金屬氧化物膜(即不使用另外的光阻),提供低於 30 nm 的圖案化解析度,例如在2018年 6 月 12 日授權的美國專利第 9,996,004號 (標題為 EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS)以及/或在 2019 年 5 月 9 日提交的申請案第 PCT/US19/31618號 (標題為 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS)所說明者,其揭露內容係至少關於可直接光圖案化金屬氧化物膜的組成、沉積和圖案化以形成 EUV 光阻遮罩,其內容係藉由引用而併入本文。通常,圖案化係涉及用EUV輻射對EUV光阻進行曝光,以在光阻中形成光圖案,接著使其顯影以根據光圖案移除一部分光阻以形成遮罩。
吾人亦應理解,雖然本揭露係關於以EUV微影為例的微影圖案化技術和材料,但其亦適用於其他的下一世代微影技術。除了包含目前正使用和開發之標準13.5 nm EUV波長的EUV之外,與這種微影最相關的輻射源是DUV(深紫外光),其一般是指使用248 nm或193 nm準分子雷射光源、X射線,其正式包含在X射線範圍中之較低能量範圍的EUV以及可以覆蓋較寬能量範圍的電子束。具體方法可能取決於半導體基板和最終半導體裝置中使用的特定材料和應用。因此,本申請案中描述的方法僅僅是可用於本技術的方法和材料的例示。
可直接光圖案化的 EUV 光阻可以由金屬以及/或在有機成分中混合的金屬氧化物組成或包含金屬以及/或在有機成分中混合的金屬氧化物。此金屬/金屬氧化物非常有前途,因為它們可以增強 EUV 光子吸附並產生二次電子以及/或顯示出對下面的薄膜堆疊和裝置層的蝕刻選擇性增加。金屬或金屬氧化物 EUV 光阻可以在 EUV 圖案化曝光之後藉由濕式或乾式技術顯影。
迄今為止,這些光阻是使用濕式(溶劑)方法顯影,這需要將晶圓移動到軌道,於該處曝光在顯影溶劑中、乾燥並烘烤。由於在精細特徵部之間的溶劑蒸發期間的表面張力效應,濕式顯影可能會限制生產率並導致線塌陷。已經提出乾式顯影技術以藉由消除基板脫層和界面損壞來克服這些問題。此外,乾式顯影具有多種環境優勢。與乾式顯影化學品相比,用於各種濕式處理的有機溶劑可能需要昂貴的廢棄處理程序。
CAR 薄膜係接近 EUV 性能的限制,並表現出幾個缺點。由於 EUV 吸收低,因此它們必須比目前 EUV 光阻的臨界尺寸厚許多,這在開發處理中由於高縱橫比而存在著圖案崩塌的風險。更令人擔憂的是酸擴散處理中較寬的清除半徑,導致圖案化薄膜中的線邊緣粗糙度相對較高。淬滅劑可用於降低酸擴散半徑,但這是以敏感性降低為代價,迫使需要較高劑量及因此較低的掃描器的產出及更高的成本。當前 CAR 的空間解析度無法達到下一代裝置結構需要之小間距所期望的 EUV 微影性能。
有機金屬光阻具有顯著更高的 EUV 吸收係數,且可以明顯更薄但同時仍提供良好的耐蝕刻性。然而,需要藉由提高靈敏度、降低線邊緣粗糙度以及/或提高膜的解析度來改進它們的性能。尤其是印出明確界定的特徵部所需的 EUV 劑量係認為是一個關鍵指標,因為它定義了 EUV 掃描器的產出;任何劑量上的減少均會構成顯著的成本節省。 全面性UV曝光技術
本揭露內容係提供在PR沉積之後且在微影曝光之前的EUV光阻(PR)的全面性處理方法,以及微影圖案顯影之後的全面性處理,以硬化一部分剩餘的PR(在負型光阻中的曝光部分或者在正型光阻中之未曝光部分的光阻)。在微影-圖案化EUV敏感薄膜(例如EUV PR)上之EUV曝光劑量的影響中,其為:1)促使溶解度改變以及界定圖案化特徵部之邊緣輪廓,和2)促使足夠PR-基板黏著性,以防止特徵部在濕式顯影處理中脫層。涉及全面性UV處理的應用後固化 ( PAC,post-application curing )可以藉由降低微影劑量和提高基板黏著性來提高光阻性能。PAC可以部分曝光PR而不促使溶解度改變,使得在掃描器的EUV圖案化劑量(並且因此時間)可以顯著降低。必要的化學性和結構性的修改可以藉由光學、熱、電漿或電子束方法實現。此種策略可以通常適用於乾式-沉積 EUV PR以及其它含金屬或CAR光阻。在 EUV 圖案化曝光之前處理 EUV PR 具有多種優勢,包含降低 EUV 劑量要求、增加產出/降低獲得圖案化 PR 的成本、改善/增加PR-基板黏著性以及去耦處理以提高微影圖案化劑量的黏著性。
金屬有機PR,包含乾式-沉積並旋塗金屬有機膜(如錫-氧化物PR),可以在圖1所示之線110表現出對比度曲線。X軸為提高EUV劑量,而 y 軸是在微影圖案顯影以移除部分 PR後剩餘的厚度。Y軸因此指出(例如效率/過什麼劑量範圍內)EUV劑量引起PR之溶解度變化的效率如何。線110用於負型光阻,其中未曝光部分係被移除。正型光阻可能存在反向曲線,其中曝光部分係被移除。線110說明大部分的溶解度變化係發生在狹窄區域中。
對於金屬-有機PR,在閾值劑量之下的EUV曝光會促使PR膜內部之化學性和結構性的變化,但不會是足以引起在顯影溶劑中之溶解度變化的改變,如線110 所示。曝光不足的薄膜將維持其可溶性,且在濕式顯影之後不會剩下圖案。然而,藉由以低於閾值的特定劑量對整個 PR 薄膜進行預曝光,對比度曲線可發生變化,因此需要降低 EUV 曝光劑量才能有效地形成圖案。線120說明了本文所述之PAC處理的效果:曲線已經偏移到較低的EUV劑量,使得在EUV掃描器中導致溶解度變化需要更少的時間,進而代表了大量的成本節約。在一些實施例中,PR的敏感度係指足以引起溶解度變化的輻射劑量,使得PR的敏感度增加,例如本文所揭露技術的結果比起沒有此處揭露之技術所需之劑量,其可以是指足以引起濕式或乾式顯影中溶解度變化的輻射劑量較低。
光學PAC可以使用 EUV 泛曝光或其他替代光源,如深UV (DUV) 和UV光(低強度連續波或高強度閃光兩者)來實現。全面性EUV/DUV/UV曝光比在掃描器中微影EUV曝光基本上成本更低,所以PAC具有藉由降低掃描器的曝光時間來降低微影曝光步驟之總成本的潛力。可以使用具有UV曝光能力的任何合適的工具來執行如本文所述之光學曝光前處理。一些特別合適的例示包含可從加州弗里蒙特市的Lam Research Corporation獲得的SOLA 或 LUMIER 工具。
不希望受到理論的束縛,但吾人應理解的是DUV光子可能會破壞金屬-烷基鍵(例如Sn-C鍵),而不須實質驅動交聯。因此,藉由降低交聯所需的EUV劑量而實質上不引起交聯,可以使用全面性 UV 處理來改變圖 1 所示的對比度曲線。這對於負型光阻可能是有利的,其中係移除了 PR 的未曝光部分。全面性UV處理係減少了在曝光區域之交聯所需的EUV劑量,這是我們期望的,但其實質上並不驅動在未曝光區域的交聯,這卻是我們不希望的。因此,之後的微影EUV 曝光可能會以比引起溶解度變化所需的更低劑量來驅動曝光區域中的交聯。
EUV光子可以破壞多重鍵,其至少部分是因為對二次電子的影響,其可期望地驅動金屬氧化物膜的交聯。相比之下,例如 193 nm 或 248 nm 光子的DUV 光子可能只會破壞單鍵。因此,使用此種較高波長/較低能量的全面性UV處理可以是有利的,既可以採用具有在UV範圍內的寬光譜或在例如193 nm或248 nm之DUV波長的特定波長,其中單一個光子不會破壞多重鍵並驅動交聯以降低有效圖案化所需的 EUV 劑量。然而,在一些實施例中,較低的EUV劑量也可能具有導致線邊緣粗糙度和線寬粗糙度的隨機效應。較高的 EUV 劑量通常會降低粗糙度,從而在一些實施例中係使用最小的 EUV 劑量來充分降低線粗糙度。在這樣的實施例中,可以考慮最小EUV劑量而使UV全面性處理最佳化,以有效地平衡UV全面性處理和EUV劑量以獲得最佳成本和性能。
本文所述之PAC的另一個好處是增加PR和下層基板之間的黏著性。基板可以曝光至與烴基取代之錫封端劑接觸的羥基,以形成烴基封端的SnOx膜而作為基板表面上的成像/ PR層。PAC可以改善PR的基板黏著性,不論微影劑量如何。目前,EUV 劑量可能高於定義圖案線所需的劑量,以增加 PR 與下層基板之間的黏著性。能夠將黏著性與 EUV曝光解耦對於具有其他吸引人之特徵部但遭受脫層這個主要故障機制的基板是有益的。因此,可以使用全面性UV處理來增加PR對下面基板的黏著性。
本文所述之PAC的另一個好處是減少含錫物質在乾式沉積後的排氣。排氣是不想要的,因為它會導致交叉污染。PAC 可以藉由交聯低分子量物質(例如烴基取代的錫封端劑)以及/或藉由化學轉化為揮發性較低的化合物來減少排氣。
本文所揭露之處理技術通常適用於EUV PR 和其他負型光阻,無論是氣相沉積還是旋塗,尤其是對於具有鮮明對比曲線的光阻,其溶解度在非常窄的劑量範圍內會突然變化,如圖1所示。
雖然上述討論係集中在負型光阻上,但相同的技術也可以應用於正型光阻。例如可以使用UV泛光燈來減少光阻中的交聯,從而使曝光區域在較低劑量下轉變為溶解度。結果是,上述之相同預處理策略可以與正型光阻系統一起使用。
在一些實施例中,在圖案化EUV曝光後但在顯影前,可以進行類似的處理來取代,以作為曝光後固化。在一些實施例中,在圖案化的EUV曝光之後的全面性UV處理可以實現與PAC類似的功能。然而,在其他實施例中,在圖案化的 EUV曝光之前執行全面性 UV 處理可能更有利。不希望受到理論的束縛,吾人理解到全面性UV處理會優先破壞金屬-烷基鍵。在圖案化 EUV曝光後,PR 的圖案化部分可能比未曝光部分具有更少的金屬-烷基鍵。因此,由於金屬-烷基鍵的差異,在圖案化的 EUV曝光之後進行全面性 EUV 處理可能對未曝光部分具有相對更大的影響,從而不利地降低了 PR 的曝光部分和未曝光部分之間的對比度。
在一些實施例中,如本文所討論的全面性UV處理技術可以與熱處理相結合。例如,可以在圖案化EUV曝光之前或之後烘烤基板。基板可以在控制溫度、壓力、環境氣體化學品、氣流/比率和濕度的情況下進行熱處理。在一些實施例中,可以在熱處理期間執行全面性UV處理。在2020年2月4日提出申請之美國專利申請號第 62/970,020,標題為 POST APPLICATION/EXPOSURE TREATMENTS TO IMPROVE DRY DEVELOPMENT PERFORMANCE OF METAL-CONTAINING EUV RESIST,進一步討論了在圖案化EUV曝光之前及/或之後基板的熱處理,其公開內容至少涉及熱處理具有含金屬 EUV光阻的基板,在此引入作為參考。
在一些實施例中,可以在已曝光圖案的顯影之後應用如本文所討論的全面性UV處理技術。當在顯影之後應用時,本揭露內容中所描述的處理可用於硬化晶圓上剩餘的圖案。這種方法可用於正型及負型顯影。對於曝光時發生交聯的正型含金屬光阻,這種方法可能證明是特別有利的,因為剩餘的薄膜在化學上和機械上是易碎的。2019 年 10 月 8 日提交的美國專利申請案第 62/912,330 號討論了用於正型光阻顯影的技術,並且為了描述正型光阻顯影的目的藉由引用併入本文。可以使用顯影後處理而在後續轉移蝕刻期間硬化剩餘的 PR 並減少遮罩腐蝕。
此外,在一些實施例中,可以在氧氣存在下進行顯影後固化。氧氣可能會在全面性UV曝光下發生反應而形成臭氧。接著臭氧可以與任何剩餘的烷基反應而產生可以藉由真空泵移除的揮發性化合物。
PR的顯影後處理具有多種優點,包含:降低了隨後的圖案轉印蝕刻所需之PR厚度,如此可以使得能夠以較低的蝕刻選擇性直接在下層進行圖案化,以及硬化光阻特徵部的基腳、減少圖案轉移蝕刻期間臨界尺寸損失的可變性。 處理流程
圖2描繪了處理半導體基板之方法的處理流程。方法200包含在201 處,於處理室中在半導體基板的基板層上提供含金屬光阻。例如,基板可以是以任何合適的方式生產之部分製造的半導體裝置薄膜疊層。在203處,含金屬光阻係曝光於全面性UV處理以修正含金屬光阻的材料特性。在一些實施例中,材料特性的修改係使得在隨後的曝光後乾式顯影處理中的蝕刻選擇性增加。在一些實施例中,含金屬光阻係經修正以增加交聯,同時仍保持溶解性。此外,在一些實施例中,含金屬光阻係經修正以提高黏著性並減少排氣。此處理可能涉及控制強度和持續時間的UV泛曝光。
在操作205中,PR係曝光於圖案化的EUV微影處理,以在PR中產生圖案。在一些實施例中,EUV處理的劑量小於沒有PAC時的劑量。此外,雖然這裡談論的是對EUV 微影的討論,但是此處所揭露的技術可以用於例如 193 nm 或 248 nm之更高波長的微影。在操作205之後,在操作207中將PR顯影以根據微影圖案來移除部分PR。移除的部分係取決於 PR 和顯影化學品是用於負型光阻還是正型光阻。在一些實施例中,顯影操作207為乾式處理,而在其他實施例中可以使用濕式處理。
操作 209 是一可選處理以清理 PR。在一些實施例中,顯影之後可能存在遺留的殘渣,其可以藉由諸多處理移除。在其他實施例中,PR可以具有因圖案化EUV曝光所產生的線邊緣粗糙度(LER)或線寬粗糙度(LWR),其可以藉由操作209期間的清潔處理來降低。
操作211為進一步固化PR的另一個可選處理。與操作 203類似,顯影之後的 PR係曝光於全面性UV 處理。操作 203 和 211 之間的一個區別為曝光程度:對於PAC,全面性UV的劑量並不旨在引起 PR 中的溶解度變化。然而,對於操作 211,全面性UV 劑量係旨在藉由破壞任何剩餘的 Sn-C鍵並驅動交聯完成來固化 PR。因此,全面性UV劑量可能比PAC UV劑量高得多,例如高10x、50x或100x。 處理參數
在一些實施例中,用於全面性UV處理的波長係小於約300 nm。在一些實施例中,全面性UV處理係使用峰值波長為約250 nm (例如248 nm)的發射器。在一些實施例中,發射器具有約190 nm(例如193 nm)的波長。在一些實施例中係使用具有例如小於約300 nm、約250 nm或約190 nm的峰值波長的寬頻發射器。在一些實施例中,用於PAC全面性UV處理的能量劑量可介於約1和100 mJ/cm2 之間或介於約20和100 mJ/cm2 之間。例如,在一些實施例中,以一248 nm發射器,PAC全面性UV處理的能量劑量在約1-100、2-80或3-60 mJ/cm2 之間。在一些實施例中,全面性UV處理的持續時間在約1秒到300秒之間。在一些實施例中,對於PAC或顯影後固化,可以使用電漿或電子束曝光代替光學處理。
在一些實施例中,用於PR之全面性UV處理的能量劑量通常可以隨著UV處理之波長降低而降低,因為對於相似劑量,較低波長的UV處理可能對PR產生更大的影響。一般來說,UV處理的效果係取決於發射器的發射光譜以及PR的吸收光譜。例如,比起PR在具有較低吸收的波長下,PR於例如約190 nm的特定波長下具有較高吸收,便可以使用在該波長下的較低全面性UV劑量。圖3呈現了可以與本實施例一起使用的PR例示吸收光譜。如圖 3 的吸收光譜所示,較低的波長下吸收地更多。因此,吸收較少的波長也可用於UV全面性處理,但劑量可能更高,以達到與具有較高吸收的波長類似的效果。此外,在一些實施例中,下層可影響UV處理的劑量。
在一些實施例中,圖案化的EUV微影劑量可以低於以其他方式使用的劑量。在一些實施例中,圖案化EUV曝光處理的能量劑量可以是介於約30到60 mJ/cm2 之間。
對於顯影之後的固化,在使用 193 nm 發射器的劑量可以為至少約10 mJ/cm2 ,且在該波長或更長的波長下可能顯著的更高。如上所述,基於PR在較高波長下的吸收較低,較高波長可能使用較高劑量。在一些實施例中,可以使用電漿或電子束曝光代替光學處理,以用於PAC或顯影之後的固化。在一些實施例中,顯影之後的固化係在氧存在的情況下進行,例如至少10 Torr的氧分壓、或介於10 Torr及大氣壓之間的氧。 薄膜組成
如本文所述之全面性UV處理可與多種PR一起使用。在一些實施例中,PR為乾式沉積的有機金屬氧化物膜,例如錫氧化物膜,如本文別處所述,且例如於2018年11月14日提交,標題為METHODS FOR MAKING HARDMASKS USEFUL IN NEXT GENATION LITHOGRAPHY的美國專利申請案第62/767,198號中所描述,其揭露內容至少涉及可直接光圖案化金屬氧化物膜的組成、沉積和圖案化以形成EUV光阻遮罩,在此係引入作為參考。在其他實施例中,PR係如本文別處所述之旋塗膜或CAR。在一些實施例中,PR具有約20 nm的厚度。
在一些實施例中,PR膜具有鮮明的對比度曲線。可以藉由在約2 mJ/cm2 的曝光範圍內發生之大部分的溶解度變化來定義鮮明的對比曲線。例如,曝光於EUV且未經全面性UV處理的EUV PR薄膜可能具有約12 mJ/cm2 的轉變劑量(transition dose)或引起溶解度變化的劑量,其中大部分溶解度變化發生在約15-20%的轉變劑量之內,或約2 mJ/cm2 之內。在如本文所述之全面性UV處理之後,引起轉變的EUV劑量可能較低,例如約6 mJ/cm2 ,其中溶解度轉變仍可在約2 mJ/cm2 以上的範圍發生。對比度曲線可以藉由例如顯影之後剩餘的厚度作為EUV劑量的函數來測量。 例子
圖4提供了薄膜400a-c的例示,這些薄膜具有不同程度的全面性UV處理。薄膜400a沒有經過全面性UV處理且係以48 mJ/cm2 的EUV劑量圖案化以實現期望的圖案。薄膜400b係以34 mJ/cm2 的EUV劑量進行低UV全面性處理。薄膜400c係以25 mJ/cm2 的EUV劑量進行高UV全面性處理。如上所述,如薄膜400a所使用之高EUV劑量,通常係用以引起溶解度的變化且界定PR中之圖案線,如薄膜400a圖中所示。然而,使用本文所述的技術,可以使用全面性UV處理來降低導致溶解度變化所需的EUV劑量。如薄膜400b和400c所示,使用全面性UV處理可以允許以較低的圖案化EUV劑量來引起溶解度變化。在薄膜400b和400c中,全面性UV處理係預曝光了PR,降低了導致溶解度變化所需的EUV劑量,而不會導致未曝光區域的溶解度發生顯著變化。因此,使用比薄膜400a更低的EUV劑量對薄膜400b和400c進行圖案化,同時仍然實現類似的線圖案。由於全面性UV處理比圖案化EUV劑量較不昂貴,這些技術可以降低時間和成本,以獲得圖案化的薄膜。 儀器
本發明可以在許多不同類型的設備中實施。通常,該設備包含一或多個腔室(有時稱為處理反應器),其容納一或多個晶圓並適用於晶圓處理。至少一腔室包含UV源。本發明的所有操作可以採用單一腔室,或者可以使用不同的腔室。每個腔室可以容納一或多個進行處理的晶圓。在UV處理操作期間,一或多個腔室將晶圓保持在一或多個限定位置(在該位置內有或沒有例如旋轉、振動或其他攪動的運動)。對於要加熱晶圓的某些操作,該設備可以包含加熱板。
在某些實施例中,使用多站式固化室來執行固化處理。如上所述,在某些實施例中,本發明的多個操作固化處理係依賴於其能夠獨立地調節每個步驟或操作的UV強度、波長、光譜分佈和基板溫度。此外,在固化處理中,可以在每個步驟以相同或不同的流速注入某些惰性或反應性氣體。類似地,UV曝光的各種影響(例如破壞金屬-碳鍵、產生臭氧、處理時間等)可以藉由獨立地調節UV強度和基板溫度來調節。下面討論各種例示的詳細處理參數。
能夠藉由獨立控制基板溫度和UV強度來調節這些效果的多站式固化室係描述於上面的美國專利申請案第11/115,576號以及於2005年7月18日提交之標題為「Cast Pedestal With Heating Element and Coaxial Heat Exchanger」的共同轉讓的美國專利申請案第11/184,101號,其全部內容係併入本文作為參考並用於所有目的。
這些腔室係藉由減少晶圓上的IR輻射量以及/或提供進出晶圓的獨立傳熱機制來解耦基板溫度和UV強度。例如,腔室可以配備冷反射鏡或其他反射器以減少入射到晶圓上的IR輻射量。此外,每個基座或其他基板支撐件可具有獨立的傳熱機制以幫助維持基板溫度而不管UV強度如何。因此,和基板溫度與UV強度耦合的傳統UV固化室不同,基板溫度和UV強度可以針對大範圍的溫度和強度來獨立設定。
圖5A和5B顯示適合與使用UV泛光燈之本發明某些實施例之設備的一個例子。腔室501包含多個固化站503、505、507和509,其中每一個均容納一晶圓。站503包含轉移銷519。圖5B為腔室的側視圖,顯示站503和505以及位於基座523和525上方的晶圓513和515。在晶圓和基座之間存在間隙504。晶圓可以藉由例如銷的附件支撐在基座上方,或者漂浮在氣體上。拋物面或平面冷鏡553和555係位於UV泛光燈組533和535上方。來自燈組533和535的UV光穿過窗543和545。晶圓503和505便接著曝光於輻射。在選擇性的實施例中,晶圓可由基座523和525支撐。在這樣的實施例中,燈可以配備有或沒有冷鏡。藉由與基座完全接觸,可以在足夠高的壓力(通常在50和760 Torr之間,但較佳在100和600 Torr之間)下使用例如氦氣或氦氣和氬氣的混合物之類的導電氣體來保持晶圓溫度。
在操作中,晶圓(或其他基板)在站503進入腔室。站503處的基座溫度可設置為例如220℃的第一溫度,站503上方的UV燈設置為第一強度。在某些情況下,不同的站點會以不同的波長或波長範圍照射晶圓。上面的例子係使用會產生廣譜輻射的UV泛光燈。可以在輻射源中使用光學部件來調製到達晶圓的部分廣譜。例如反射器、濾波器或反射器和濾波器兩者的組合可用於從輻射中減去一部分光譜。此種濾波器的其中一種為帶通濾波器。
光學帶通濾波器係設計用於傳輸特定波長帶。它們係由許多介電材料的薄層組成,這些介電材料具有不同的折射率,以在透射光中產生建設性干擾和破壞性干擾。藉由這種方式,可以將光學帶通濾波器設計為僅傳輸特定波長帶。範圍限制通常取決於干擾濾波器透鏡以及薄膜濾波器材料的組成。入射光係穿過兩個有塗層的反射面。反射塗層之間的距離決定了哪些波長會破壞性干擾,哪些波長會被允許穿過塗層表面。在反射光束同相的情況下,光將穿過兩個反射表面。然而,如果波長異相,破壞性干擾將阻擋大部分的反射而幾乎不允許任何的傳輸通過。藉由這種方式,干擾濾波器能夠使波長高於或低於所需範圍的透射光的強度衰減。
另一種可以使到達晶圓之輻射波長衰減的濾波器是窗543,其通常由石英製成。藉由改變金屬雜質和水含量的程度,可以將石英窗製成能阻擋不需要之波長的輻射。金屬雜質極少的高純度石英在UV更深的地方會更透明。例如,厚度為1cm的石英在170 nm波長下的透光率約為50%,而在160 nm波長下則掉到只有百分之幾。石英中雜質含量的增加會導致較低波長的UV透射率降低。電熔石英含有更多金屬雜質而將其UV透射波長限制在200 nm左右。另一方面,合成氧化矽係具有更高的純度,並且會向下傳輸至170 nm。對於紅外線輻射,通過石英的透射率係由水含量決定。石英中的水越多,紅外線輻射就越有可能被吸收。石英中的水含量可以透過製造處理來控制。因此,可以控制穿過石英窗的輻射透射光譜以截止或減少較短波長的UV透射以及/或減少較長波長的紅外線透射。
另一種類型的濾波器是UV截止濾波器。這些濾波器不允許低於設定值(例如280 nm)的UV透射。這些濾波器係藉由吸收低於截止值的波長來作用的。這可能有助於最佳化所需的固化效果。
輻射波長也可以藉由修改光產生器的特性來控制。UV泛光燈可以產生從UV到紅外線的廣譜輻射,但其他光產生器可用於發射較小的光譜或增加較窄光譜的強度。其他光產生器可以是汞蒸氣燈、摻雜汞蒸氣燈、電極燈、準分子燈、準分子雷射、脈衝氙燈、摻雜氙燈。例如準分子雷射之類的雷射可以發射單一波長的輻射。當將摻雜劑添加到汞蒸氣和氙燈中時,窄波長帶的輻射可能會變得更加強烈。常見的摻雜劑為鐵、鎳、鈷、錫、鋅、銦、鎵、鉈、銻、鉍或這些的組合。例如,摻銦的汞蒸氣燈在可見光譜和450 nm附近會發出強烈的光;鐵在360 nm;以及鎵是在320 nm。輻射波長也可以藉由改變燈的填充壓力來控制。例如,可以使高壓汞蒸氣燈在250至440 nm的波長,尤其是310至350 nm的波長更強烈地發出。低壓汞蒸氣燈以較短的波長發射。
除了改變光產生器的特性和使用濾波器之外,還可以使用優先傳遞燈光譜輸出之一或多個部分的反射器。常見的反射器為冷鏡,其允許紅外線輻射通過但會反射其他光。可以使用優先反射光譜帶的光的其他反射器。因此,晶圓可能會在不同站處曝光於不同波長的輻射。當然,有些站的輻射波長可能相同。
在圖5B中,基座523和525是靜止的。索引器511係在每個曝光週期之間將每個晶圓從一基座抬起並移動到另一基座。索引器511為附接到具有旋轉和軸向運動之運動機構531的索引器板521。施加向上的軸向運動到索引器板521以從每個基座拾取晶圓。旋轉運動則用於將晶圓從一站推進到另一站。之後,運動機構便對板施予向下軸向運動,以將晶圓放在站上。
基座523和525係經電加熱並保持在期望的處理溫度。如果需要,基座523和525也可以配備冷卻管線。每一基座可以有自己的加熱系統。在替代實施例中,可以使用大的加熱器區塊來支撐晶圓而不是個別的基座。使用例如氦氣之類的導熱氣體來實現基座和晶圓之間的良好熱耦合。在一些實施例中,可以使用具有同軸熱交換器的鑄造基座。這些在上面引用的申請案第11/184,101號中有所描述。
圖4A和4B僅顯示出一個合適的設備例子,也可使用設計用於與先前及/或後續處理相關之其他方法的其它設備。例如,在使用泛光燈的另一個實施例中,其晶圓支撐件為一轉盤。與固定式的基座晶圓支撐件不同,其晶圓並不會相對於轉盤移動。將晶圓裝載到轉盤上後,轉盤會在必要時旋轉,以將晶圓曝光在來自UV燈組的光線下。在曝光期間,轉盤是靜止的。曝光期結束後,轉盤乃旋轉以推進每個晶圓,以便曝光至下一組燈。加熱和冷卻元件可以嵌入在旋轉轉盤內。或者,轉盤可以與加熱板接觸、或支撐晶圓使其懸在加熱板上方。
在某些實施例中,基板係曝光至來自聚焦燈而非泛光燈的UV輻射。不同於泛光燈之實施例,其中晶圓在曝光期間是固定的(如在圖5A和5B),隨著晶圓掃描而曝光於聚焦燈期間,晶圓和光源之間是相對移動的。
可使用設計用於與先前及/或後續處理相關之其他方法的其它設備。例如,如果腔室配備有UV輻射源,則本發明的方法可以與用於沉積EUV PR層的標準PECVD腔室一起使用。一些超臨界流體腔室系統也可配置為包含UV輻射源。許多這些系統也可用於執行全面性的UV曝光程序。
在一些實施例中,控制器為系統的一部分,其可以是上述範例中的一部分。此種系統可以包含半導體處理設備,其包含一或多個處理工具、一或多個腔室、一或多個用於處理的平台及/或特定的處理部件(晶圓基座、氣流系統等)。這些系統可以與電子設備整合在一起,以控制在半導體晶圓或基板的處理前、中、後的操作。電子設備可以稱之為「控制器」,其可以控制一或多個系統的各個元件或子部件。取決於處理需求及/或系統的類型,控制器可以經程式化而控制此處揭露的任何處理,包含UV曝光、處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置和操作設定、晶圓傳送進出工具以及其他傳送工具及/或連接到特定系統或與特定系統相接的負載鎖。
廣義來說,控制器可以定義為具有各個積體電路、邏輯、記憶體及/或軟體的電子設備,其接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為專用積體電路(ASIC)的晶片及/或一或多個微處理器或執行程式指令之微控制器(例如軟體)。程式指令可以是以各個個別設定(或程式檔案)的形式傳遞給控制器的指令,其定義用於在半導體晶圓或系統上或針對半導體晶圓或系統執行特定處理的操作參數。在一些實施例中,操作參數可以是由製程工程師定義之配方的一部分,以在製造下列一或多個的期間完成一或多個處理步驟: 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路以及/或晶圓之晶粒。
在一些實施方式中,控制器可以是電腦的一部份或是耦合至電腦,而電腦則是整合至系統、耦合至系統或與系統聯網,或前述的組合。例如,控制器可以在「雲端」中或可以是晶圓廠電腦主機系統的全部或一部分,如此可以允許對晶圓處理的遠端存取。該電腦可以啟動對系統進行遠端存取,以監控製造操作的當前進度、檢查過去製造操作的歷史、檢查來自多個製造操作的趨勢或性能指標、改變當前製程的參數、設定製程步驟以接續當前製程、或開始新的製程。在一些例子中,遠端電腦(例如伺服器)可以藉由網路向系統提供製程配方,該網路可以包含區域網路或網際網路。遠端電腦可以包含使用者介面,而使得能夠對參數及/或設定進行輸入或程式化,然後將參數及/或設定從遠端電腦傳送到系統。在一些例子中,控制器係接收數據形式的指令,其為在一或多個操作期間要執行的每個製程步驟指定參數。吾人應理解,參數係針對於欲進行製程的類型以及控制器用以與之相接或控制的工具類型。因此如上所述,可以例如透過包含被聯網在一起並朝著共同目的(例如本文所描述的處理和控制)而工作的一或多個離散控制器來分佈控制器。用於此種目的之分佈式控制器的例子為腔室中的一或多個積體電路,其與遠端(例如在平台等級或作為遠端電腦的一部分)的一或多個積體電路進行通信,這些積體電路相結合以控制腔室中的處理。
系統範例可以包含電漿蝕刻室或模組、沉積室或模組、旋轉清洗室或模組、金屬電鍍室或模組、清潔室或模組、斜面邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、徑跡室或模組、以及可以與半導體晶圓製造及/或生產中相關聯或用於其中之任何其他半導體處理系統,而不受任何限制。
如上所述,取決於工具要執行的一或多個處理步驟,控制器可以與下列一或多個通信:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、相鄰工具、鄰近工具、遍布工廠各處的工具、主電腦、另一控制器或用於可將晶圓容器往返於半導體製造工廠的工具位置及/或裝載埠之材料運輸的工具。 結論
雖然前述實施例為了清楚理解的目的而詳盡說明,然而,在所附申請專利範圍的範圍內可實施某些改變與修改是顯而易見的。可以在沒有這些具體細節中的一些或全部的情況下實踐本文所揭露的實施例。在其他情況下,不詳細描述為人熟知之處理操作,以免不必要地模糊所揭露實施例。且雖然所揭露之實施例係與特定實施例結合描述,吾人應理解到該等特定實施例並非意欲限制本揭露之實施例。吾人應注意到有許多實現本實施例之製程、系統以及設備的替代方式。因此,該等實施例應視為說明性而非限制性的,且該等實施例並不限於此處所提出之細節。
110:線 120:線 200:方法 201:操作 203:操作 205:操作 207:操作 209:操作 211:操作 400a:薄膜 400b:薄膜 400c:薄膜 501:腔室 503、505、507、509:固化站 504:間隙 511:索引器 513:晶圓 515:晶圓 519:轉移銷 521:索引器板 523:基座 525:基座 531:運動機構 533:燈組 535:燈組 543:窗 545:窗 553:拋物面或平面冷鏡 555:拋物面或平面冷鏡
圖1顯示了負型光阻的溶解度曲線。
圖2呈現了一例示實施例之操作的流程圖。
圖3顯示一光阻的吸收光譜例示。
圖4展示了使用本文討論之技術生產的薄膜線的範例。
圖5A和5B為示意圖,說明用以執行根據揭露實施例之方法的處理室的例子。
110:線
120:線

Claims (27)

  1. 一種方法,其步驟包含: 在一處理室中之一基板上接收一含金屬光阻;以及 藉由將該含金屬光阻曝光至全面性UV (blanket UV)來進行處理,以修正該含金屬光阻之材料特性,俾使該含金屬光阻之輻射敏感度增加。
  2. 如請求項1之方法,其中該全面性UV之一波長為DUV。
  3. 如請求項1之方法,其中該全面性UV之一波長為小於300 nm。
  4. 如請求項3之方法,其中該全面性UV之一波長為約248 nm。
  5. 如請求項3之方法,其中該全面性UV之一波長為約193 nm。
  6. 如請求項1之方法,其中該全面性UV之一強度為介於1到100 mJ/cm2
  7. 如請求項1之方法,其中對該含金屬光阻之該處理係增加了該含金屬光阻對EUV輻射之敏感度。
  8. 如請求項1之方法,其中對該含金屬光阻之該處理係增加了該含金屬光阻對該基板的黏著性。
  9. 如請求項1之方法,其中對該含金屬光阻之該處理係降低了來自該含金屬光阻的排氣。
  10. 如請求項1之方法,其中對該含金屬光阻之該處理係在將該含金屬光阻曝光至EUV微影之前進行。
  11. 如請求項1之方法,其中該含金屬光阻之溶解度在不超過約2 mJ/cm2 的一EUV劑量範圍內突然改變。
  12. 如請求項1之方法,其中對該含金屬光阻之該處理進一步包含以控制溫度、壓力、環境氣體化學物質、氣流/比率以及濕度進行的一熱處理。
  13. 如請求項1到12中任一項之方法,其步驟進一步包含: 將該含金屬光阻曝光至圖案化EUV光,以及 使該含金屬光阻顯影,以移除部分之該含金屬光阻。
  14. 如請求項13之方法,其中該EUV光之一強度係介於約20至100 mJ/cm2 之間。
  15. 如請求項13之方法,其中使該含金屬光阻顯影的該步驟為一乾式處理。
  16. 如請求項13之方法,其中使該含金屬光阻顯影的該步驟為一濕式處理。
  17. 如請求項13之方法,其中使該含金屬光阻顯影的該步驟為一正型處理。
  18. 如請求項13之方法,其中使該含金屬光阻顯影的該步驟為一負型處理。
  19. 如請求項13之方法,其步驟更包含在使該含金屬光阻顯影之後,清洗該含金屬光阻以移除殘渣。
  20. 如請求項13之方法,其步驟更包含在使該含金屬光阻顯影之後,將該含金屬光阻曝光至額外的全面性UV,以改善該含金屬光阻的蝕刻選擇性。
  21. 如請求項20之方法,其中將該含金屬光阻曝光至額外的全面性UV的該步驟係在氧存在的情況下執行。
  22. 如請求項21之方法,其中該氧的分壓為至少10 Torr。
  23. 一種用於沉積薄膜的設備,其包含: 一含金屬光阻沉積模組,其包含一處理室以用於沉積一EUV-敏感之含金屬薄膜;以及 一控制器,其包含一或多個記憶體裝置以及一或多個處理器,該一或多個記憶體裝置係包含電腦可執行指令,以用於控制該一或多個處理器以進行: 在該處理室中之一基板上接收一含金屬光阻;以及 藉由將該含金屬光阻曝光至全面性UV來進行處理,以修正該含金屬光阻之材料特性,俾使該含金屬光阻之輻射敏感度增加。
  24. 如請求項23之用於沉積薄膜的設備,其中對該含金屬光阻之該處理係在將該含金屬光阻曝光至EUV微影之前進行。
  25. 如請求項23之用於沉積薄膜的設備,其中該含金屬光阻之溶解度係在不超過約2 mJ/cm2 的一EUV劑量範圍內突然改變。
  26. 如請求項23之用於沉積薄膜的設備,其步驟進一步包含: 將該含金屬光阻曝光至圖案化EUV光,以及 使該含金屬光阻顯影,以移除部分之該含金屬光阻。
  27. 如請求項26之用於沉積薄膜的設備,其步驟更包含在使該含金屬光阻顯影之後,將該含金屬光阻曝光至額外的全面性UV,以改善該含金屬光阻的蝕刻選擇性。
TW110111878A 2020-04-03 2021-03-31 用以增強euv微影效能的曝光前光阻固化 TW202205020A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063005075P 2020-04-03 2020-04-03
US63/005,075 2020-04-03

Publications (1)

Publication Number Publication Date
TW202205020A true TW202205020A (zh) 2022-02-01

Family

ID=77929923

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110111878A TW202205020A (zh) 2020-04-03 2021-03-31 用以增強euv微影效能的曝光前光阻固化

Country Status (5)

Country Link
US (1) US20230185196A1 (zh)
KR (1) KR20220164031A (zh)
CN (1) CN115362414A (zh)
TW (1) TW202205020A (zh)
WO (1) WO2021202681A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114911141A (zh) * 2022-07-11 2022-08-16 上海传芯半导体有限公司 Euv光刻方法及euv光刻设备
TWI828075B (zh) * 2022-03-09 2024-01-01 力晶積成電子製造股份有限公司 圖案化光阻層的檢查方法與微影製程的最佳化方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR20210149893A (ko) 2019-04-30 2021-12-09 램 리써치 코포레이션 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
TW202424665A (zh) 2019-06-26 2024-06-16 美商蘭姆研究公司 利用鹵化物化學品的光阻顯影
JP7189375B2 (ja) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
US20230146910A1 (en) * 2021-11-11 2023-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and compositions for improved patterning of photoresist
US20230152705A1 (en) * 2021-11-17 2023-05-18 Tokyo Electron Limited UV Treatment of EUV Resists
WO2023215136A1 (en) * 2022-05-04 2023-11-09 Lam Research Corporation Post-development treatment of metal-containing photoresist

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI575566B (zh) * 2014-02-24 2017-03-21 東京威力科創股份有限公司 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
JP6386546B2 (ja) * 2014-05-21 2018-09-05 国立大学法人大阪大学 レジストパターン形成方法およびレジスト材料
US11315798B2 (en) * 2016-04-08 2022-04-26 Intel Corporation Two-stage bake photoresist with releasable quencher
US10866516B2 (en) * 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
WO2019230462A1 (ja) * 2018-05-29 2019-12-05 東京エレクトロン株式会社 基板処理方法、基板処理装置、及びコンピュータ読み取り可能な記録媒体
JP6816083B2 (ja) * 2018-10-22 2021-01-20 キオクシア株式会社 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI828075B (zh) * 2022-03-09 2024-01-01 力晶積成電子製造股份有限公司 圖案化光阻層的檢查方法與微影製程的最佳化方法
CN114911141A (zh) * 2022-07-11 2022-08-16 上海传芯半导体有限公司 Euv光刻方法及euv光刻设备
CN114911141B (zh) * 2022-07-11 2022-09-23 上海传芯半导体有限公司 Euv光刻方法及euv光刻设备

Also Published As

Publication number Publication date
WO2021202681A1 (en) 2021-10-07
KR20220164031A (ko) 2022-12-12
US20230185196A1 (en) 2023-06-15
CN115362414A (zh) 2022-11-18

Similar Documents

Publication Publication Date Title
TW202205020A (zh) 用以增強euv微影效能的曝光前光阻固化
TWI837391B (zh) 利用鹵化物化學品的光阻顯影
JP7557569B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
JP7562696B2 (ja) フォトレジストのドライ除去用プロセスツール
KR20220031649A (ko) 금속-함유 레지스트의 리소그래피 성능을 향상시키기 위한 소성 (bake) 전략들
TW202407467A (zh) 含金屬光阻的重工
US20240329539A1 (en) Multi-step post-exposure treatment to improve dry development performance of metal-containing resist
JPH0757995A (ja) レジストパターン形成方法
WO2022266140A1 (en) Dry development apparatus and methods for volatilization of dry development byproducts in wafers
CN117518728A (zh) 使用极紫外光形成抗蚀图案的方法及使用该抗蚀图案作为掩模形成图案的方法
TW202429190A (zh) 在光敏有機金屬氧化物上形成防濕層的方法