JP2017507371A - 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術 - Google Patents

感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術 Download PDF

Info

Publication number
JP2017507371A
JP2017507371A JP2016570931A JP2016570931A JP2017507371A JP 2017507371 A JP2017507371 A JP 2017507371A JP 2016570931 A JP2016570931 A JP 2016570931A JP 2016570931 A JP2016570931 A JP 2016570931A JP 2017507371 A JP2017507371 A JP 2017507371A
Authority
JP
Japan
Prior art keywords
light
photoresist
wavelength
layer
photoresist layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016570931A
Other languages
English (en)
Other versions
JP6758575B2 (ja
Inventor
エー カーカシ,マイケル
エー カーカシ,マイケル
エス フーゲ,ジョシュア
エス フーゲ,ジョシュア
エム ラスサック,ベンジャミン
エム ラスサック,ベンジャミン
誠司 永原
誠司 永原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2017507371A publication Critical patent/JP2017507371A/ja
Application granted granted Critical
Publication of JP6758575B2 publication Critical patent/JP6758575B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本開示には、半導体基板上の感光性膜をパターン化するための感光性化学増幅レジスト化学物質(PS−CAR)のための方法が記載される。一実施形態においては、2段階露光プロセスによって、フォトレジスト層中により高い酸濃度の領域を形成することができる。PS−CAR化学物質は、光酸発生剤(PAG)と、PAGから酸への分解を促進する光増感剤要素とを含むことができる。第1の露光は、初期量の酸および光増感剤を生成するパターン化EUV露光であってよい。第2の露光は、光増感剤を励起し、それによって光増感剤が基板上に位置する箇所での酸発生速度を増加させる非EUVフラッド露光であってよい。露光中のエネルギー分布は、フォトレジスト層、下層、および/または上層の特定の特性(例えば、厚さ、屈折率、ドーピング)を用いることによって最適化することができる。

Description

関連出願の相互参照
本出願は、2014年2月24日に出願された“Methods and Techniques to use with Photosensitized Chemically Amplified Resist Chemicals and Processes”という名称の米国仮特許出願第61/944,041号明細書の利益を主張し、その全体が参照により本明細書に援用される。
フォトリソグラフィのパターン化の場合の限界寸法(CD)の制御は、半導体デバイスの製造にとって重要である。パターン化の一態様は、感光性材料上にパターンを形成することを含み、後の処理によって下層または基板に付与することができる。しかし、上に形成されるパターンの品質は、下層上のパターンの品質に大きい影響を与える。また、CDの規模がより小さい寸法になると、パターン化プロセスでは、より長い光波長ほど多くのフォトンを有し得ないより短い波長の光が採用されている。その結果、感光性材料中に生成される酸の量がより少なくなり得る。したがって、EUVフォトンによって生成される酸の量を増加させる任意の材料または技術が望ましいものであり得る。
感光性材料内の酸生成を改善する方法の1つは、露光(exposure)および後続のプロセス中に発生し得る化学反応を増幅させる化合物を含むことであってよい。この方法の一例は、感光性材料またはフォトレジスト中の化学反応または化学的濃度を増加させることができる感光性化学増幅レジスト(PS−CAR)の使用であってよい。フォトレジスト中の化学的濃度は、2段階露光プロセスを用いて制御することができる。第1の露光は、レジスト中の化学物質の反応によって光増感剤(PS)と、光酸発生剤(PAG)から生成される酸とを生成するパターニング露光であってよい。第1の露光プロセスは、PSによる吸光度が最大化され、PAGの吸光度が最大化されるように調整することができる。これは、一実施形態においては300nm未満の光波長を選択することによって実現できる。第2の露光は、PSを励起させることができ、それによってさらにPAGが分解して、露光パターン領域中の酸を増加させながら、第1の露光の暗い領域、すなわち未露光領域中の酸濃度を最低限にすることができる。一実施形態において、2段階露光プロセスは、2つの異なる波長(例えば、極紫外(EUV)、紫外光)を使用することができる。パターニング露光はEUVスペクトル波長を使用することができ、フラッド露光はUVスペクトル波長を使用することができる。
PS−CARの使用に関する問題の1つは、レジストに露光できる(exposed)露光ステップに関連する反射率および吸収の制御であり得る。一実施形態において、反射率および吸収の制御は、フラッド露光中の反射が最小限であるか、または全くない典型的なフォトレジストの下の膜層(例えば、下層(underlayer, UL))を調節することによって対処できる。これは、フラッド波長に対して高い吸収性を有する材料を使用することで実現できる。例えば、マイクロブリッジングおよび/またはスカミングを防止する手段として、またはレジストが現像されるときにある程度調整されたレジストプロファイル制御を有するための手段として、レジスト層中(例えば、レジスト/UL界面付近(near))に優先的に位置する入射光および反射フラッド光の干渉からの最高強度を調整するために、レジスト層とULとの間の屈折率差を使用することができる。
別の一実施形態において、PAGの分解を最適化するためにレジスト上に1つ以上のトップコート層を堆積することができる。トップコート層は、ガス放出および帯域外(OOB)放射線の制御のために設計することができる。例えば、EUV/UV露光プロセスにおいて、EUV OOB放射線(約248nm)による意図しないPAGの分解は防止されるが、UVフラッド目標露光波長によってPSを励起できることが望ましい場合がある。特定の一実施形態においては、第1の露光の前にフォトレジスト上に第1のトップコートを堆積することができる。第1のトップコートは基板から除去することができ、フラッド露光の前にフォトレジスト層上に第2のトップコート層を堆積することができる。別の一実施形態においては、トップコート層は、第1の露光の前に塗布することができ、かつ第2の露光の前に除去することができる。第2の露光の前に第2のトップコート層を塗布する必要はない。
PS−CARの概念の第2の問題は、PS−CARの概念が低EUV線量露光のその極値(extremes)まで進められる場合の、EUVショットノイズ効果に関連し得る。EUV露光線量がその最小限度になると、EUVショットノイズとして知られるフォトン/二次電子ショットの統計問題が存在し得る。PAGから変換した任意の酸のすぐ近傍で光増感剤が形成されるため、光増感剤によって問題が大きく繰り返され、したがって光増感剤濃度のショットノイズ効果が生じる。UVフラッド励起の前またはその場での光増感剤濃度ショットノイズが平滑化されないと、光増感剤濃度ショットノイズによって、フィーチャの種類により最適化されないライン幅ラフネス(LWR)、LER、およびCWRが生じる可能性がある。サブ10nmのオーダーで光増感剤の拡散を誘発するためにUVフラッドステップの前にベークステップを採用することで、光増感剤濃度ショットノイズを平滑化し、より良好なLWR、LER、およびまたはCERを得ることができる。
本明細書に含まれ、本明細書の一部を構成する添付の図面は、本発明の実施形態を例示するものであり、前述の本発明の概要、および後述の詳細な説明とともに、本発明を説明する機能を果たす。さらに、参照番号の一番左の数字は、その参照番号が最初に現れる図を示している。
FIG.A1は、光波長の第1の範囲に露光した後のフォトレジスト層の化学的濃度(concentration)プロファイルと、光の第1の波長のパターニング露光を受ける基板上の膜スタックの断面図とを示し、FIG.1Bは、光波長の第2の範囲に露光した後のFIG.1A中のフォトレジスト層の化学的濃度(concentration)プロファイルと、光波長の第2の範囲のフラッド露光を受けるその基板上の膜スタックの断面図とを示す。 膜スタックの2段階露光プロセスのための方法のフローチャートと、その方法の一実施形態の付随する断面図とを含む。 膜スタックの2段階露光プロセスのための方法のフローチャートと、その方法の一実施形態の付随する断面図とを示す。FIG.3Bのブロック310に続く。 FIG.3Aのブロック3Aからの続きであって、膜スタックの2段階露光プロセスのための方法のフローチャートと、その方法の一実施形態の付随する断面図とを示す。
図面に示される実施形態を参照しながら本発明を説明するが、実施形態の多くの別の形態で本発明を具体化できることを理解されたい。さらに、要素または材料のいずれの好適なサイズ、形状、または種類を使用することができる。
半導体の製造において、基板上へのフィルムの堆積、パターン化、およびエッチングによって電子デバイスを製造するためにフォトレジストまたは感光性材料が使用されている。デバイスの性能および歩留まりを改善するため、電子デバイスの寸法を縮小し、および画像品質(例えば、解像度、ライン幅ラフネス、および感度)を維持する。極紫外(EUV)フォトリソグラフィは、既存のフォトレジスト化学に影響を与え得る固有の制限を有する寸法を縮小するための方法の1つである。例えば、EUVフォトレジストでは、EUV光源のより低い出力を補償しながら、レジストの感度および画像解像度を維持する必要が生じ得る。このより低い出力の制限に対処する方法の1つは、Seiichi Tagawaらによる“Super High Sensitivity Enhancement by Photo−Sensitized Chemically Amplified Resist(PS−CAR) Process”という名称の論文、Journal of Photopolymer Science and Technology,vol.26(2013)No.6,pg.825−830に記載されるような感光性化学増幅レジスト(PS−CAR)の使用を含むことができる。PS−CARの使用によってEUV処理が可能になる可能性が生じているが、さらなる技術を使用して、フォトレジストの吸着および反射率の制御を改善して、EUV処理の画像品質を改善することができる。
PS−CAR化学は、フォトレジストがコーティングされた基板に露光される光の種類に少なくとも部分的に基づいて異なる濃度の酸および/または光増感剤(PS)を生成することができる2段階露光プロセスによって可能となり得る。酸は、光または光の吸収によって発生する二次電子がフォトレジスト中のPAGと相互作用するときに生成され得、PSは、フォトレジスト中に混入されるPS発生剤と光とが相互作用するときに生成され得る。
PS−CARフォトレジストは、光増感剤発生化合物および光酸発生(PAG)化合物を含むことができる。光増感剤分子は、光エネルギーを吸収して、その光エネルギーを別の分子(例えば、PAG)に伝達することができる。あるPS化合物は基底状態でエネルギーを伝達することができ、別のものは励起状態で伝達を行うことができる。PS発生化合物としては、限定するものではないが、アセトフェノン、トリフェニレン、ベンゾフェノン、フルオレノン(flourenone)、アントラキノン、フェナントレン,またはそれらの誘導体を挙げることができる。光酸発生剤(PAG)は、吸収した光エネルギーを化学エネルギー(例えば、酸性反応)に変換可能なカチオン光開始剤であってよい。酸発生化合物としては、限定するものではないが、以下のものの少なくとも1つを挙げることができる:トリフェニルスルホニウムトリフレート、トリフェニルスルホニウムノナフレート、パーフルオロオクチルスルホン酸トリフェニルスルホニウム、トリアリールスルホニウムトリフレート、トリアリールスルホニウムノナフレート、パーフルオロオクチルスルホン酸トリアリールスルホニウム、トリフェニルスルホニウム塩、トリアリールスルホニウム塩、ヘキサフルオロアンチモン酸トリアリールスルホニウム塩、N−ヒドロキシナフタルイミドトリフレート、1,1−ビス[p−クロロフェニル]−2,2,2−トリクロロエタン(DDT)、1,1−ビス[p−メトキシフェニル]−2,2,2−トリクロロエタン、1,2,5,6,9,10−ヘキサブロモシクロドデカン、1,10−ジブロモデカン、1,1−ビス[p−クロロフェニル]2,2−ジクロロエタン、4,4−ジクロロ−2−(トリクロロメチル)ベンズヒドロール、1,1−ビス(クロロフェニル)2−2,2−トリクロロエタノール、ヘキサクロロジメチルスルホン、2−クロロ−6−(トリクロロメチル)ピリジン、またはそれらの誘導体。
図1Aおよび1Bを参照すると、図1Aは、第1の露光後の酸106およびPS108の濃度のグラフ100と、基板104上の膜スタックの断面図102とを含む。図1Bは、第2の露光後の酸120およびPS122の濃度のグラフ118と、基板104上の膜スタックの断面図126とを含む。一実施形態において、第1の露光は、300nm未満であってよい大部分の光114の波長を有することができ、第2の露光の一部は、300nmを超えてよい大部分の光の波長を有すことができる。
図1A中のグラフ100は、第1の露光の結果を示しており、PS−CARは、第1の露光中に比較的より低い濃度の酸106およびPS108を生成するように構成することができる。第1の露光光波長の光(first exposure light wavelengths light)は、初期量の酸106および初期量のPS108を生成する比較的より低いエネルギーを有することができる。グラフ100は、説明の目的で使用され、2段階プロセス中の濃度差を示すことを意図しており、第1または第2の露光中の濃度の規模および範囲の限定を意図したものではない。PAGは第1の露光中に完全に分解したり完全に酸に変換されたりしない場合があり、第2の露光によって酸濃度が増加し得ることを強調することを意図している。
一実施形態において、膜スタックは、基板104上に形成または堆積された下層112上に堆積されたフォトレジスト層110を含むことができる。フォトレジスト層110は、PS−CAR化学を含むことができるが、それに限定されるものではなく、光の反射率または吸光度を制御するために使用できるさらなる要素を有することができる。第1の露光中、第1の波長の光114がパターンマスク116を通して露光されるときに、初期量の酸106および初期量のPS108を生成することができる。PS−CAR化学反応は、光114がフォトレジスト層110の露光領域と相互作用するときに開始する。光114がフォトレジスト層110と相互作用しない未露光領域では、PS−CAR化学反応が起こらない場合があり、または露光領域と比較して最小限または無視できる量で起こる場合がある。断面図102に示されるように、酸/PSの濃度は、フォトレジスト層110の露光領域でより高くなり得る。この特定の実施形態において、酸/PSの濃度は、フォトレジスト層110と下層112との界面でより高くなり得る。しかし、別の実施形態では、酸/PSの濃度がフォトレジスト層110と下層112との界面でより高くなる必要がない場合がある。ピーク酸/PS濃度の位置は、膜スタックおよび露光の特性により変動することがある。
図1B中、第2のグラフ118は、フォトレジスト層110の第2の露光後に酸120濃度および/またはPS濃度122がより高いレベルにあることを示している。第2の露光は、第2の範囲の光124の波長のフラッド露光またはブランケット露光であってよい。第2の露光は、フォトレジスト層110にわたって行うことができ、それによってフォトレジスト層110にわたってPAGが分解して酸になり得る。しかし、PS122は、酸濃度またはPAGの分解速度を増加させる。PS122は、第1の露光中に第1の波長114に露光したフォトレジスト層110の部分に位置することができる。したがって、第2の露光の断面図126に示されるように、酸濃度120は、第1の露光の未露光領域よりもそれらの位置で高くなり得る。
Seiichi Tagawaらによる“Super High Sensitivity Enhancement by Photo−Sensitized Chemically Amplified Resist Process”,Journal of Photopolymer Science and Technology,Vol.26,Number 6(2013),pgs.825−830に記載の技術に加えて、フォトレジスト層110中の酸濃度122の量または酸濃度の位置を制御するためにフォトレジスト層110および/または下層11の種々の性質を使用して、酸の濃度122および位置を最適化することができる。これらの技術によって、露光ステップ中にPAG/PSに伝達可能なエネルギー量を増加させて、現像後のフォトレジスト110のプロファイルまたは形状を調整することができる。
図2は、膜スタック(例えば、フォトレジスト層110、下層112)の2段階露光プロセスの方法のためのフローチャート202と、その方法の一実施形態の付随する断面図200とを含む。2段階露光プロセスは、フォトレジスト層110中の要素からの異なる応答を可能にするために使用することができる。例えば、PS−CAR化学は、フォトレジスト層110の光感度特性を変化させることができる酸を生成するために使用できるPAGを含むことができる。この方法は、フォトレジスト層110の堆積、パターン化、露光、および/または現像が可能な1つ以上のプロセスチャンバーまたはツールによって行うことができる。
ブロック204において、基板104は、基板104の表面上にフォトレジストを供給できるコーティングツールによって受け取られることができる。基板104は、フォトレジスト層110を用いてパターン化可能な1つ以上の下層112を含むことができる。基板104の表面から光がどのように反射し得るかを制御するために、下層112の厚さおよび組成を最適化することができる。一実施形態において、下層112の種類は、下層112の屈折率および厚さに基づいて選択することができる。フォトレジスト層110の特性(例えば、屈折率、厚さなど)を用いて、これらのフィーチャ(features)を最適化して、2段階露光プロセス中にエネルギーがどのようにフォトレジスト層110に伝達し得るかを制御することができる。
ブロック206において、下層112上にフォトレジスト層110を堆積または供給することができる。フォトレジスト層110は、選択位置および異なる濃度で酸を生成するためにフォトレジスト層110中で化学反応を制御された順序で可能にし得る2つ以上の光波長活性化閾値を含むことができる。フォトレジスト層110は、光114の波長および/または線量によって異なる濃度または量の酸を生成できるPS−CAR化学を含むことができる。例えば、第1の範囲内の波長の光114をフォトレジスト層110に露光するとPS−CAR化学によってフォトレジスト層110中に第1の量の酸106が生成され得る。しかし、PS−CAR化学は、部分的に分解することがあり、PS−CAR化学が波長の第2の範囲内の光に露光すると、依然としてさらに酸を生成することができる。
一実施形態において、光114/124波長の第1および第2の範囲は、互いに排他的であってよく、波長の重なり合いを全く含まなくてよい。例えば、第1の範囲は200nm未満のいずれであってよく、第2の範囲は200nm以上であってよい。他の実施形態においては、第1の範囲が100nm未満となることができ、且つ、第2の範囲が5nmより大きいいずれのものであり得るように、波長が重なり合ってよい。特定の一実施形態において、光114波長の第1の範囲は、30nm未満(例えば、13.5nm)であってよく、光114波長の第2の範囲は200nmを超えてよい。別の特定の一実施形態においては、光114波長の第1の範囲は193nm以下であってよく、光124波長の第2の範囲は200nmを超えてよい。別の特定の一実施形態においては、波長の第1の範囲は248nm以下であり、波長の第2の範囲は300nmを超える。フォトレジスト層110は、乾式リソグラフィまたは液浸リソグラフィ処理またはKrFレーザーリソグラフィ処理またはEUVリソグラフィ処理に使用することができる。
発色団に加えて、反射率は、フォトレジスト層110および/または下層112の屈折率および/または厚さの影響を受ける場合がある。屈折率は、媒体中に伝播するときに光124がどの程度曲がるか、すなわち屈折するかの定量的な表現になり得る。屈折率は、真空中の光速と媒体中の光速との間の比となることができる。光124の方向を変えることによって、より多くのエネルギーをフォトレジスト層110および/または下層112中に伝達することが可能となり得る。例えば、光は、膜スタックのより長い部分に沿って反射させることができ、または下層112および/または基板104から反射させてフォトレジスト層110中に戻す(reflected back)ことができる。入射光124と反射光(図示せず)との間の干渉のために、入射光および反射光によってより多くのエネルギーを膜スタック中に発生させることができる。膜スタック成分(例えば、フォトレジスト層110、下層112、および/または基板104)の屈折率および/または厚さを最適化することによって、膜スタック中の所望の位置で発生するように干渉位置を最適化することができる。一般的に(broadly)、フォトレジスト層110の屈折率は0.95〜1.75で変動させることができ、厚さは30nm〜250nmで変動させることができる。例えば、300nmを超える光波長を対象とする(target)フォトレジスト層110の屈折率は、1.3〜1.75の屈折率を有することができ、80nm〜250nm、特に100nm〜120nmの厚さを有することができる。約193nmの光波長を対象とするフォトレジスト層110は、1.65〜1.75の範囲、および80nm〜250nm、特に100nm〜120nmの範囲の厚さであってよい。15nm未満の波長を対象とするEUVフォトレジスト層110は、0.95〜1.05の屈折率および30nm〜60nmの厚さを有することができる。
一実施形態において、フォトレジスト層110と下層112との間の界面における入射光124と反射光(図示せず)との間の光の干渉をより大きくするために、膜スタックの性質を最適化することができる。より大きい光干渉が、膜スタックの水平面内で生じるように調整することができる。一実施形態において、干渉平面(plane)は、フォトレジスト層110と下層112との間の界面またはその近傍に存在することができる。しかし、干渉平面は、界面に限定されない場合があり、本明細書に記載の技術または当業者によって理解されている技術を用いて調節することができる。干渉平面に沿って分布するより高いエネルギーによって、フォトレジスト層110の別の領域よりも高い濃度で、酸106を面に沿って生成することができる。界面におけるより高い濃度の酸106は、下層112の表面上の有機物質の除去もしくは分解、または現像されるときのフォトレジスト層110のプロファイル角度もしくは形状の調整に使用することができる。
別の一実施形態においては、干渉平面は、フォトレジスト層110と下層112との間の界面と反対側のフォトレジスト層110の表面に位置することができる。より強い光の干渉によって、フォトレジスト層110にわたってより高い酸濃度を得ることができる。より高い酸濃度によって、現像したフォトレジスト層110のプロファイル角度もしくは形状を変化させることができる。例えば、現像されたフォトレジスト層110中の開口部は、フォトレジスト層110と下層112との間の界面よりも開口部の上部でより広くすることができる。酸106の位置および濃度を制御することによって、フォトレジスト層110中の開口部のプロファイル角度または形状の調節が可能になる。これらの最適化された開口部の形状を使用することで、ライン/スペースのパターン化におけるマイクロブリッジングおよび/またはコンタクトホールのパターン化のスカミングを最小限にすることができる。
ブロック208において、2段階プロセスは、パターンマスク116を通して基板104上の膜スタック上に第1の光114波長を露光するステップを含むことができる。一実施形態において、フォトレジスト層110は、300nm未満の波長の光に露光すると少ない量の酸106を生成できるPS−CAR化学(chemistry)を含むことができる。酸の生成は、フォトレジスト層110の露光部分の近傍で生じ得る。パターンマスク116からの光114によって、フォトレジスト層110の露光部分よりも低い酸濃度を有することができるフォトレジスト層110の未露光部分が残り得る。
他の実施形態において、フォトレジスト層110の露光部分以内の酸濃度量を調節するために、光124の線量および波長を変化させることができる。例えば、EUVの一実施形態において、光114の波長は15nm未満または約13.5nmであってよい。この場合、露光プロセスは、光114をEUVスペクトルに限定することができ、そのため帯域外の放射線(例えば、UV光、>約30nm)はフォトレジスト層110に露光することができない。しかし、他の実施形態においては、光114は、EUVの実施形態よりも広い範囲の波長を含むことができる。例えば、光114は、EUVおよびUVスペクトル内の波長を含むことができるが、EUVまたはUVスペクトル全体の波長は含まなくてよい。
特定の一実施形態において、光114は、EUVスペクトルと、最大200nmのUVスペクトルの一部とを含むことができるが、200nmを超える波長の光114は含むことができない。例えば、露光プロセスは、193nm以下の波長の光114を含むことができる。しかし、別の一実施形態においては、露光プロセスは、248nm以下の波長の光114を含むことができ、13.5nmおよび193nmの波長を含むことができる。
別の特定の一実施形態においては、光114はUVスペクトルを含むことができ、EUVスペクトルは排除することができる。したがって、露光プロセスは193nmプロセスまたは248nmプロセスを含むことができるが、30nm未満の波長の光114は含まなくてよい。
フォトレジスト層110の露光領域中に生成され得る酸106およびPS108の初期量は、酸106およびPS108をさらなるエネルギー(例えば、光124)に曝露すること(exposing)で、より多い量に増加させて、酸の量を増加させ、および/またはフォトレジスト層110中に酸を拡散させることができる。
ブロック210において、フォトレジスト層110は、第1の露光の波長と異なっていてよい光124の波長の第2の範囲に露光することができる。さらに、第2の露光は、フォトレジスト層110の全体を光124に露光するブランケット露光またはフラッド露光であってよい。しかし、ブランケット露光は必要でない場合があり、代替としてパターニング露光を行うことができる。一実施形態において、第1の露光が300nm未満の波長を有する場合、第2の露光は300nmより大きい波長の光を含むことができる。より具体的には、第1の露光がEUVスペクトル中の波長を有した場合。特定の一実施形態において、第1の露光が約248nm、193nm、または13.5nm以下の波長を有する場合、第2の露光波長は約365nmであってよい。特定の一実施形態において、光124の波長は約365nmであってよい。
別の一実施形態において、反射率に影響を与えるために、下層112の組成を変更することができる。例えば、光124を吸収することによって、または特定の光124の光波長を選択的に吸収し別の光124の波長を反射することによって、反射率を制限することができる発色団(chromophres)(図示せず)を下層112にドープすることができる。このようにして、反射してフォトレジスト層110に戻ることができる量および光波長を最適化するために特定の波長の光を吸収するように、発色団を選択することができる。典型的には、発色団は、一般に、炭素元素と、H、O、N、Bl、Br、S、またはIの少なくとも1つの元素以上とを含む有機化合物である。発色団化合物の最大吸光度波長は250nm〜400nmで変動し得る。下層112が反射することができる、またはフォトレジスト層110の上層(図示せず)が吸収することができる望ましくない光124の波長が除去されるように、1つ以上の発色団を選択することができる。発色団化合物としては、CH−CH、CH、CHOH、またはCH−SHを挙げることができるが、これらに限定されるものではない。種類および濃度に加えて、下層112中の発色団の位置も反射率に影響を与える場合がある。発色団の最高濃度が下層112中のいずれの箇所に存在するかに少なくとも部分的に基づいて、反射率は影響を受け得る。最高濃度は、フォトレジスト層110または下層112中の任意の箇所に位置することができる。一般に、高濃度領域と低濃度領域との間の濃度差は、体積、質量、またはモルを基準として少なくとも5%を超えることができる。
一実施形態において、発色団は、フォトレジスト層110と下層112との界面において、下層112またはフォトレジスト層110の残りの部分よりも高い濃度となる。別の一実施形態においては、発色団は、フォトレジスト層110と下層112との界面と反対側のフォトレジスト層110の表面の近傍でより高い濃度となる。フォトレジスト層110は、下層112よりも高い濃度の発色団を有することができる。この場合、フォトレジスト層110の表面または上部は、この膜スタックの実施形態において最高発色団濃度を有することができる。光124は、フォトレジスト層110の上面が反射または吸収することができ、それによって酸106またはPS108の生成に使用できるエネルギー(例えば、光124)の量を最小限にすることができる。
ブロック212において、化学処理を用いてフォトレジスト層110を現像することで、2段階露光プロセスによって可溶性となったフォトレジスト層110の部分214を除去することができる。フォトレジスト層110はポジ型レジストまたはネガ型レジストを含むことができる。ポジ型レジストの露光部分は、光に露光すると可溶性となることができ、一方、ネガ型レジストの露光部分は不溶性となる。2段階露光プロセスは、限定するものではないがあらゆる種類のレジストを使用することができる。
2段階プロセスの別の一実施形態においては、第1の露光(例えば、EUV)の後で、第2の露光(例えば、UVフラッド)の前、または第2の露光自体の最中のいずれかにベークステップを含むことができる。EUV露光線量はその最小限度に近づくため、EUVショットノイズとして知られるフォトン/二次電子のショット統計問題が存在し得る。PS122は、変換されたいずれかのPAGの近傍で形成されるため、PS122によってこの問題が大きく繰り返される。PS108濃度ショットノイズ効果によって、UVフラッド励起、PS108濃度ショットノイズの前またはその場でのPS108濃度ショットノイズ効果の平滑化が行われないフィーチャに依存して、最適化されないライン幅ラフネス(LWR)、LER、およびCERが生じる可能性がある。この問題は、UVフラッドステップの前または最中にベークステップを組み込むことで、およそ10nm未満で(on the order sub 10nm)PS108の拡散を誘導して、PS108濃度ショットノイズを平滑化し、フィーチャの種類によってより良好なLWR、LER、およびCERを得ることによって克服可能である。理想的には、PS108の拡散の活性化エネルギーは、酸拡散および増幅の活性化エネルギーよりもはるかに低くなるように設計可能であり、そのためプレフラッド(pre−flood)またはインサイチューフラッド(in−situ flood)ベークによってPS108の拡散のみを効率的に引き起こすことが可能となる。このようにならない場合は、あるレベルのフラッドベークおよび従来の露光後ベーク(PS122によってさらなるPAGが酸に変換された後)の共最適化が必要となり得る。
一実施形態において、ベークステップは、第1の露光の後に120秒以下にわたり基板を30℃〜60℃に加熱するステップを含むことができる。あるいは、加熱は、120秒以下にわたり30℃〜90℃で行うこともできる。ある場合では、LWRを改善するために第2の露光後にベークステップを行うことができる。第2の加熱プロセスは120秒以下にわたり80℃〜130℃であってよい。
2段階プロセスの別の実施形態は、膜スタック中で光124がどのように反射し得るかを変化させるさらなる技術を含むことができる。これは、図2に示される膜スタックに上層を加えるステップを含むことができる。
図3A〜3Bは、膜スタックの2段階露光プロセスのための方法のフローチャート300と、その方法の一実施形態の付随する断面図302とを含む。フォトレジスト層110および下層112が異なることに加えて、膜スタックの構成要素を加えるおよび/または除去することによって、膜スタック中の光の反射率および吸収も異なる場合がある。光114の量および/または干渉平面の位置を最適化することで、第1の露光中に生成される酸106もしくはPS108の量、または第2の露光中の酸120もしくはPS122の量に影響を与えることができる。膜スタックの組成は、第1の露光の前および/または後で変更することができる。
ブロック304において、下層112と下層112上のフォトレジスト層110とを含む基板を受け取るステップである。フォトレジスト層100は、選択位置および異なる濃度で酸を生成するためにフォトレジスト層110中で化学反応を制御された順序で可能にし得る2つ以上の光波長活性化閾値を含むことができる。フォトレジスト層110は、光114の波長および/または線量によって異なる濃度または量の酸を生成できるPS−CAR化学を含むことができる。例えば、波長の第1の範囲内の光114をフォトレジスト層110に露光すると、PS−CAR化学によってフォトレジスト層110中に第1の量の酸106が生成され得る。しかし、PS−CAR化学は、部分的に分解することがあり、PS−CAR化学が波長の第2の範囲内の光に露光すると、依然としてさらに酸を生成することができる。フォトレジスト層110および下層112は、図2の説明に記載されるように反射率または吸光度を最適化するための技術または方法を使用して構成することもできる。膜スタックの反射率および吸光度特性は、露光前および/または後に追加の層を加えるまたは除去することで最適化することができる。例えば、帯域外波長または望ましくない波長を除去するために、フォトレジスト層110上に堆積されたトップコートを使用することができる。
ブロック306において、フォトレジスト層100に露光する波長および/またはエネルギーの種類を制御するために、フォトレジスト層110上に第1のトップコート層314を堆積することができる。この堆積は、溶液または液滴をフォトレジスト層110の表面上にスプレーまたは供給することによって行うことができる。第1のトップコート層314は、特定の光114波長を反射または吸収して第1の露光中の酸106およびPS108の濃度を制御するために使用することができる。波長の制限をEUVおよびUVスペクトルに沿った部分に対して行うことで、スペクトルの重なり合いを防止するか、もしくは組み合わせることができ、または各スペクトルの一部に露光を制限することができる。例えば、第1のトップコート層314は、第1の露光中に30nmを超えるあらゆる波長を除去するために使用することができる。しかし、別の実施形態においては、1つ以上の範囲の光114の波長をフォトレジスト層110から除去または反射させることができる。
ブロック308において、パターン化マスク116を通して光114波長の第1の範囲をフォトレジスト層110およびトップコート層314に露光することができる。図1A〜1Bおよび2の説明に記載したように、露光領域は、未露光領域よりも高い濃度の酸106およびPS108を有することができる。しかし、光114波長の一部は、フォトレジスト層110に到達する前に第1のトップコート層314によって反射または吸収されていることがある。日本、東京のNissan Chemical Industriesは、トップコート層314をフォトレジスト層110に塗布するために使用できるEUVトップコート化学物質(例えば、帯域外保護層(OBPL)シリーズ)を製造している。EUVトップコートは、非EUVスペクトル(例えば、DUVスペクトル)波長に対する高い吸収性、およびEUVスペクトル(例えば、13.5nm)に対する高い透過率を有することができる。第1のトップコート層314は、ガス放出またはフォトレジスト層110との混合を防止することもできる。
2段階露光プロセスでは、フォトレジスト層110中で第2の化学反応を発生させるために異なる光124の波長が必要となり得る。しかし、第1のトップコート層が存在することで、非EUV波長を反射または吸収することができる。
ブロック310において、基板104を処理して第1のトップコート層314を除去して、フォトレジスト層110を露出させることができる。当業者であれば、化学浴処理またはプラズマ処理などの湿式または乾式化学技術を用いて第1のトップコート層314を除去することができる。この除去プロセスは、第2の露光前にフォトレジスト層110中での酸の生成を制限するように計画することができる。例えば、プラズマ処理は、PAGの分解またはPS108の励起を引き起こし得るエネルギーが最小限となるように、またはそのようなエネルギーを発生させないように計画することができる。
ブロック312において、PAGを分解し、および/またはPS122を励起してPAGを分解して、より高い濃度の酸120をフォトレジスト層110中に生成できる。第2の範囲の光126の波長に基板104を露光することができる。第2の露光は、フォトレジスト層104の全体にわたって光124を分散させるブランケット露光であってよい。ブランケット露光の結果、PS122を含むフォトレジスト層110の部分は、PS122を含まないか、または少なくともPS122の濃度がより低いフォトレジスト層110の部分よりも高い酸120生成速度または濃度を有する。
他の実施形態において、第2の露光は、フォトレジスト層110中に露光領域および未露光領域を形成するためのマスクを使用できるパターニング露光であってよい。このマスクは、第1の露光中に使用したものと同じマスク116であってもよく、または同じでなくてもよい。これによって、フォトレジスト層110は、種々の量の酸を有する3つ以上の異なる領域を含むことができる。したがって、現像したフォトレジスト層110は、少なくとも2つの異なるプロファイル角度または形状を有する開口部を有することができる。
別の一実施形態では、第1のトップコート層を除去した後にフォトレジスト上に第2のトップコート層(図示せず)を堆積するステップであって、第2のトップコート層が、300nm未満の波長の光がフォトレジストに到達するのを防止する、ステップである。以上の説明は単に本発明の例であることを理解すべきである。本発明から逸脱することなく当業者が種々の代替形態および修正形態を考案することができる。したがって、本発明は、添付の特許請求の範囲内のそのようなすべての代替形態、修正形態、および変形形態を含むことが意図される。
204 下層を含む基板を受け取るステップ
206 前記下層上にフォトレジスト層を堆積するステップであって、前記フォトレジスト層が、第1の光波長活性化閾値と第2の光波長活性化閾値とを含む、ステップ
208 パターン化マスクを通して第1の光波長を前記基板上に露光するステップ
210 第2の光波長を前記基板に露光するステップ
212 前記基板上の前記フォトレジスト層を現像するステップ
304 下層とフォトレジスト層とを含む基板を受け取るステップであって、前記フォトレジスト層が第1の光波長活性化閾値と第2の光波長活性化閾値とを含む、ステップ
306 前記フォトレジスト上に第1のトップコート層を堆積するステップ
308 パターン化マスクを通して第1の光波長範囲を前記基板上に露光するステップ(FIG.3Bのブロック310に続く)
310 (FIG.3Aのブロック308からの続き)前記第1のトップコート層を除去するステップ
312 第2の光波長を前記基板上に露光するステップ

Claims (20)

  1. 基板を処理する方法であって:
    前記基板上に下層を含む前記基板を受け取るステップであって、前記下層が下層屈折率および下層厚さを含む、ステップと;
    前記下層上にフォトレジスト層を堆積するステップであって、前記フォトレジスト層が:
    前記フォトレジスト層中の酸の生成を第1の酸濃度に制御する第1の光波長活性化閾値と;
    前記第1の酸濃度を第2の酸濃度まで増加させる第2の光波長活性化閾値であって、第2の光波長が第1の光波長と異なる、第2の光波長活性化閾値と;
    を含む、ステップと;
    パターン化マスクを通して光の第1の波長を前記基板上に露光するステップと;
    光の第2の波長を前記基板に露光するステップであって、前記光の第2の波長が前記光の第1の光波長と異なる波長を含む、ステップと;
    を含む、方法。
  2. 前記下層が、光が前記下層の表面に反射するのを制限する発色団を含む、請求項1に記載の方法。
  3. 前記発色団が、炭素元素と、以下の元素: C、H、O、N、Bl、Br、S、またはIの少なくとも1種類以上とを含む、請求項2に記載の方法。
  4. 前記フォトレジスト層が:
    フォトレジスト屈折率と;
    フォトレジスト厚さと;
    前記下層と前記フォトレジスト層との界面に隣接した干渉平面であって、光が前記下層から反射される場合に、前記フォトレジスト層の他の部分よりも比較的強い光強度の干渉を受けるように配置される干渉平面と;
    を含む、請求項1に記載の方法。
  5. 前記フォトレジスト屈折率が0.9〜1.7を含む、請求項4に記載の方法。
  6. 前記発色団が、前記フォトレジスト層と下層界面との界面において、前記フォトレジスト層の残りの部分よりも高い濃度を含む、請求項2に記載の方法。
  7. 前記フォトレジスト層が:
    フォトレジスト屈折率と;
    フォトレジスト厚さと;
    フォトレジストと前記下層との界面と反対側の前記フォトレジストの表面における前記フォトレジスト層の干渉表面であって、光が前記下層から反射される場合に、前記フォトレジスト層の他の部分よりも比較的強い光強度の干渉を受けるように配置される干渉表面と;
    を含む、請求項1に記載の方法。
  8. 前記フォトレジスト厚さが30nm〜60nmの値を含む、請求項7に記載の方法。
  9. 前記発色団が、フォトレジスト層と前記下層との界面と反対側の前記フォトレジスト層の表面の近傍でより高い濃度を含む、請求項2に記載の方法。
  10. フォトレジストが:
    アセトフェノン;
    トリフェニレン;
    ベンゾフェノン;
    フルオレノン(flourenone);
    アントラキノン;
    フェナントレン;または
    それらの誘導体;
    を含む、光増感剤発生化合物と;
    トリフェニルスルホニウムトリフレート、トリフェニルスルホニウムノナフレート、パーフルオロオクチルスルホン酸トリフェニルスルホニウム、トリアリールスルホニウムトリフレート、トリアリールスルホニウムノナフレート、パーフルオロオクチルスルホン酸トリアリールスルホニウム、トリフェニルスルホニウム塩、トリアリールスルホニウム塩、ヘキサフルオロアンチモン酸トリアリールスルホニウム塩、N−ヒドロキシナフタルイミドトリフレート、1,1−ビス[p−クロロフェニル]−2,2,2−トリクロロエタン(DDT)、1,1−ビス[p−メトキシフェニル]−2,2,2−トリクロロエタン、1,2,5,6,9,10−ヘキサブロモシクロドデカン、1,10−ジブロモデカン、1,1−ビス[p−クロロフェニル]2,2−ジクロロエタン、4,4−ジクロロ−2−(トリクロロメチル)ベンズヒドロール、1,1−ビス(クロロフェニル)2−2,2−トリクロロエタノール、ヘキサクロロジメチルスルホン、2−クロロ−6−(トリクロロメチル)ピリジン、またはそれらの誘導体の少なくとも1つを含む、光酸発生化合物と;
    を含む、請求項1に記載の方法。
  11. 基板を処理する方法であって、
    前記基板上に下層を含む前記基板を受け取るステップと;
    前記下層上にフォトレジスト層を堆積するステップであって、フォトレジストが:
    前記フォトレジスト層中の酸の生成を第1の酸濃度に制御する第1の光波長活性化閾値と;
    前記第1の酸濃度を第2の酸濃度まで増加させる第2の光波長活性化閾値であって、第2の光波長が第1の光波長と異なる、第2の光波長活性化閾値と;
    を含む、ステップと;
    前記フォトレジスト上に第1のトップコート層を堆積するステップと;
    パターン化マスクを通して光波長の第1の範囲を前記トップコート層および前記フォトレジスト層上に露光するステップと;
    前記フォトレジストを露光するため、前記第1のトップコート層を除去するステップと;
    光波長の第2の範囲を前記フォトレジスト層に露光するステップであって、光の露光が、前記フォトレジスト層にわたるブランケット露光である、ステップと;
    前記フォトレジスト層を現像するステップと;
    を含む、方法。
  12. 前記第1のトップコート層が、15nmより大きい波長の光が前記フォトレジストに到達するのを防止する、請求項11に記載の方法。
  13. 前記第1のトップコート層の前記除去の後に、前記フォトレジスト上に第2のトップコート層を堆積するステップをさらに含む、請求項12に記載の方法。
  14. 前記第2のトップコート層が、300nm未満の波長の光が前記フォトレジストに到達するのを防止する、請求項13に記載の方法。
  15. 基板を処理する方法であって、
    前記基板上に下層を堆積するステップと;
    前記下層上にフォトレジスト層を堆積するステップであって、フォトレジストが:
    前記フォトレジスト層中の酸の生成を第1の酸濃度に制御する第1の光波長活性化閾値と、
    前記第1の酸濃度を第2の酸濃度まで増加させる第2の光波長活性化閾値であって、第2の光波長が第1の光波長と異なる、第2の光波長活性化閾値と、
    を含む、ステップと;
    前記フォトレジスト上にEUVトップコート層を堆積するステップと;
    パターン化マスクを通して光の第1の波長をEUVトップコートおよび前記フォトレジスト層上に露光するステップであって、前記光の第1の波長がEUVスペクトル中の波長を含む、ステップと;
    光の第2の波長を前記フォトレジストに対して前記EUVトップコートおよび前記フォトレジスト層上に露光するステップであって、第2の露光が前記基板のブランケット露光であり、前記光の第2の波長が前記第1の光波長と異なる波長を含む、ステップと;
    前記フォトレジスト層を現像するステップと;
    を含む、方法。
  16. 前記第1の波長が30nm未満の大きさを含み、かつ前記光の第2の波長が30nm以上の大きさを含む、請求項15に記載の方法。
  17. 前記光の第1の波長の前記露光の後に、120秒以下にわたり前記基板を30℃〜90℃に加熱するステップをさらに含む、請求項15に記載の方法。
  18. 前記光の第2の波長の前記露光の後に、120秒以下にわたり前記基板を80℃〜130℃に加熱するステップをさらに含む、請求項17に記載の方法。
  19. 前記EUVトップコートが:
    前記光の第1の波長の前記露光中の前記フォトレジスト層からのガス放出を減少させ、
    光の第1の波長範囲の前記露光からの帯域外放射線による意図しない酸光分解の発生を軽減し、かつ
    前記光の第2の波長が前記フォトレジストを透過することを可能にする、
    ように構成される、請求項15に記載の方法。
  20. EUVトップコートが0.9〜1.1の屈折率を含む、請求項15に記載の方法。
JP2016570931A 2014-02-24 2015-02-24 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術 Active JP6758575B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461944041P 2014-02-24 2014-02-24
US61/944,041 2014-02-24
PCT/US2015/017347 WO2015127459A1 (en) 2014-02-24 2015-02-24 Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020127121A Division JP7009568B2 (ja) 2014-02-24 2020-07-28 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術

Publications (2)

Publication Number Publication Date
JP2017507371A true JP2017507371A (ja) 2017-03-16
JP6758575B2 JP6758575B2 (ja) 2020-09-23

Family

ID=53879163

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016570931A Active JP6758575B2 (ja) 2014-02-24 2015-02-24 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
JP2020127121A Active JP7009568B2 (ja) 2014-02-24 2020-07-28 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2020127121A Active JP7009568B2 (ja) 2014-02-24 2020-07-28 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術

Country Status (5)

Country Link
US (2) US9618848B2 (ja)
JP (2) JP6758575B2 (ja)
KR (2) KR102402923B1 (ja)
TW (1) TWI575566B (ja)
WO (1) WO2015127459A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019517026A (ja) * 2016-05-13 2019-06-20 東京エレクトロン株式会社 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
JP6895600B2 (ja) * 2014-02-25 2021-06-30 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
US10503070B2 (en) * 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
TWI662360B (zh) 2016-05-13 2019-06-11 東京威力科創股份有限公司 藉由使用光劑之臨界尺寸控制
JP6531723B2 (ja) * 2016-06-29 2019-06-19 信越化学工業株式会社 レジスト材料及びパターン形成方法
US9996009B2 (en) * 2016-07-27 2018-06-12 Samsung Electronics Co., Ltd. Extreme ultraviolet (EUV) exposure system and method of manufacturing semiconductor device using the same
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR102129049B1 (ko) * 2017-09-11 2020-07-01 주식회사 엘지화학 광산 발생제 및 이를 포함하는 후막용 화학 증폭형 포지티브 타입 포토레지스트 조성물
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
WO2019240279A1 (ja) * 2018-06-14 2019-12-19 国立大学法人大阪大学 レジストパターン形成方法
EP3824349A1 (en) 2018-07-19 2021-05-26 Lintfield Limited Thioxanthone derivatives, composition comprising the same and pattern forming method comprising said composition
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
GB202000736D0 (en) 2020-01-17 2020-03-04 Lintfield Ltd Modified thioxanthone photoinitators
US20230185196A1 (en) * 2020-04-03 2023-06-15 Lam Research Corporation Pre-exposure photoresist curing to enhance euv lithographic performance
CN112257270A (zh) * 2020-10-23 2021-01-22 东方晶源微电子科技(北京)有限公司深圳分公司 一种负显影光刻工艺的全芯片快速仿真方法、负显影光刻胶模型、opc模型及电子设备

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1083947A (ja) * 1996-09-09 1998-03-31 Hitachi Ltd レジストパターン形成方法およびその装置
JPH11168052A (ja) * 1997-12-04 1999-06-22 Mitsubishi Electric Corp 半導体装置の製造方法
JPH11218926A (ja) * 1998-02-02 1999-08-10 Matsushita Electric Ind Co Ltd パターン形成方法
JP2007334036A (ja) * 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
JP2013003167A (ja) * 2011-06-10 2013-01-07 Shin Etsu Chem Co Ltd パターン形成方法
JP2013057877A (ja) * 2011-09-09 2013-03-28 Toshiba Corp パターン形成方法
JP2013140319A (ja) * 2011-12-06 2013-07-18 Shin Etsu Chem Co Ltd レジスト保護膜材料及びパターン形成方法
JP2013228447A (ja) * 2012-04-24 2013-11-07 Shin Etsu Chem Co Ltd レジスト下層膜材料及びパターン形成方法
JP2014029435A (ja) * 2012-07-31 2014-02-13 Nissan Chem Ind Ltd カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
EP0203215B1 (de) 1985-05-29 1990-02-21 Ibm Deutschland Gmbh Verfahren zur Reparatur von Transmissionsmasken
US4931380A (en) 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0654390B2 (ja) * 1986-07-18 1994-07-20 東京応化工業株式会社 高耐熱性ポジ型ホトレジスト組成物
JPH0740543B2 (ja) 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH01234852A (ja) * 1988-03-16 1989-09-20 Fujitsu Ltd 微細パターン形成方法
JPH04239116A (ja) * 1991-01-14 1992-08-27 Fujitsu Ltd 半導体装置の製造方法
JP2723405B2 (ja) * 1991-11-12 1998-03-09 松下電器産業株式会社 微細電極の形成方法
US5294680A (en) * 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
JP3158710B2 (ja) * 1992-09-16 2001-04-23 日本ゼオン株式会社 化学増幅レジストパターンの形成方法
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
TW276353B (ja) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
SE9502957D0 (sv) * 1995-08-28 1995-08-28 Pharmacia Ab Device for displacing a member in a container
JPH0990621A (ja) * 1995-09-21 1997-04-04 Canon Inc レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法
JP2910654B2 (ja) * 1996-01-30 1999-06-23 日本電気株式会社 レジストパターン形成方法
JP2867964B2 (ja) * 1996-06-27 1999-03-10 日本電気株式会社 レジスト膜パターンの形成方法
US5905019A (en) * 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11237737A (ja) * 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6245492B1 (en) * 1998-08-13 2001-06-12 International Business Machines Corporation Photoresist system and process for aerial image enhancement
JP2000194141A (ja) * 1998-12-28 2000-07-14 Kansai Paint Co Ltd レジストパタ―ン形成方法
JP2000208408A (ja) * 1999-01-19 2000-07-28 Nec Corp 化学増幅系レジストのパタ―ン形成方法
JP4557328B2 (ja) * 1999-02-01 2010-10-06 富士フイルム株式会社 ポジ型フォトレジスト組成物
US6824879B2 (en) * 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
WO2001098838A2 (en) * 2000-06-22 2001-12-27 Koninklijke Philips Electronics N.V. Method of forming optical images, mask for use in this method, method of manufacturing a device using this method, and apparatus for carrying out this method
US6548219B2 (en) * 2001-01-26 2003-04-15 International Business Machines Corporation Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions
US6555479B1 (en) * 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
US6670109B2 (en) 2001-08-29 2003-12-30 Micron Technology, Inc. Photolithographic methods of using a single reticle to form overlapping patterns
IL161107A0 (en) 2001-09-28 2004-08-31 Tidal Networks Inc Multi-threaded packet processing engine for stateful packet processing
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
SG115693A1 (en) * 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP4974049B2 (ja) * 2004-02-20 2012-07-11 株式会社ニコン 露光方法、露光装置、並びにデバイス製造方法
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
JP4524154B2 (ja) * 2004-08-18 2010-08-11 富士フイルム株式会社 化学増幅型レジスト組成物及びそれを用いたパターン形成方法
US20060269879A1 (en) 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
JP2007033882A (ja) 2005-07-27 2007-02-08 Hitachi Via Mechanics Ltd 露光装置及び露光方法並びに配線基板の製造方法
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US20070275330A1 (en) * 2006-05-25 2007-11-29 International Business Machines Corporation Bottom anti-reflective coating
US7687205B2 (en) * 2006-06-15 2010-03-30 The Boeing Company Photolithographic method and apparatus employing a polychromatic mask
DE102006053074B4 (de) * 2006-11-10 2012-03-29 Qimonda Ag Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US7648918B2 (en) * 2007-08-20 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pattern formation in semiconductor fabrication
US8110339B2 (en) * 2007-09-06 2012-02-07 Massachusetts Institute Of Technology Multi-tone resist compositions
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
JP4961324B2 (ja) * 2007-10-26 2012-06-27 富士フイルム株式会社 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法
KR101585992B1 (ko) 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US20090214985A1 (en) * 2008-02-27 2009-08-27 Tokyo Electron Limited Method for reducing surface defects on patterned resist features
US20090274974A1 (en) * 2008-04-30 2009-11-05 David Abdallah Spin-on graded k silicon antireflective coating
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
CN102056913A (zh) * 2008-06-12 2011-05-11 巴斯夫欧洲公司 锍衍生物及其作为潜酸的用途
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
WO2010096615A2 (en) 2009-02-19 2010-08-26 Brewer Science Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP5011345B2 (ja) 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
WO2010140870A2 (ko) * 2009-06-05 2010-12-09 주식회사 동진쎄미켐 반도체 소자의 미세 패턴 형성 방법
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8795952B2 (en) * 2010-02-21 2014-08-05 Tokyo Electron Limited Line pattern collapse mitigation through gap-fill material application
US8124319B2 (en) 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
JP6236000B2 (ja) 2011-07-08 2017-11-22 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
CN103034048B (zh) * 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
JP5705103B2 (ja) * 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
JP5789275B2 (ja) 2012-02-03 2015-10-07 エーエスエムエル ネザーランズ ビー.ブイ. 3dレジストプロファイルのシミュレーション用のリソグラフィモデル
CN103309164A (zh) 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP2014143415A (ja) 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
KR101779683B1 (ko) 2013-02-20 2017-09-18 오사카 유니버시티 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료
WO2015125788A1 (ja) 2014-02-21 2015-08-27 東京エレクトロン株式会社 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、半導体デバイス、リソグラフィ用マスク、並びにナノインプリント用テンプレート
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
JP6524388B2 (ja) * 2014-02-24 2019-06-05 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
KR101846109B1 (ko) * 2014-02-24 2018-04-05 도쿄엘렉트론가부시키가이샤 감광성 화학적 증폭 레지스트 내에서의 감광제 농도의 측정을 위한 계측
JP6895600B2 (ja) 2014-02-25 2021-06-30 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
WO2016025210A1 (en) 2014-08-13 2016-02-18 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
JP6809843B2 (ja) * 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
JP6512994B2 (ja) * 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6774814B2 (ja) * 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
TWI662360B (zh) * 2016-05-13 2019-06-11 東京威力科創股份有限公司 藉由使用光劑之臨界尺寸控制
CN109313394B (zh) * 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1083947A (ja) * 1996-09-09 1998-03-31 Hitachi Ltd レジストパターン形成方法およびその装置
JPH11168052A (ja) * 1997-12-04 1999-06-22 Mitsubishi Electric Corp 半導体装置の製造方法
JPH11218926A (ja) * 1998-02-02 1999-08-10 Matsushita Electric Ind Co Ltd パターン形成方法
JP2007334036A (ja) * 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
JP2013003167A (ja) * 2011-06-10 2013-01-07 Shin Etsu Chem Co Ltd パターン形成方法
JP2013057877A (ja) * 2011-09-09 2013-03-28 Toshiba Corp パターン形成方法
JP2013140319A (ja) * 2011-12-06 2013-07-18 Shin Etsu Chem Co Ltd レジスト保護膜材料及びパターン形成方法
JP2013228447A (ja) * 2012-04-24 2013-11-07 Shin Etsu Chem Co Ltd レジスト下層膜材料及びパターン形成方法
JP2014029435A (ja) * 2012-07-31 2014-02-13 Nissan Chem Ind Ltd カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
SEIICHI TAGAWA ET AL.: "Super High Sensitivity Enhancement by Photo-Sensitized Chemically Amplified Resist Process", JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY, vol. Vol.26,Number 6(2013), JPN7019002597, pages 825 - 830, ISSN: 0004202007 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019517026A (ja) * 2016-05-13 2019-06-20 東京エレクトロン株式会社 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御

Also Published As

Publication number Publication date
US20170192357A1 (en) 2017-07-06
JP2020197727A (ja) 2020-12-10
WO2015127459A1 (en) 2015-08-27
JP7009568B2 (ja) 2022-01-25
KR102402923B1 (ko) 2022-05-27
KR102615912B1 (ko) 2023-12-19
TWI575566B (zh) 2017-03-21
TW201539539A (zh) 2015-10-16
KR20160124807A (ko) 2016-10-28
JP6758575B2 (ja) 2020-09-23
US9618848B2 (en) 2017-04-11
US20150241783A1 (en) 2015-08-27
KR20220075439A (ko) 2022-06-08
US10534266B2 (en) 2020-01-14

Similar Documents

Publication Publication Date Title
JP7009568B2 (ja) 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
JP7074990B2 (ja) 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術
TWI483079B (zh) Pattern formation method
CN103649830B (zh) 光刻图案化过程和其中使用的抗蚀剂
KR102303158B1 (ko) 포토리소그래피 프로세스용 어시스트 피처
JP6524388B2 (ja) 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
US10551743B2 (en) Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
JPH06318541A (ja) パターンの形成方法
US20110294072A1 (en) Methods of manufacturing semiconductor devices using photolithography
Kim et al. CD uniformity improvement for EUV resists process: EUV resolution enhancement layer
JP2560773B2 (ja) パターン形成方法
WO2019240279A1 (ja) レジストパターン形成方法
KR20090095388A (ko) 반사형 포토마스크의 제조방법
TWI840599B (zh) 用於隨機驅動的缺陷性治癒之方法及製程
Asano et al. Enhancement of process latitude by reducing resist thickness for KrF excimer laser lithography
KR20240019572A (ko) Euv를 이용한 레지스트 패턴의 형성 방법 및 이를 마스크로 이용한 패턴 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190820

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200507

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200630

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20200728

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200728

R150 Certificate of patent or registration of utility model

Ref document number: 6758575

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250