KR102062966B1 - 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료 - Google Patents

레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료 Download PDF

Info

Publication number
KR102062966B1
KR102062966B1 KR1020177025563A KR20177025563A KR102062966B1 KR 102062966 B1 KR102062966 B1 KR 102062966B1 KR 1020177025563 A KR1020177025563 A KR 1020177025563A KR 20177025563 A KR20177025563 A KR 20177025563A KR 102062966 B1 KR102062966 B1 KR 102062966B1
Authority
KR
South Korea
Prior art keywords
latent image
image forming
energy beam
pattern
resist
Prior art date
Application number
KR1020177025563A
Other languages
English (en)
Other versions
KR20170106653A (ko
Inventor
세이이치 다가와
아키히로 오시마
Original Assignee
오사카 유니버시티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 오사카 유니버시티 filed Critical 오사카 유니버시티
Publication of KR20170106653A publication Critical patent/KR20170106653A/ko
Application granted granted Critical
Publication of KR102062966B1 publication Critical patent/KR102062966B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2032Simultaneous exposure of the front side and the backside
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Electromagnetism (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 발명의 레지스트패턴 형성방법은, 기판(11)에 레지스트층(12)을 형성하는 레지스트층 형성단계S101과, 활성화 에너지빔의 조사에 의해 상기 레지스트층을 활성화하는 활성화단계S103과, 상기 레지스트층의 활성의 감쇠를 억제하는 감쇠억제단계S105와, 잠상형성 에너지빔의 조사에 의해, 상기 활성화된 레지스트층에 패턴잠상을 형성하는 패턴잠상 형성단계S107과, 상기 레지스트층을 현상하는 현상단계S110을 함유한다.

Description

레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료{METHOD OF FORMING RESIST PATTERN, DEVICE FOR FORMING RESIST LATENT IMAGE, DEVICE FOR FORMING RESIST PATTERN, AND RESIST MATERIAL}
본 발명은 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료에 관한 것이다.
반도체 디바이스의 노광공정에 있어서, 회로의 고집적화와 고속도화에 따라, 보다 미세한 패턴이 요구되고 있다. 패턴 미세화의 수법으로서, 주로 노광원의 단파장화가 요구되고 있고, 예를 들면 극자외선(EUV, 파장:13.5nm)은 차세대 반도체 디바이스 제조의 유망한 기술로서 활발하게 개발되고 있다. 그러나 양산적용에 필요한 고출력(100W)을 갖는 광원장치의 개발이 어려워서, 현상(現狀)에서는 10W 레벨에 멈춰있고, 패턴잠상을 형성하기 위한 노광에 시간이 걸린다. 또 전자선(EB)을 이용한 전자선 직접 묘화법에서는, 빔경(徑)이 작아서 고치수 정밀도로 미세한 패턴을 형성할 수 있는 반면, 패턴이 복잡하고 면적이 클수록 묘화에 시간이 걸린다. 이처럼 극자외선이나 전자선을 이용한 노광기술은 미세한 패턴을 형성할 수 있지만, 스루풋이 낮다는 문제가 있었다.
이 문제를 해결하기 위하여, 노광시간을 가능한 한 줄일 수 있도록 레지스트재료의 고감도화가 진행되고 있다. 예를 들면 특허문헌1에 개시되어 있는 레지스트 조성물은, 특정의 수지 및 화합물을 포함한 조성에 의해 감도 및 해상도의 향상을 도모하였다.
일본 특허 공개 2002-174894호 공보
그러나 감도, 해상도, 선폭 거칠기(Line Width Roughness:LWR)라는 레지스트의 중요한 3가지 성능 사이에는 트레이드오프 관계가 있어, 레지스트의 고감도화를 실시한 경우, 해상도나 LWR이 저하한다는 문제가 발생한다. 그렇기 때문에 해상도나 선폭 거칠기를 열화시키지 않고 레지스트를 고감도로 하기 위해서는 한계가 있어서, 스루풋이 낮다는 문제를 충분히 해결할 수 없었다.
본 발명은 상기 과제를 감안하여 이루어진 것으로, 그 목적은 트레이드 오프 관계를 해결하고 레지스트의 감도를 향상시킬 수 있는 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치를 제공하는 데 있다. 또 본 발명의 목적은 고감도의 레지스트재료를 제공하는 데 있다.
본 발명의 레지스트패턴 형성방법은, 기판에 레지스트층을 형성하는 레지스트층 형성단계와, 활성화 에너지빔의 조사에 의해 상기 레지스트층을 활성화하는 활성화단계와, 상기 레지스트층의 활성의 감쇠를 억제하는 감쇠억제단계와, 잠상형성 에너지빔의 조사에 의해 상기 활성화된 레지스트층에 패턴잠상을 형성하는 패턴잠상 형성단계와, 상기 레지스트층을 현상하는 현상단계를 함유한다.
본 발명의 레지스트패턴 형성방법은, 기판에 레지스트층을 형성하는 레지스트층 형성단계와, 활성화 에너지빔의 조사에 의해 상기 레지스트층을 활성화하는 활성화 단계와, 상기 레지스트층이 활성화된 상태에서, 잠상형성 에너지빔의 조사에 의해, 상기 활성화된 레지스트층에 패턴잠상을 형성하는 패턴잠상 형성단계와, 상기 레지스트층을 현상하는 현상단계를 함유한다.
한 실시형태에 있어서, 상기 감쇠억제단계에서, 상기 활성화된 레지스트층의 분위기는 불활성가스 분위기, 활성가스 분위기 또는 진공 분위기이다.
한 실시형태에 있어서, 본 발명의 레지스트패턴 형성방법은, 상기 활성화단계가 실시되는 위치에서 상기 패턴잠상 형성단계가 실시되는 위치로 상기 기판을 운반하는 운반단계를 추가로 포함한다.
한 실시형태에 있어서, 상기 활성화단계와 상기 패턴잠상 형성단계는 동시에 실행된다.
한 실시형태에 있어서, 상기 활성화단계는, 상기 레지스트층 내 영역에 걸쳐 상기 활성화 에너지빔을 조사하는 영역 조사단계, 및/또는 상기 레지스트층 내에, 패턴형상으로 상기 활성화 에너지빔을 조사하는 패턴형상 조사단계를 포함하며, 상기 패턴잠상 형성단계는, 상기 레지스트층 내 영역에 걸쳐 상기 잠상형성 에너지빔을 조사하는 영역 조사단계, 및/또는 상기 레지스트층 내에, 패턴형상으로 상기 잠상형성 에너지빔을 조사하는 패턴형상 조사단계를 포함하고, 상기 활성화단계가 상기 영역 조사단계를 포함하는 경우에는, 상기 패턴잠상 형성단계는, 상기 영역 조사단계 및 상기 패턴형상 조사단계 중 적어도 상기 패턴형상 조사단계를 포함하며, 상기 활성화단계가 상기 패턴형상 조사단계를 포함하는 경우에는, 상기 패턴잠상 형성단계는, 상기 영역 조사단계 및 상기 패턴형상 조사단계 중 적어도 상기 영역 조사단계를 포함한다.
본 발명의 레지스트패턴 형성방법은, 기판에 레지스트층을 형성하는 레지스트층 형성단계와, 활성화 에너지빔의 조사에 의해 상기 레지스트층에 안정물질을 생성하는 안정물질 생성단계와, 잠상형성 에너지빔의 조사에 의해, 상기 안정물질이 생성된 상기 레지스트층에 패턴잠상을 형성하는 패턴잠상 형성단계와, 상기 레지스트층을 현상하는 현상단계를 포함한다.
한 실시형태에 있어서, 본 발명의 레지스트패턴 형성방법은, 상기 레지스트층 내의 안정물질을 변환하는 변환단계를 추가로 포함한다.
본 발명의 레지스트잠상 형성장치는, 활성화장치와 패턴잠상 형성부를 구비하는 레지스트잠상 형성장치로서, 상기 활성화장치는, 레지스트층이 수납 가능한 활성화 챔버와 상기 활성화 챔버 내의 상기 레지스트층을 활성화시키기 위한 에너지빔을 출사하는 활성화 에너지원을 가지며, 상기 패턴잠상 형성부는, 상기 레지스트층이 수납 가능한 잠상형성 챔버와, 상기 잠상형성 챔버 내의 상기 레지스트층에 패턴잠상을 형성하기 위한 에너지빔을 출사하는 잠상형성 에너지원을 갖는다.
한 실시형태에 있어서, 상기 활성화 에너지원 및 상기 잠상형성 에너지원 중 한쪽에서 출사된 상기 에너지빔은, 상기 레지스트층 내 영역에 걸쳐 조사되며, 상기 활성화 에너지원 및 상기 잠상형성 에너지원 중 다른 쪽에서 출사된 상기 에너지빔은, 상기 레지스트층의 상기 영역 내에 패턴형상으로 조사된다.
한 실시형태에 있어서, 상기 잠상형성 에너지원은 상기 활성화 에너지원과 동일하고, 또는 상기 잠상형성 에너지원은 상기 활성화 에너지원과 다르다.
한 실시형태에 있어서, 상기 활성화 챔버 및 상기 잠상형성 챔버의 적어도 한쪽은, 상기 레지스트층의 주위 환경이, 상기 레지스트층의 활성의 감쇠를 억제하도록 조정된다.
한 실시형태에 있어서, 본 발명의 레지스트잠상 형성장치는, 상기 기판을 상기 활성화 챔버에서 상기 잠상형성 챔버로 운반하는 운반장치를 추가로 구비한다.
한 실시형태에 있어서, 상기 잠상형성 챔버는 상기 활성화 챔버와 동일하다.
한 실시형태에 있어서, 상기 활성화 에너지원과 상기 잠상형성 에너지원 중 적어도 한쪽은, 이온빔 조사부, 전자선 조사부 또는 전자파 조사부를 포함한다.
본 발명의 레지스트패턴 형성장치는, 전술한 레지스트잠상 형성장치와 상기 레지스트잠상 형성장치에 의해 상기 패턴잠상이 형성된 레지스트층을 현상하는 현상장치를 구비한다.
본 발명의 레지스트재료는, 베이스 수지 및 증감체 전구체를 함유하는 레지스트 조성물을 포함한다. 상기 레지스트 조성물은, 제1 에너지빔의 조사에 의해 증감체를 생성하며, 상기 증감체에 의한 레지스트 반응을 촉진시키는 제2 에너지빔을 조사하여도 증감체를 생성시키지 않는다.
한 실시형태에 있어서, 상기 베이스 수지는 메틸메타크릴레이트 수지를 포함한다.
한 실시형태에 있어서, 상기 레지스트 조성물은 산 발생제를 추가로 함유한다.
한 실시형태에 있어서, 산 발생제와 증감체 전구체는 동일한 것일 수도 있다.
한 실시형태에 있어서, 상기 레지스트 조성물은 ?처(Quencher)를 추가로 함유한다.
한 실시형태에 있어서, 상기 ?처는 상기 증감체와 반응하지 않는다.
본 발명은, 트레이드 오프 관계를 해결하고 레지스트의 감도를 향상시킬 수 있는 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치를 제공할 수 있다. 또 본 발명은 고감도의 레지스트재료를 제공할 수 있다.
도1(a)~(e)는, 본 실시형태의 레지스트패턴 형성방법의 공정을 나타내는 모식도이다.
도2는 본 실시형태의 레지스트패턴 형성방법에 있어서 에너지 조사량-잔류막률 곡선을 나타내는 도면이다.
도3은 본 실시형태의 레지스트패턴 형성방법에 있어서 에너지 조사량-시간 곡선을 나타내는 도면이다.
도4는 본 발명의 다른 실시형태에 관한 레지스트패턴 형성방법의 공정을 설명한 도면이다.
도5는 본 발명의 또 다른 실시형태에 관한 레지스트패턴 형성방법의 공정을 설명하는 도면이다.
도6(a)~(d)는, 본 발명의 또 다른 실시형태에 관한 레지스트패턴 형성방법의 공정을 설명하는 도면이다.
도7(a)~(c)는, 본 발명의 레지스트패턴 형성방법의 구체예1을 설명하는 도면이다.
도8(a)~(d)는, 본 발명의 레지스트패턴 형성방법의 구체예2를 설명하는 도면이다.
도9(a)~(d)는, 본 발명의 레지스트패턴 형성방법의 구체예3을 설명하는 도면이다.
도10(a)~(e)는, 본 발명의 레지스트패턴 형성방법의 구체예4를 설명하는 도면이다.
도11은 본 발명에 의한 레지스트잠상 형성장치의 실시형태를 나타내는 모식도이다.
도12는 본 발명에 의한 레지스트잠상 형성장치의 실시형태를 나타내는 모식도이다.
도13은 본 발명에 의한 레지스트잠상 형성장치의 실시형태를 나타내는 모식도이다.
도14는 본 발명에 의한 레지스트잠상 형성장치의 실시형태를 나타내는 모식도이다.
도15는 일반적인 레지스트재료에 있어서 산 및 ?처의 농도 변화를 나타내는 모식도이다.
도16은 본 발명에 의한 레지스트재료의 실시형태에 있어서 산, 증감체, ?처의 농도 변화를 나타내는 모식도이며, (a)는 활성화 에너지빔의 조사 직후의 농도를 나타내며, (b)는 활성화 에너지빔의 조사에 의해 생성된 산과 ?처가 중화된 후의 농도를 나타내며, (c)는 잠상형성 에너지빔을 조사한 후의 농도를 나타낸다.
도17은 본 발명에 의한 레지스트재료의 실시형태에 있어서 산, 증감체, ?처의 농도 변화를 나타내는 모식도이며, (a)는 활성화 에너지빔의 조사 직후의 농도를 나타내며, (b)는 활성화 에너지빔의 조사에 의해 생성된 산과 ?처가 중화된 후의 농도를 나타내며, (c)는 잠상형성 에너지빔을 조사한 후의 농도를 나타낸다.
도18은 본 발명에 의한 레지스트재료의 실시형태에 있어서 산, 증감체, ?처의 농도 변화를 나타내는 모식도이며, (a)는 활성화 에너지빔의 조사 직후의 농도를 나타내며, (b)는 활성화 에너지빔의 조사에 의해 생성된 산과 ?처가 중화된 후의 농도를 나타내며, (c)는 잠상형성 에너지빔을 조사한 후의 농도를 나타낸다.
도19는 본 발명에 의한 레지스트재료의 실시형태에 있어서 산, 증감체, ?처의 농도 변화를 나타내는 모식도이다.
도20은 본 발명에 의한 레지스트재료의 실시형태에 있어서 산, 증감체, ?처의 농도 변화를 나타내는 모식도이며, (a)는 활성화 에너지빔의 조사 직후의 농도를 나타내며, (b)는 활성화 에너지빔의 조사에 의해 생성된 산과 ?처가 중화된 후의 농도를 나타내며, (c)는 잠상형성 에너지빔을 조사한 후의 농도를 나타낸다.
도21은 본 실시형태에 있어서 화학반응식이다.
도22는 본 실시형태에 있어서 현상 결과를 나타내는 도면이다.
도23은 DOMeBzH와 DOMeBzO의 흡수율을 나타내는 그래프이다.
도24는 UV노광시간과 조사량의 관계를 나타내는 그래프이다.
도25는 본 실시형태에 있어서 산, 증감체, ?처의 농도 변화를 나타내는 모식도이며, (a)는 활성화 에너지빔의 조사 직후의 농도를 나타내며, (b)는 활성화 에너지빔의 조사에 의해 생성된 산과 ?처가 중화한 후의 농도를 나타내며, (c)는 잠상형성 에너지빔을 조사한 후의 농도를 나타낸다.
도26은 실시예1에 있어서 현상 결과를 나타내는 도면이다.
도27은 실시예2에 있어서 현상 결과를 나타내는 도면이다.
도28은 실시예3에 있어서 현상 결과를 나타내는 도면이다.
도29는 실시예4~실시예6에 있어서 현상 결과를 나타내는 도면이다.
도30은 실시예7~실시예9에 있어서 현상 결과를 나타내는 도면이다.
도31은 실시예13에 있어서 현상 결과를 나타내는 도면이다.
도32는 실시예13에 있어서 감도곡선을 나타내는 그래프이다.
도33은 실시예14에 있어서 현상 결과를 나타내는 도면이다.
도34는 실시예14에 있어서 감도곡선을 나타내는 그래프이다.
도35는 실시예15에 있어서 감도곡선을 나타내는 그래프이다.
도36은 실시예15에 있어서 현상 결과를 나타내는 도면이다.
도37은 실시예16에 있어서 감도곡선을 나타내는 그래프이다.
도38은 실시예17에 있어서 감도곡선을 나타내는 그래프이다.
도39는 실시예18에 있어서 감도곡선을 나타내는 그래프이다.
도40은 실시예19에 있어서 감도곡선을 나타내는 그래프이다.
도41은 실시예20에 있어서 감도곡선을 나타내는 그래프이다.
도42는 실시예21에 있어서 감도곡선을 나타내는 그래프이다.
도43은 실시예22에 있어서 감도곡선을 나타내는 그래프이다.
도44는 실시예23에 있어서 감도곡선을 나타내는 그래프이다.
도45는 실시예24에 있어서 현상 결과를 나타내는 도면이다.
도46은 실시예24에 있어서 현상 결과를 나타내는 도면이다.
도47은 실시예24에 있어서 현상 결과를 나타내는 도면이다.
도48은 실시예25에 있어서 감도곡선을 나타내는 그래프이다.
도49는 실시예26에 있어서 현상 결과를 나타내는 도면이다.
도50은 실시예26에 있어서 현상 결과를 나타내는 도면이다.
도51은 실시예27에 있어서 감도곡선을 나타내는 그래프이다.
도52는 실시예28에 있어서 감도곡선을 나타내는 그래프이다.
도53은 실시예29에 있어서 감도곡선을 나타내는 그래프이다.
도54는 실시예30에 있어서 현상 결과를 나타내는 도면이다.
도55는 실시예31에 있어서 현상 결과를 나타내는 도면이다.
이하, 도면을 참조하여 본 발명에 의한 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료의 실시형태를 설명한다. 단, 본 발명은 이하의 실시형태에 한정되지 않는다.
도1~도3을 참조하여 본 발명의 실시형태에 관한 레지스트패턴 형성방법을 설명한다. 도1은 본 실시형태의 레지스트패턴 형성방법의 공정을 나타내는 모식도이다. 도2는 본 실시형태의 레지스트패턴 형성방법에 있어서 에너지조사량-잔류막률 곡선을 나타내는 도이다. 도3은 본 실시형태의 레지스트패턴 형성방법에 있어서 에너지조사량-시간 곡선을 나타내는 도이다. 본 실시형태의 레지스트패턴 형성방법은 단계S101~단계S110에 의해 실행된다.
먼저, 도1(a)에 나타내는 바와 같이, 레지스트층 형성단계(S101)에서 기판(11)에 레지스트층(12)을 형성한다. 구체적으로는 기판(11)(예를 들면 웨이퍼)을 준비하고 기판(11) 상에 레지스트액을 도포하여 프리베이크를 실시함으로써 레지스트층(12)을 형성한다. 레지스트에는, 노광부분이 현상액에서 용해하는 포지티브형과 노광부분이 현상액에서 용해하지 않는 네가티브형이 있는데, 본 실시형태에서는 포지티브형의 레지스트를 예로 설명한다. 여기서 레지스트의 조성으로는, 노광에 의해 산을 발생시키는 산 발생제와, 산의 작용에 의해 현상액의 용해성이 변화하는 기재와, 산의 확산을 억제하는 ?처(Quencher)를 함유하는 화학증폭형이어도 되고, 산 발생제를 함유하지 않는 비화학증폭형이어도 된다.
도2에 나타내는 바와 같이, 포지티브형 화학증폭 레지스트의 경우에는, 레지스트로 조사한 에너지양이 임계값Ea(이하, 잠상형성 에너지양이라고 기재함)를 초과하면, 레지스트층(12)에는 잠상이 형성되며, 잠상이 형성된 부분은 현상액에서 용해되기 시작한다. 에너지양이 다시 증가하여 임계값 Et(이하, 필요 에너지양이라고 기재함)를 초과하면, 잠상이 형성된 부분은 현상액에서 완전히 용해되어 제거된다.
다음에, 도1(b)에 나타내는 바와 같이 활성화단계(S103)에서, 활성화 에너지빔의 조사에 의해 레지스트층(12)을 활성화한다. 활성화 에너지빔의 조사에 의해, 레지스트층(12) 내의 조성이 여기(勵起:Excitaion) 혹은 이온화되어 활성상태가 생성된다. 레지스트층(12)에는 활성상태A와 활성상태B의 두 가지가 생성된다. 혹은 레지스트층(12)에는 활성상태B만 생성된다. 활성상태A는, 산 또는 산 전구체가 되는 활성상태이며, 활성상태B는, 증감체 등과 같은 산 전구체가 되는 활성상태 이외의 활성상태이다. 레지스트의 종류(포지티브형 또는 네가티브형)에 따라서는, 이 활성상태A가 레지스트의 기재에 대하여 극성 변환, 가교 또는 분해반응 등을 발생시켜, 현상액에서의 용해성을 변화시킨다. 현상 시에 레지스트패턴을 형성하기 위해서는 일정량의 활성상태A가 필요하다. 여기서 활성상태A는, 예를 들면 양이온, 음이온 또는 산이며, 활성상태B는, 예를 들면 라디칼 또는 분해생성물이다.
활성화단계는, 예를 들면 진공 또는 불활성 분위기에서 실시된다. 활성화 에너지빔은, 레지스트층(12)을 상방에서 조사하도록 활성화 에너지원(21)으로부터 출사된다. 여기서 활성화 에너지빔은 레지스트층(12) 내 영역에 걸쳐 조사된다. 도1에 나타내는 바와 같이, 활성화 에너지빔은 레지스트층(12) 내의 전체에 걸쳐 조사된다. 그러나 활성화 에너지빔은 레지스트층(12) 내의 전체에 대하여, 일부 영역에만 걸쳐 조사되어도 된다. 여기서, 활성화 에너지빔은, 예를 들면 가시광, UV(자외선), DUV(깊은 자외선), EUV, X선과 같은 전자파이다. 또 활성화 에너지빔은 전자선이나 이온빔이라도 된다.
도2에 나타내는 바와 같이, 활성화단계(S103)에서 활성화 에너지빔의 조사량Ef는 잠상형성 에너지양EA를 초과하지 않는 조사량이다. 즉, 활성화단계(S103)에서는, 현상 시에 레지스트패턴을 형성하는 데 필요한 양보다 적은 활성상태A를 생성한다. 이에 따라, 활성화단계(S103)를 실행한 단계에서는 현상액에서 레지스트층(12)은 용해하지 않으며, 레지스트패턴은 형성되지 않는다.
활성화단계 다음에, 도1(c)에 나타내는 바와 같이, 감쇠억제단계(S105)에서 레지스트층(12)의 활성의 감쇠를 억제한다. 구체적으로는, 후술하는 패턴잠상 형성단계(S107)가 실행될 때까지 프리베이크를 하지 않고 환경을 제어하고, 활성화단계(S103)에서 활성화된 레지스트층(12) 내 활성상태A, B의 감쇠를 억제한다.
예를 들면 레지스트층(12)의 주변 환경은 활성상태A, B의 감쇠를 제어할 수 있는 분위기이다. 활성상태A, B의 감쇠를 제어할 수 있는 분위기는, 염기성 물질을 함유하지 않는 불활성가스 분위기 또는 진공 분위기여도 되며, 염기성 물질 및/또는 산소를 차단하는 톱코트막이 형성되어도 된다. 불활성가스 분위기인 경우에는, 불활성가스로서, 예를 들면 질소가스, 헬륨가스, 아르곤가스가 이용되며, 감압, 가압 하에서 이용하는 것이 가능하다. 진공 분위기인 경우에는, 레지스트층(12)의 주변이 진공하이면 되고, 바람직하게는 레지스트층(12)의 주변을 1Pa 이하의 진공으로 한다. 불활성가스 분위기 또는 진공 분위기의 환경 내에서는 레지스트층(12)에 생성된 활성상태B의 감쇠가 억제된다.
또, 레지스트층(12)의 주변 환경은, 레지스트층(12)의 활성을 촉진시킬 수 있는 분위기 또는 액체여도 된다. 활성을 촉진할 수 있는 분위기로서 활성가스 분위기를 사용한다. 예를 들면 포지티브형 화학증폭 레지스트를 사용하는 경우, 활성가스 분위기로서, 예를 들면 흡수파장시프트용 반응성가스를 사용한다. 활성을 촉진할 수 있는 활성액체로서, 예를 들면 흡수파장 시프트용 반응성액체를 사용한다. 레지스트층(12)에 생성된 활성상태B는 활성가스 또는 활성액체와 반응하여, 후술하는 패턴잠상 형성단계(S107)에서, 활성상태α 또는 안정물질α1로 변환된다. 활성상태α 또는 안정물질α1은, 활성상태B와 마찬가지로 증감체로서 기능할 수 있다. 활성상태α는, 예를 들면 방향족화합물 라디칼, 요소화합물 라디칼이고, 안정물질α1은, 예를 들면 방향족화합물, 요소화합물이다. 여기서, 활성액체를 사용하여 활성을 촉진시킬 경우에는, 패턴잠상 형성단계(S107)가 실행되기 전에 레지스트층(12)에서 활성액체를 제거해도 되며, 활성액체를 제거하지 않고 패턴잠상 형성단계(S107)를 실행해도 된다.
또한, 환경 제어의 수법으로서, 레지스트층(12)의 온도를 제어하는 수법을 이용해도 된다. 레지스트층(12)의 온도가 어떤 임계값 온도를 초과하면 활성상태가 감쇠하므로, 레지스트층(12)의 온도를 임계값 온도 이하로 유지함으로써, 레지스트층(12) 활성의 감쇠를 억제할 수 있다. 예를 들면 활성화단계(S103) 후에 감쇠억제단계(S105)에서 급냉처리를 실시함으로써 레지스트층(12)의 온도를 임계값 온도 이하로 내린다. 임계값 온도는 예를 들면 30℃이다. 또 활성화단계(S103)를 소정의 온도 이하에서 실시하고, 감쇠억제단계(S105)에서 레지스트층(12)의 온도를 임계값 온도 이하인 채로 유지해도 된다.
또, 패턴잠상 형성단계(S107)가 실행될 때까지의 사이에 레지스트층(12)에 예기치 않는 에너지빔이 조사되면, 활성상태가 바뀌어 활성이 감쇠되어 버리는 경우가 있다. 따라서 감쇠억제단계(S105)에서 레지스트층(12)을, 에너지빔이 조사되지 않는 환경에 위치시킨다.
또한, 활성상태는 시간이 경과함에 따라 감쇠하므로, 활성화단계(S103)와 후술하는 패턴잠상 형성단계(S107) 사이의 경과시간을 제어함으로써, 레지스트층(12)의 활성의 감쇠를 억제할 수도 있다. 활성화단계부터 후술하는 패턴잠상 형성단계까지의 시간은 60분 이내임이 바람직하다. 그리고 온도, 조도 또는 시간의 제어는 레지스트층(12) 주변의 환경 제어와 동시에 실행되어도 된다.
감쇠억제단계(S105) 후에, 도1(d)에 나타내는 바와 같이 패턴잠상 형성단계(S107)를 실행한다. 패턴잠상 형성단계에서는, 잠상형성 에너지빔의 조사에 의해, 활성화된 레지스트층(12)에 패턴잠상을 형성한다. 구체적으로는, 잠상형성 에너지빔은 활성상태B 및 활성상태α/안정물질α1을 활성상태A로 변환하는 빔이다. 잠상형성 에너지빔에 의해 조사된 레지스트층(12)의 부위에서는, 활성상태B 및 활성상태α/안정물질α1은 활성상태B 및 활성상태A 혹은 활성상태A'(구조는 활성상태A와 상이한 활성상태)로 변환된다. 또 잠상형성 에너지빔은 활성상태B 및 활성상태α/안정물질α1 을 활성상태A로 변환함과 동시에, 레지스트층(12)에 활성상태A 혹은 활성상태A'를 생성하는 빔이어도 된다. 이 경우, 잠상형성 에너지빔에 의해 조사된 레지스트층(12) 부위에서는, 활성상태A 혹은 활성상태A'가 생성됨과 동시에, 활성상태B 및 활성상태α/안정물질α1 이 활성상태B 및 활성상태A 혹은 활성상태A'로 변환된다. 도2에 나타내는 바와 같이, 패턴잠상 형성단계(S107)에서, 잠상형성 에너지빔의 조사량Ep는, 잠상형성 에너지양Ea를 초과하지 않는 조사량이고, 또, 잠상형성 에너지빔의 조사량Ep와 활성화 에너지빔의 조사량Ef의 총 합은 필요 에너지양Et를 초과한다. 바꾸어 말하면, 패턴잠상 형성단계(S107)에서, 활성상태B 및 활성상태α/안정물질α1로부터의 변환에 의해 얻어진 활성상태A의 양은, 활성화단계(S103)에서 활성상태A를 생성하지 않는 경우를 제외하고, 현상 시에 레지스트패턴을 형성하는데 필요한 양보다 적지만, 활성화단계(S103)에서 생성된 활성상태A의 양과 패턴잠상 형성단계(S107)에서 얻어진 활성상태A의 양의 총 합은, 현상 시에 레지스트패턴을 형성하는데 필요한 양을 초과한다.
잠상형성 에너지빔은, 레지스트층(12)을 상방에서 조사하도록 잠상형성 에너지원(22)으로부터 출사된다. 잠상형성 에너지원(22)은 활성화 에너지원(21)과 동일한 것이어도 되며, 활성화 에너지원(21)과 상이한 것이어도 된다. 여기서는 활성화 에너지빔을 조사한 레지스트층(12)의 영역 내에 대하여 잠상형성 에너지빔을 패턴형상으로 조사한다. 그리고 잠상형성 에너지빔은, 형성할 패턴의 해상도에 따라 선택할 수 있고, 예를 들면 UV, DUV, EUV, X선 등의 전자파라도 되며, 전자선이나 이온빔이라도 된다. 패턴잠상 형성단계는, 예를 들면 진공 분위기, 활성가스 분위기 또는 불활성 분위기에서 실시된다. 이와 같이 레지스트층(12)에는, 활성화 에너지빔으로만 조사된 제1 노광부위(121)와, 활성화 에너지빔 및 잠상형성 에너지빔의 양쪽으로 조사된 제2 노광부위(122)를 갖는다(도1 참조).
패턴잠상 형성단계 후에, 도1(e)에 나타내는 바와 같이 현상단계(S110)를 실행한다. 현상단계에서 레지스트층(12)을 현상한다. 레지스트층(12)의 현상은, 예를 들면 프리베이크를 실시한 후, 기판(11)을 현상액 통으로 넣음으로써 실행된다. 본 실시형태에서, 레지스트층(12)의 제1 노광부위(121)가 받은 조사량Ef는, 잠상형성 에너지양Ea를 초과하지 않는다. 제1 노광부위(121)에서 생성된 활성상태A의 양이 레지스트패턴 형성에 필요한 양보다 적기 때문에, 현상액에서 제1 노광부위(121)는 용해되지 않는다. 한편, 레지스트층(12)의 제2 노광부위(122)가 받은 에너지양Es(즉, Ef+Ep)는, 필요 에너지양Et를 초과한다. 제2 노광부위(122)에서는, 생성된 활성상태A와 변환에 의해 얻어진 활성상태A 의 총 합이, 레지스트패턴 형성에 필요한 양을 초과하므로, 현상액에 의해 제2 노광부위(122)는 용해된다. 이와 같이, 기판(11) 상에는 소정의 레지스트패턴이 형성된다.
도1 및 도2를 참조하여 본 실시형태의 레지스트패턴 형성방법을 설명하였다. 본 실시형태에서는, 잠상형성 에너지빔을 조사하여 패턴잠상을 형성하기 전에, 활성화 에너지빔이 조사에 의해 레지스트층(12)에 활성상태A를 생성한다. 패턴잠상 형성단계에서 생성하는 활성상태A의 양을 줄일 수 있으므로, 잠상형성 에너지빔의 조사시간을 단축할 수 있고, 또는 저렴하고 저출력의 광원을 잠상형성 에너지빔의 광원으로서 사용할 수 있다. 예를 들면 잠상형성 에너지빔으로서 EUV를 이용하여, EUV를 레지스트층(12)에 패턴형상으로 조사하여 패턴잠상을 형성할 경우에는, 본 실시형태에 의하면, EUV의 조사시간을 단축할 수 있으므로, 저출력의 광원을 이용해도 높은 스루풋이 얻어진다. 이와 같이, 본 실시형태에 의하면, 트레이드오프 관계를 해결하고, 패턴 해상도를 유지하면서 레지스트층(12)의 감도를 향상시킬 수 있다. 또 노광공정의 스루풋 향상이 실현되어, 노광시스템의 대폭적인 저비용화가 달성된다. 또한 저출력 광원이 적용 가능하므로, 광원장치, 노광장치 내 소모부품의 수명이 길어져, 보수 및 운전비용도 대폭으로 저감할 수 있다.
또, 본 실시형태에서는, 활성화단계와 패턴잠상 형성단계 사이에, 레지스트층(12)의 활성의 감쇠를 억제하는 감쇠억제단계를 실행한다. 활성의 감쇠를 억제하지 않을 경우에는, 활성화단계 후에, 시간의 경과에 따라 에너지가 흩어져, 레지스트층(12)의 활성상태 양이 감쇠한다. 따라서 패턴잠상 형성단계에서는, 감쇠한 만큼의 활성상태를 생성하기 위한 에너지를 다시 레지스트층에 공급할 필요가 있다. 한편, 본 실시형태에서는 레지스트층(12)의 활성의 감쇠를 억제하므로, 레지스트층(12)의 활성상태가 유지되며, 패턴잠상 형성단계에서 레지스트층(12)으로 공급할 에너지양이 비교적 적어도 된다. 그 결과, 레지스트층(12)의 감도가 향상되며, 노광시간을 단축하여, 노광공정의 스루풋을 더욱 향상시킬 수 있다.
그리고 본 실시형태에서는, 활성화단계에서, 레지스트층(12) 내의 영역에 걸쳐 활성화 에너지빔을 조사하고, 잠상형성단계에서, 레지스트층(12) 내에, 패턴 형상으로 잠상형성 에너지빔을 조사했으나, 본 발명은 이에 한정되지 않는다. 활성화 에너지빔의 조사량Ef와 잠상형성 에너지빔의 조사량Ep의 총 합이 필요 에너지양Et를 초과할 경우, 활성화단계에서, 레지스트층(12) 내에, 패턴 형상으로 활성화 에너지빔을 조사한 후, 잠상형성단계에서, 레지스트층(12) 내 영역에 걸쳐 잠상형성 에너지빔을 조사해도 된다. 또, 이 경우, 활성화 에너지빔은, 형성할 패턴의 해상도에 따라 선택할 수 있으며, 예를 들면 UV, DUV, EUV X선 등의 전자파여도 되고, 전자선이어도 된다. 잠상형성 에너지빔은 예를 들면 가시광, UV, DUV, EUV 등의 전자파여도 되고, 또 전자선이나 이온빔이어도 된다.
도4는, 본 발명의 다른 실시형태에 관한 레지스트패턴 형성방법의 공정을 설명하는 도이다. 이하, 도4 및 도1을 참조하여 본 실시형태의 레지스트패턴 형성방법을 설명한다. 본 실시형태에서는, 운반단계(S104)를 추가로 포함하는 것을 제외하고, 도1~도3을 참조하여 설명한 실시형태와 마찬가지의 단계를 가지므로, 설명에 필요한 부분만을 도시하여 설명한다.
운반단계는, 활성화단계와 패턴잠상 형성단계 사이에 실행된다. 운반단계에서, 활성화단계가 실시되는 위치로부터 패턴잠상 형성단계가 실시되는 위치로 기판(11)을 운반한다. 운반단계는, 예를 들면 활성화단계가 실시되는 위치와 패턴잠상 형성단계가 실시되는 위치 사이를 이동하는 스테이지와 같은 운반수단에 의해 실행된다. 또, 도4에 나타내는 바와 같이, 운반단계는 감쇠억제단계와 동시에 실행될 수 있다. 이 경우, 운반 도중에도 레지스트층(12)의 활성의 감쇠를 억제할 수 있으므로 바람직하다.
도1 및 도4를 참조하여 본 실시형태의 레지스트패턴 형성방법을 설명한다. 본 실시형태에서는 운반단계를 추가로 포함하므로, 활성화단계가 실시되는 위치와 패턴잠상 형성단계가 실시되는 위치가 다른 경우에는 기판(11)을 적절한 위치로 운반할 수 있다.
도5는 본 발명의 또 다른 실시형태에 관한 레지스트패턴 형성방법의 공정을 설명하는 도이다. 이하, 도5 및 도1을 참조하여 본 실시형태의 레지스트패턴 형성방법을 설명한다. 본 실시형태의 레지스트패턴 형성방법은, 레지스트층 형성단계(S101), 활성화단계(S103), 감쇠억제단계(S105), 패턴잠상 형성단계(S107) 및 현상단계(S110)를 포함한다. 본 실시형태에서 활성화단계와 잠상형성단계는 동시에 실행된다. 레지스트층 형성단계와 현상단계는 도1~도3을 참조하여 설명한 실시형태와 마찬가지로 실행되므로, 설명에 필요한 부분만을 도시하여 설명한다.
본 실시형태에서 활성화 에너지빔은, 기판(11)을 투과하여 레지스트층(12)을 하방에서 조사하도록 활성화 에너지원(21)으로부터 출사된다. 잠상형성 에너지빔은, 레지스트층(12)을 상방에서 조사하도록 잠상형성 에너지원(22)으로부터 출사된다. 활성화 에너지빔으로서, 기판(11)을 투과할 수 있는 빔을 사용한다. 기판(11)이 투광성을 갖는 경우, 활성화 에너지빔은 가시광, UV, DUV, EUV,X선 등의 전자파일 수 있으나, 그 중 X선은 투과력이 강하며 레지스트층(12)에 도달하기 쉬우므로 적합하다.
본 실시형태에 의하면, 활성화단계가 종료되고 나서 잠상형성단계가 실행되기까지의 시간을 생략할 수 있다. 그 결과, 노광공정의 스루풋을 더욱 향상시킬 수 있고, 시간의 경과에 따른 레지스트층(12) 활성의 감쇠를 효과적으로 억제할 수 있다. 또한 활성화단계와 잠상형성단계를 동시에 실행하는 경우에도, 레지스트층(12)에 대하여 활성화 에너지빔이 도달한 후에 잠상형성 에너지빔이 도달하므로, 레지스트층(12) 활성의 감쇠를 확실하게 억제하도록, 활성화단계 및 잠상형성단계는 감쇠억제단계와 동시에 실행될 수 있다.
그리고 도5에서, 활성화 에너지빔은 레지스트층(12)을 하방에서 조사하고, 잠상형성 에너지빔은 레지스트층(12)을 상방에서 조사했으나, 본 발명은 이에 한정되지 않는다. 활성화 에너지빔은 레지스트층(12)을 상방에서 조사하고, 잠상형성 에너지빔은 레지스트층(12)을 하방에서 조사해도 된다. 또, 활성화 에너지빔 또는 잠상형성 에너지빔은 모두 레지스트층(12)에 대하여 비스듬히 조사해도 된다.
도6은 본 발명의 또 다른 실시형태에 관한 레지스트패턴 형성방법의 공정을 설명하는 도이다. 이하, 도6, 도1 및 도2를 참조하여 본 실시형태의 레지스트패턴 형성방법을 설명한다. 본 실시형태의 레지스트패턴 형성방법은, 레지스트층 형성단계(S101), 활성화단계(S103), 감쇠억제단계(S105), 패턴잠상 형성단계(S107) 및 현상단계(S110)를 포함한다. 활성화단계가 2개의 조사단계에 의해 실행되는 점과, 패턴잠상 형성단계가 1개의 조사단계에 의해 실행되는 점을 제외하고, 그 밖의 단계는 도1~도3을 참조하여 설명한 실시형태와 마찬가지로 실행되므로, 설명에 필요한 부분만을 도시하여 설명한다.
본 실시형태에서, 도6(a) 및 도6(b)에 나타내는 바와 같이 활성화단계는, 패턴형상 조사단계(S103a)와 영역 조사단계(S103b)를 포함한다. 도6(a)에 나타내는 바와 같이 패턴형상 조사단계에서, 레지스트층(12) 내로, 패턴형상으로 활성화 에너지빔을 조사한다. 도6(b)에 나타내는 바와 같이 영역 조사단계에서, 레지스트층(12) 내의 영역에 걸쳐 활성화 에너지빔을 조사한다. 패턴형상 조사단계와 영역 조사단계에 있어서 활성화 에너지빔의 합계 조사량Ef는, 잠상형성 에너지양Ea를 초과하지 않는 조사량이다. 이와 같이 활성화단계를 실행한 후, 레지스트층(12)은, 활성화 에너지빔에 의해 1회만 조사된 제1 노광부위(123)와, 활성화 에너지빔에 의해 2회 조사된 제2 노광부위(124)를 갖는다.
활성화단계가 종료되어, 도6(c)에 나타내는 바와 같이 감쇠억제단계를 실행한 후, 패턴잠상 형성단계를 실행한다. 도6(d)에 나타내는 바와 같이 패턴잠상 형성단계는, 패턴형상 조사단계(S107a)를 포함한다. 패턴형상 조사단계에서, 레지스트층(12) 내로, 패턴형상으로 잠상형성 에너지빔을 조사한다. 구체적으로는, 레지스트층(12)의 제2 노광부위(124)에 대하여 잠상형성 에너지빔을 조사한다. 잠상형성 에너지빔의 조사량은, 조사후에 제2 노광부위(124)에서의 활성화 에너지빔 조사량(Ef)과 잠상형성 에너지빔 조사량Ep의 총 합이 필요 에너지양Et를 초과하는 양으로 한다.
본 실시형태에서, 레지스트층(12)의 제1 노광부위(123)가 받은 조사량Ef는 잠상형성 에너지양Ea를 초과하지 않으므로, 현상단계에서 제1 노광부위(123)는 용해되지 않는다. 한편, 레지스트층(12)의 제2 노광부위(124)가 받은 조사량Es는 필요 에너지양Et를 초과하므로, 현상단계에서 제2 노광부위(124)는 용해된다. 이와 같이 기판(11) 상에는 소정의 레지스트패턴이 형성된다.
여기서, 도6에서, 활성화단계는 2개의 조사단계에 의해 실행되고, 패턴잠상 형성단계는 1개의 조사단계에 의해 실행되었으나, 본 발명은 이에 한정되지 않는다. 활성화단계가 1개의 조사단계에 의해 실행되고, 패턴잠상 형성단계가 2개의 조사단계에 의해 실행되어도 되며, 활성화단계 및 패턴잠상 형성단계 모두 2개 이상의 조사단계에 의해 실행되어도 된다. 예를 들면 활성화단계가 영역 조사단계를 포함하며, 패턴잠상 형성단계가 영역 조사단계와 패턴형상 조사단계를 포함해도 된다.
또, 활성화단계 또는 패턴잠상 형성단계가 2개의 조사단계에 의해 실행될 경우, 2개의 조사단계는 마찬가지의 단계(영역 조사단계와 패턴잠상 형성단계 중 어느 한쪽)라도 되며, 다른 단계라도 된다. 다른 조사단계에 의해 실행될 경우, 영역 조사단계와 패턴잠상 형성단계 중 어느 한쪽이 선행되어 실행되어도 된다.
또한 도6에서, 활성화단계와 패턴잠상 형성단계는 모두 패턴형상 조사단계를 포함했으나, 본 발명은 이에 한정되지 않는다. 레지스트층(12)에 패턴잠상을 형성할 수 있으면 되고, 활성화단계와 패턴잠상 형성단계 중 어느 한쪽이 패턴형상 조사단계를 포함해도 된다.
또, 도시하지 않으나, 본 발명의 레지스트패턴 형성방법은 노광공정에 있어서 일반적으로 실행되는 처리 단계를 추가로 포함해도 된다. 예를 들면 패턴잠상 형성단계 후에 실행되는 열처리(PEB. 예를 들면 펄스 열처리)단계나, 레지스트층을 포지티브형과 네가티브형 사이로 반전시키는 변질처리 단계를 추가로 포함해도 된다.
이하, 도7~도10을 참조하면서 구체예를 이용하여 본 실시형태의 레지스트패턴 형성방법을 설명한다. 도7은 본 발명의 레지스트패턴 형성방법의 구체예1을 설명하는 도이고, 도8은 본 발명의 레지스트패턴 형성방법의 구체예2를 설명하는 도이며, 도9는 본 발명의 레지스트패턴 형성방법의 구체예3을 설명하는 도이고, 도10은 본 발명의 레지스트패턴 형성방법의 구체예4를 설명하는 도이다. 또한 이하의 구체예에서는 레지스트층(12)으로서 포지티브형 화학증폭 레지스트를 사용한다.
[구체예1]
도7(a)에 나타내는 바와 같이, 활성화단계를 실행한다. 활성화단계에서, 활성화 에너지빔을 패턴형상으로 조사한다. 활성화단계를 실행하면, 활성화 에너지빔에 의해 패턴형상으로 조사된 부분에는 활성상태A와 활성상태B의 양쪽, 혹은 활성상태B만 생성된다. 이때, 패턴형상의 조사량이 낮기 때문에, 현상단계를 실행해도 레지스트층(12)에 레지스트패턴이 형성되지 않는다.
다음 도7(b)에 나타내는 바와 같이, 감쇠억제단계를 실행한다. 감쇠억제단계에서, 레지스트층(12)을 불활성가스 분위기 또는 진공 분위기의 환경에 위치시킨다. 레지스트층(12)의 활성상태A와 활성상태B의 감쇠가 억제된다.
감쇠억제단계와 동시에 패턴잠상 형성단계를 실행한다. 잠상형성단계에서, 잠상형성 에너지빔을 영역에 걸쳐 조사한다. 도7(b)에 나타내는 바와 같이, 잠상형성 에너지빔으로서, 미조사(未照射)의 레지스트층(12)에서는 레지스트 반응이 일어나지 않고 활성상태B만을 활성화하는 에너지빔을 적절하게 선택한다. 잠상형성 에너지빔의 조사에 의해, 활성상태B 및/또는 활성상태A 혹은 활성상태A'(구조는 활성상태A와 상이한 산 또는 산의 전구체)가 생성된다.
이와 같이 패턴잠상 형성단계에서는, 잠상형성 에너지빔을 영역에 걸쳐 조사해도, 맨 처음에 패턴형상으로 조사한 부분에만 산 전구체가 생성되고, 또, 활성상태B는 맨 처음에 패턴형상으로 조사한 부분에만 영역조사에 의해 재생된다. 이로써, 대량의 산이 맨 처음에 패턴형상으로 조사한 부분에만 생성되며, 염기인 ?처(Quencher)와 산이 중화된 후에도 산의 잠상이 맨 처음에 패턴형상으로 조사한 부분에만 생성된다.
그 후, 가열단계, 현상단계를 실행하여, 도7(c)에 나타내는 바와 같이 레지스트패턴이 형성된다.
[구체예2]
도8(a)에 나타내는 바와 같이, 활성화단계를 실행한다. 활성화단계에서, 활성화 에너지빔을 패턴형상으로 조사한다. 활성화단계를 실행하면, 활성화 에너지빔에 의해 패턴형상으로 조사된 부분에는 활성상태A와 활성상태B의 양쪽, 혹은 활성상태B만 생성된다. 이때, 패턴형상의 조사량이 낮기 때문에, 현상단계를 실행해도 레지스트층(12)에 레지스트패턴이 형성되지 않는다.
다음 도8(b)에 나타내는 바와 같이, 감쇠억제단계를 실행한다. 감쇠억제단계에서, 레지스트층(12)을 불활성가스 분위기 또는 활성액체의 환경에 위치시켜, 활성상태B를 반응시킨다. 활성상태B는, 이 다음의 패턴잠상 형성단계에서 반응효율이 높은 활성상태α/안정물질α1로 변환된다.
다음에, 도8(C)에 나타내는 바와 같이, 활성 분위기 또는 활성액체의 환경에서 패턴잠상 형성단계를 실행한다. 잠상형성단계에서, 잠상형성 에너지빔을 영역에 걸쳐 조사한다. 잠상형성 에너지빔으로서, 미조사(未照射)의 레지스트층(12)에서는 레지스트 반응이 일어나지 않고 활성상태α/안정물질α1만을 활성화하는 에너지빔을 적절하게 선택한다. 잠상형성 에너지빔의 조사에 의해, 활성상태B 및/또는 활성상태A 혹은 활성상태A'가 생성된다. 활성상태B는 활성 분위기 또는 활성액체와 반응하여, 다시 활성상태α/안정물질α1로 변환된다.
이와 같이 패턴잠상 형성단계에서는, 잠상형성 에너지빔을 영역에 걸쳐 조사해도, 맨 처음에 패턴형상으로 조사한 부분에만 산 전구체가 생성되고, 또, 활성상태α/안정물질α1은 맨 처음에 패턴형상으로 조사한 부분에만 영역조사에 의해 재생된다. 이로써, 대량의 산이, 맨 처음에 패턴형상으로 조사한 부분에만 생성되며, ?처와 산이 중화된 후에도 산의 잠상이 맨 처음에 패턴형상으로 조사한 부분에만 생성된다.
그 후, 가열단계, 현상단계를 실행하고, 도8(d)에 나타내는 바와 같이 레지스트패턴이 형성된다.
[구체예3]
도9(a)에 나타내는 바와 같이, 1회째의 활성화단계를 실행한다. 활성화단계에서, 활성화 에너지빔을 영역에 걸쳐 조사한다.
도9(b)에 나타내는 바돠 같이, 2회째의 활성화단계를 실행한다. 2회째의 활성화단계에서, 활성화 에너지빔을 패턴형상으로 조사한다. 활성화 에너지빔에 의해 패턴형상으로 조사된 부분에는 활성상태A와 활성상태B의 양쪽, 혹은 활성상태B만 생성된다. 이때, 패턴형상의 조사량이 낮기 때문에, 현상단계를 실행해도 레지스트층(12)에 레지스트패턴이 형성되지 않는다. 또, 2회째의 활성화단계를 실행하기 전에 1회째의 활성화단계를 실행함으로써, 2회째의 활성화단계에서 활성상태A와 활성상태B가 효율적으로 생성된다.
다음 도9(c)에 나타내는 바와 같이, 감쇠억제단계를 실행한다. 감쇠억제단계에서, 레지스트층(12)을 불활성가스 분위기 또는 진공분위기의 환경에 위치시킨다. 레지스트층(12)의 활성상태A와 활성상태B의 감쇠가 억제된다.
감쇠억제단계와 동시에 패턴잠상 형성단계를 실행한다. 잠상형성단계에서, 잠상형성 에너지빔을 영역에 걸쳐 조사한다. 잠상형성 에너지빔으로서, 미조사의 레지스트층(12)에서는 레지스트 반응이 일어나지 않고 활성상태B만을 활성화하는 에너지빔을 적절하게 선택한다. 잠상형성 에너지빔의 조사에 의해, 활성상태B 및 활성상태A 혹은 활성상태A'(구조는 활성상태A와 상이한 산의 전구체)가 생성된다.
이와 같이 패턴잠상 형성단계에서는, 잠상형성 에너지빔을 영역에 걸쳐 조사해도, 맨 처음에 패턴형상으로 조사한 부분에만 산 전구체가 생성되고, 또, 활성상태B는 맨 처음에 패턴형상으로 조사한 부분에만 영역조사에 의해 재생된다. 이로써, 대량의 산이, 맨 처음에 패턴형상으로 조사한 부분에만 생성되며, ?처와 산이 중화된 후에도 산의 잠상이 맨 처음에 패턴형상으로 조사한 부분에만 생성된다.
그 후, 가열 단계, 현상단계를 실행하여, 도9(d)에 나타내는 바와 같이, 레지스트패턴이 형성된다.
[구체예4]
도10(a)에 나타내는 바와 같이, 1회째의 활성화단계를 실행한다. 활성화단계에서, 활성화 에너지빔을 영역에 걸쳐 조사한다.
도10(b)에 나타내는 바돠 같이, 2회째의 활성화단계를 실행한다. 2회째의 활성화단계에서, 활성화 에너지빔을 패턴형상으로 조사한다. 활성화 에너지빔에 의해 패턴형상으로 조사된 부분에는 활성상태A와 활성상태B의 양쪽, 혹은 활성상태B만 생성된다. 이때, 패턴형상의 조사량이 낮기 때문에, 현상단계를 실행해도 레지스트층(12)에 레지스트패턴이 형성되지 않는다. 또, 2회째의 활성화단계를 실행하기 전에 1회째의 활성화단계를 실행함으로써, 2회째의 활성화단계에서 활성상태A와 활성상태B가 효율적으로 생성된다.
다음 도10(c)에 나타내는 바와 같이, 감쇠억제단계를 실행한다. 감쇠억제단계에서, 레지스트층(12)을 활성가스 분위기 또는 활성액체의 환경에 위치시켜, 활성상태B를 반응시킨다. 활성상태B는, 이 다음의 패턴잠상 형성단계에서, 반응효율이 높은 활성상태α/안정물질α1로 변환된다.
다음에, 도10(d)에 나타내는 바와 같이, 활성 분위기 또는 활성액체의 환경에서 패턴잠상 형성단계를 실행한다. 잠상형성단계에서, 잠상형성 에너지빔을 영역에 걸쳐 조사한다. 잠상형성 에너지빔으로서, 미조사의 레지스트층(12)에서는 레지스트 반응이 일어나지 않고 활성상태α/안정물질α1만을 활성화하는 에너지빔을 적절하게 선택한다. 잠상형성 에너지빔의 조사에 의해, 활성상태B 및 활성상태A 혹은 활성상태A'가 생성된다. 활성상태B는 활성 분위기 또는 활성액체와 반응하여, 다시 활성상태α/안정물질α1로 변환된다.
이와 같이 패턴잠상 형성단계에서는, 잠상형성 에너지빔을 영역에 걸쳐 조사해도, 맨 처음에 패턴형상으로 조사한 부분에만 산 전구체가 생성되고, 또, 활성상태α/안정물질α1은 맨 처음에 패턴형상으로 조사한 부분에만 영역조사에 의해 재생된다. 이로써, 대량의 산이, 맨 처음에 패턴형상으로 조사한 부분에만 생성되며, ?처와 산이 중화된 후에도 산의 잠상이 맨 처음에 패턴형상으로 조사한 부분에만 생성된다.
그 후, 가열 단계, 현상단계를 실행하여, 도10(e)에 나타내는 바와 같이, 레지스트패턴이 형성된다.
구체예1~구체예4에서 설명한 바와 같이, 본 발명의 레지스트패턴 형성방법에 의해, 통상보다 훨씬 낮은 선량의 패턴형상의 조사에 의해, 화학증폭 레지스트든 비화학증폭 레지스트든, 또 포지티브형 레지스트든 네가티브형 레지스트든 적절한 레지스트 설계, 적절한 에너지빔원의 선택에 의해 고해상도 레지스트패턴을 형성할 수 있다.
여기서, 전술한 구체예에서는, 패턴잠상 형성단계에서, 잠상형성 에너지빔으로서, 미조사의 레지스트층(12)과 반응하지 않는 에너지빔을 선택했으나, 본 발명은 이에 한정되지 않는다. 패턴잠상 형성단계에서, 잠상형성 에너지빔으로서, 미조사의 레지스트층(12)과 네가티브형의 반응이 일어나도록 에너지빔을 선택해도 된다.
도1~도10을 참조하여 설명한 실시형태의 레지스트패턴 형성방법에서는, 활성의 감쇠를 억제하도록 감쇠억제단계를 함유했으나, 본 발명은 이에 한정되지 않는다. 이하, 본 발명의 다른 실시형태에 관한 레지스트패턴 형성방법을 설명한다. 본 실시형태의 레지스트패턴 형성방법은, 레지스트층 형성단계와, 활성화단계와, 패턴잠상 형성단계와, 현상단계를 함유한다. 레지스트층 형성단계, 활성화단계 및 현상단계에 대해서는, 도1~도10을 참조하여, 전술한 실시형태의 레지스트층 형성단계(S101), 활성화단계(S105) 및 현상단계(S110)와 마찬가지로 실시되므로, 설명을 생략한다.
패턴잠상 형성단계에서는, 레지스트층이 활성화된 상태에서, 잠상형성 에너지빔의 조사에 의해 레지스트층에 패턴잠상을 형성한다. 구체적으로는, 레지스트층 내에 활성상태B가 존재하는 상태에서 레지스트층에 패턴잠상을 형성한다. 패턴잠상 형성단계는, 활성상태B가 많이 존재하는 상태에서 실시되는 것이 바람직하다. 레지스트층이 활성화된 상태에서 패턴잠상을 형성하면, 조사에 의해 활성상태B로부터 활성상태A를 생성시킬 수 있다. 여기서, 본 실시형태의 레지스트패턴 형성방법은 레지스트층 활성의 감쇠를 억제하는 감쇠억제단계를 추가로 구비해도 된다. 감쇠억제단계는, 도1~도10을 참조하여 설명한 실시형태의 감쇠억제단계(S105)와 마찬가지로 실시되므로, 여기서는 설명을 생략한다.
도1~도10을 참조하여 설명한 실시형태의 레지스트패턴 형성방법에서는, 레지스트층(12)에 활성상태B를 생성함과 더불어, 활성상태B로부터 직접 활성상태A를 생성하거나, 또는 활성상태B를 활성상태α/안정물질α1로 변환시킨 후에 활성상태α/안정물질α1을 이용하여 활성상태A를 생성했으나, 본 발명은 이에 한정되지 않는다. 레지스트층에 안정물질B1을 생성함과 동시에, 안정물질B1로부터 직접 활성상태A를 생성하거나, 또는 안정물질B1을 활성상태α/안정물질α1로 변환시킨 후에 활성상태α/안정물질α1을 이용하여 활성상태A를 생성해도 된다. 이하, 본 발명의 다른 실시형태에 관한 레지스트패턴 형성방법을 설명한다.
본 실시형태의 레지스트패턴 형성방법은, 레지스트층 형성단계와 안정물질 생성단계와 변환단계와 패턴잠상 형성단계와 현상단계를 함유한다. 레지스트층 형성단계 및 현상단계에 대해서는, 도1~도10을 참조하여 전술한 실시형태의 레지스트층 형성단계(S101) 및 현상단계(S110)와 마찬가지로 실시되므로, 설명을 생략한다.
안정물질 생성단계에서, 활성화 에너지빔의 조사에 의해 레지스트층에 안정물질을 생성한다. 구체적으로는, 활성화 에너지빔의 조사에 의해, 레지스트층(12)에는 활성상태A와 안정물질B1의 양쪽이 생성된다. 혹은 레지스트층(12)에는 안정물질B1만이 생성된다. 여기서 안정물질B1은, 예를 들면 방향족 요소화합물, 방향족 황화합물이다.
변환단계에서, 레지스트층(12) 내의 안정물질B1을 변환시킨다. 구체적으로는, 후술하는 패턴잠상 형성단계(S107)가 실행될 때까지, 환경의 제어에 의해, 안정물질 생성단계에서 생성된 레지스트층(12) 내의 안정물질B1을 활성상태α/안정물질α1로 변환시킨다. 변환 수법으로는, 전술한 실시형태에서 설명한 바와 같이, 활성가스 분위기 또는 활성액체를 사용할 수 있다.
패턴잠상 형성단계에서, 잠상형성 에너지빔의 조사에 의해, 안정물질B1이 생성된 레지스트층에 패턴잠상을 형성한다. 구체적으로는, 잠상형성 에너지빔은 안정물질B1 및 활성상태α/안정물질α1을 활성상태A로 변환하는 빔이다. 잠상형성 에너지빔에 의해 조사된 레지스트층(12) 부위에서는, 안정물질B1 및 활성상태α/안정물질α1은 안정물질B1 및 활성상태A 혹은 활성상태A'로 변환된다.
이하, 도11을 참조하여 본 발명에 의한 레지스트잠상 형성장치(200)의 실시형태를 설명한다. 레지스트잠상 형성장치(200)는, 활성화장치(210)와 패턴잠상 형성부(220)를 구비한다. 활성화장치(210)가 기판(11) 상에 형성된 레지스트층(12)을 활성화한 후, 패턴잠상 형성부(220)가 레지스트층(12)에 패턴잠상을 형성한다. 여기서 레지스트층(12)은 기판(11) 상에 직접 형성되어도 되고, 혹은 기판(11) 상에 다른 층을 개재하여 형성되어도 된다.
활성화장치(210)는, 활성화 챔버(212)와 활성화 에너지원(214)을 갖는다. 활성화 챔버(212)는 기판(11) 상에 형성된 레지스트층(12)을 수납할 수 있다. 활성화 챔버(212) 내는, 불활성가스 분위기, 활성가스 분위기 또는 진공 분위기임이 바람직하다. 활성가스 분위기는, 예를 들면 분압이 제어된 수소가스를 함유한다. 활성화 챔버(212)는, 수납된 기판(11)의 온도를 -10℃에서 100℃ 범위에서 제어 가능한 것이 바람직하다.
활성화 에너지원(214)은, 활성화 챔버(212) 내의 레지스트층(12)을 활성화시키기 위한 활성화 에너지빔을 출사한다. 활성화 에너지원(214)에서 조사되는 활성화 에너지빔은, 가시광, UV, DUV, EUV 등의 전자파이다. 또는, 활성화 에너지빔은 전자선 또는 이온빔이라도 된다. 예를 들면 활성화 에너지원(214)은 이온빔 조사부, 전자선 조사부 또는 전자파 조사부를 포함한다.
여기서는, 활성화장치(210)가 기판(11) 상에 형성된 레지스트층(12)을 활성화한 후, 기판(11)은 활성화장치(210)에서 패턴잠상 형성부(220)까지 운반된다. 기판(11)이 활성화장치(210)에서 패턴잠상 형성부(220)까지 운반되는 동안, 레지스트잠상 형성장치(200) 내부는 불활성가스 분위기, 활성가스 분위기 또는 진공 분위기임이 바람직하다. 이로써, 활성화장치(210)에 의한 레지스트층(12) 활성의 감쇠를 억제할 수 있다. 잠상형성 챔버(222)는, 수납한 기판(11)의 온도를 -10℃에서 100℃ 범위에서 제어 가능한 것이 바람직하다.
패턴잠상 형성부(200)는 잠상형성 챔버(222)와 잠상형성 에너지원(224)을 갖는다. 잠상형성 챔버(222)는 기판(11) 상에 형성된 레지스트층(12)을 수납할 수 있다. 잠상형성 챔버(222) 내는, 불활성가스 분위기, 활성가스 분위기 또는 진공 분위기임이 바람직하다.
잠상형성 에너지원(224)은, 잠상형성 챔버(222) 내의 레지스트층(12)에 패턴잠상을 형성하기 위한 잠상형성 에너지빔을 출사한다. 예를 들면 잠상형성 에너지빔은, 예를 들면 가시광, UV, DUV, EUV 등의 전자파이다. 도11에서, 잠상형성 에너지빔은 거울에 의해 반사되어 잠상형성 챔버(222) 내로 도입된다. 단, 잠상형성 에너지빔은 이온빔 또는 전자선이라도 된다. 예를 들면 잠상형성 에너지원(224)은 이온빔 조사부, 전자선 조사부 또는 전자파 조사부를 포함한다.
또, 잠상형성 에너지원(224)은 활성화 에너지원(214)과 동일한 종류라도 되며, 다른 종류라도 된다. 활성화 에너지빔 및/또는 잠상형성 에너지빔으로서 EUV를 이용하는 경우, EUV의 파장은 1nm 이상 13.5nm 이하임이 바람직하며, 6nm 이상 13.5nm 이하임이 더욱 바람직하다. 혹은 활성화 에너지빔 및/또는 잠상형성 에너지빔으로서 전자선을 이용하는 경우, 전자선의 가속 에너지는 10keV 이상300keV 이하임이 바람직하며, 40keV 이상130keV 이하임이 더욱 바람직하다.
레지스트층(12)에 패턴잠상이 형성된 후, 레지스트층(12)은, 도시하지 않는 현상장치에서 현상되어도 된다. 현상에 의해, 소정 패턴의 레지스트층(12)이 출현한다.
전술한 바와 같이, 활성화 에너지원(214) 및 잠상형성 에너지원(224)의 한쪽으로부터 출사된 에너지빔은, 레지스트층(12) 내의 영역에 걸쳐 조사된다. 또 활성화 에너지원(214) 및 잠상형성 에너지원(224)의 다른 쪽으로부터 출사된 에너지빔은, 레지스트층(12)의 영역 내에 패턴형상으로 조사된다. 즉, 활성화 에너지원(214)은 패턴형상으로 에너지빔을 조사하는 패턴조사원, 및, 소정의 영역에 걸쳐 에너지빔을 조사하는 영역 조사원 중의 한쪽이며, 잠상형성 에너지원(224)은 패턴 조사원 및 영역 조사원 중의 다른 쪽이다.
예를 들면, 활성화 에너지원(214)이 레지스트층(12) 내 영역에 걸쳐 에너지빔을 조사하여 레지스트층(12)을 활성화한 후, 잠상형성 에너지원(224)이 상기 영역 내로, 패턴형상으로 에너지빔을 조사하여 레지스트층(12)에 소정 패턴의 잠상을 형성해도 된다. 혹은, 활성화 에너지원(214)이 레지스트층(12)의 영역 내로, 패턴형상으로 에너지빔을 조사하여 레지스트층(12)을 활성화한 후, 잠상형성 에너지원(224)이 상기 영역에 걸쳐 에너지빔을 조사하여 레지스트층(12)에 소정의 패턴잠상을 형성해도 된다.
여기서, 활성화 에너지원(214)이 소정의 영역에 걸쳐 에너지빔을 조사하는 영역 조사원인 경우, 활성화장치(210)는 에너지빔을 영역 형상으로 하기 위한 기구를 추가로 구비할 수 있다. 예를 들면, 활성화장치(210)는 투영렌즈계 및 차단마스크를 구비한다. 또, 활성화장치(210)는 투영렌즈계를 구비하지 않고 차단마스크만을 구비해도 된다. 차단마스크만을 구비하는 경우, 활성화장치(210)의 구성이 간단하게 되어 바람직하다. 또한 잠상형성 에너지원(224)이 소정의 영역에 걸쳐 에너지빔을 조사하는 영역 조사원인 경우에도 마찬가지로, 패턴잠상 형성부(220)는 투영렌즈계 및 차단마스크를 구비해도 되고, 차단마스크만을 구비해도 된다.
레지스트잠상 형성장치(200)는, 일례로서, 활성화 에너지원(214)을 구비하는 활성화장치(210), 및, 잠상형성 에너지원(224)을 구비하는 패턴잠상 형성부(220)에 더불어, 코터/디벨로퍼(coater/developer)(여기서는 도시하지 않음)를 추가로 구비하는 것이 바람직하다.
코터/디벨로퍼를 구비하는 레지스트잠상 형성장치(200)는 레지스트층(12)의 패턴형성을 이하와 같이 실행한다. 우선, 코터/디벨로퍼는 기판(11) 상에 스핀코트로 언더레이어를 형성하고, 언더레이어를 베이크한다.
다음에, 코터/디벨로퍼는 언더레이어 상에 레지스트층(12)을 코팅하고 레지스트층(12)을 프리베이크한다. 여기서, 필요에 따라 레지스트층(12) 상에 스핀코트에 의해 또 다른 층을 형성하고, 해당 층을 베이크해도 된다.
그 다음, 활성화장치(210)의 활성화 에너지원(214)은 레지스트층(12)에 에너지빔을 조사한다. 이로써 레지스트층(12)이 활성화된다.
다음에 패턴잠상 형성부(220)의 잠상형성 에너지원(224)은 레지스트층(12)에 에너지빔을 조사한다. 이로써 레지스트층(12)에 패턴잠상이 형성된다.
다음에 코터/디벨로퍼는 포스트베이크를 실행한다. 그후, 코터/디벨로퍼는 레지스트층(12)을 현상한다. 이로써, 소정의 패턴형상의 레지스트층(12)이 형성된다. 다음에 코터/디벨로퍼는 레지스트층(12)을 순수(純水)로 린스하여 포스트베이크(건조)를 실행한다. 이상과 같이 하여 레지스트층(12)에 패턴을 형성할 수 있다.
여기서, 기판(11)이, 코터/디벨로퍼, 레지스트층(12)을 활성화하는 장소, 레지스트층(12)에 패턴잠상을 형성하는 장소 사이에서 운반되는 경우, 운반은 소정의 불활성가스 분위기 하, 활성가스 분위기 하 또는 진공 분위기 하에서 실행되는 것이 바람직하다. 운반부재로서, 온도조정기능을 가진 스테이지가 적합하게 이용된다.
또, 코터/디벨로퍼는 활성화장치(210)의 활성화 챔버(212) 내에 배치되어도 되며, 혹은 패턴잠상 형성부(220)의 잠상형성 챔버(222) 내에 배치되어도 된다. 또한, 코터/디벨로퍼는 활성화장치(210) 및 패턴잠상 형성부(220)와 공통의 챔버 내에 배치되어도 된다.
도11을 참조하여 전술한 설명에서는, 활성화 챔버(212)에서 활성화 에너지원(214)으로부터 출사된 에너지빔이 조사되고, 잠상형성 챔버(222)에서 활성화 에너지원(214)과 상이한 잠상형성 에너지원(224)으로부터 출사된 에너지빔이 조사되었으나, 본 발명은 이에 한정되지 않는다. 도12를 참조하여 설명하는 바와 같이, 활성화 챔버(212) 및 잠상형성 챔버(222)에 동일 에너지원으로부터 에너지빔이 조사되어도 된다.
이하, 도12를 참조하여 본 발명에 의한 레지스트잠상 형성장치(200)의 실시형태를 설명한다. 도12에 나타낸 레지스트잠상 형성장치(200)에서, 에너지원(234)은 활성화장치(210) 및 패턴잠상 형성부(220) 양쪽의 에너지원이다. 본 실시형태의 레지스트잠상 형성장치(200)는, 활성화장치(210) 및 패턴잠상 형성부(220)가 동일 에너지원(234)으로부터 출사된 에너지빔에 의해 레지스트층(12)의 활성화 및 패턴잠상 형성을 실행하는 점을 제외하고, 도11을 참조하여 전술한 레지스트잠상 형성장치(200)와 마찬가지의 구성을 가지므로, 설명의 간략화를 위해 중복되는 기재를 생략한다.
*기판(11) 상에 형성된 레지스트층(12)이 활성화 챔버(212) 내에 수납되어 있는 경우, 에너지원(234)으로부터의 에너지빔은 활성화 챔버(212) 내의 레지스트층(12)으로 조사된다. 다음에, 기판(11) 상에 형성된 레지스트층(12)이 활성화 챔버(212)에서 잠상형성 챔버(222)로 운반된다. 레지스트층(12)이 잠상형성 챔버(222) 내에 수납되어 있는 경우, 에너지원(234)으로부터의 에너지빔은 잠상형성 챔버(222) 내 레지스트층(12)으로 조사된다. 에너지원(234)으로부터 출사되는 에너지빔의 경로에서, 에너지빔의 진행방향은 레지스트층(12)의 위치에 따라 바뀌어도 된다. 에너지빔의 진행방향 전환은 스위칭 수단(예를 들면 스위칭 미러)에 의해 실현된다.
여기서, 동일 에너지원(234)을 이용하여 레지스트층(12)의 활성화 및 잠상형성이 실행되므로, 레지스트층(12)으로 패턴형상의 전자파빔을 조사할 경우, 마스크를 이용하는 것이 바람직하다. 또 에너지원(234)의 강도가 비교적 높을 경우, 활성화 챔버(212) 및 잠상형성 챔버(222) 내의 다른 기판(11) 상의 레지스트층(12)에 대하여 동시에 레지스트층(12)의 활성화 및 잠상형성을 실행해도 된다.
또한 도11 및 도12를 참조하여 전술한 설명에서는, 기판(11) 상에 형성된 레지스트층(12)이 활성화된 후, 기판(11)은 활성화 챔버(212)에서 일단 꺼내어 져서 잠상형성 챔버(222)까지 운반되었으나, 본 발명은 이에 한정되지 않는다. 도13을 참조하여 설명하는 바와 같이, 기판(11)은 활성화 챔버(212)와 잠상형성 챔버(222)를 연락하는 연락경로를 지나서 활성화 챔버(212)에서 잠상형성 챔버(222)까지 반송되어도 된다.
이하, 도13을 참조하여 본 발명에 의한 레지스트잠상 형성장치(200)의 실시형태를 설명한다. 도 13에 나타낸 레지스트잠상 형성장치(200)는, 활성화 챔버(212)가 연락경로(231)를 개재하여 잠상형성 챔버(222)와 연락하는 점을 제외하고, 도11을 참조하여 전술한 레지스트잠상 형성장치(200)와 마찬가지의 구성을 가지므로, 설명의 간략화를 위해 중복 기재를 생략한다.
활성화장치(210)가 기판(11) 상에 형성된 레지스트층(12)에 에너지빔을 조사하여 활성화한 후, 기판(11)은 활성화 챔버(212)에서 잠상형성 챔버(222)로 연락경로(231)를 통해 운반된다. 기판(11)이 잠상형성 챔버(222)로 운반된 후, 레지스트잠상 형성장치(200)는 레지스트층(12)에 에너지빔을 조사하여 패턴잠상을 형성한다. 활성화 챔버(212)와 잠상형성 챔버(222)가 연락경로(231)를 통해 연락되어 있으므로, 활성화 챔버(212), 연락경로(231) 및 잠상형성 챔버(222)의 분위기를 비교적 쉽게 균일하게 할 수 있고, 레지스트층(12) 활성의 감쇠를 적합하게 억제할 수 있다. 여기서 연락경로(231)는 차동배기시스템의 일부로서 이용되어도 되고, 또 로드락 챔버(load lock chamber)로 열결되어도 된다.
도11~도13을 참조하여 전술한 설명에서는, 활성화장치(210) 및 패턴잠상 형성부(220)는 활성화 챔버(212) 및 잠상형성 챔버(222)를 각각 구비했으나, 본 발명은 이에 한정되지 않는다. 도14를 참조하여 설명하는 바와 같이, 활성화장치(210) 및 패턴잠상 형성부(220)의 챔버는 동일해도 된다.
이하, 도14를 참조하여 본 발명에 의한 레지스트잠상 형성장치(200)의 실시형태를 설명한다. 도14에 나타낸 본 실시형태의 레지스트잠상 형성장치(200)는, 레지스트층(12)의 활성화 및 패턴잠상 형성이 동일 챔버(232) 내에서 수행되는 점을 제외하고, 도11을 참조하여 전술한 레지스트잠상 형성장치(200)와 마찬가지의 구성을 가지므로, 설명의 간략화를 위해 중복 기재를 생략한다.
활성화장치(210)가 기판(11) 상에 형성된 레지스트층(12)에 에너지빔을 조사하여 활성화한 후, 기판(11)은 챔버(232) 내에서 운반된다. 기판(11)이 운반된 후, 레지스트잠상 형성장치(200)는 레지스트층(12)으로 에너지빔을 조사하여 패턴잠상을 형성한다. 레지스트층(12)을 활성화하기 위한 활성화 챔버와 레지스트층(12)에 잠상을 형성하기 위한 잠상형성 챔버가 하나의 챔버(232)로서 형성되므로, 챔버(232) 내의 분위기를 거의 균일하게 할 수 있어, 챔버 내의 분위기를 별개로 제어하지 않고 레지스트층(12) 활성의 감쇠를 적합하게 억제할 수 있다.
여기서, 도11~도14를 참조하여 전술한 설명에서, 레지스트층(12)의 활성화는 레지스트층(12)으로의 패턴잠상 형성과는 다른 장소에서 실행되었으나, 본 발명은 이에 한정되지 않는다. 레지스트층(12)으로의 패턴잠상 형성은 레지스트층(12)의 활성화와 동일한 장소에서 실행되어도 된다. 또 레지스트층(12)에 활성화 에너지빔 및 잠상형성 에너지빔을 동시에 조사하여, 레지스트층(12)으로의 패턴잠상 형성을 레지스트층(12)의 활성화와 거의 동시에 실행해도 된다.
또, 전술한 바와 같이, 활성화 에너지빔을 패턴형상으로 조사하고, 잠상형성 에너지빔을 영역에 걸쳐 조사해도 된다. 이하, 활성화 에너지빔을 패턴형상으로 조사하고, 잠상형성 에너지빔을 영역에 걸쳐 조사하는 경우에 적합하게 이용되는 레지스트재료를 설명한다.
본 실시형태의 레지스트재료는, 베이스 수지 및 증감체 전구체를 갖는 레지스트 조성물을 함유한다. 본 실시형태의 레지스트재료에 있어서 레지스트 조성물은, 제1 에너지빔(활성화 에너지빔)의 조사에 의해 증감체를 생성하고, 이 증감체에 의한 레지스트반응을 촉진시키는 제2 에너지빔(잠상형성 에너지빔)을 조사해도 산도 증감체도 생성하지 않는다. 본 실시형태의 레지스트재료(베이스 수지 및 증감체 전구체)는 제2 에너지빔(잠상형성 에너지빔)에 대하여 투명한 것이 바람직하다. 이와 같이 증감체 전구체에 제1 에너지빔(활성화 에너지빔)이 조사되면, 다른 파장에서 강한 흡수를 나타내는 증감체가 생성된다.
본 실시형태의 레지스트재료에 있어서, 제1 에너지빔의 조사에 의해 증감체 전구체로부터 생성된 증감체에 제2 에너지빔이 조사되면, 잠상형성 에너지빔을 흡수하여 레지스트반응을 촉진시킨다. 한편, 제1 에너지빔이 조사되지 않는 레지스트재료는 제2 에너지빔이 조사되어도 산도 증감체도 생성하지 않는다.
본 실시형태의 레지스트재료에 활성화 에너지빔을 패턴형상으로 조사하면, 패턴형상으로 증감체가 생성된다. 그 후, 잠상형성 에너지빔을 레지스트 조성물의 소정 영역에 조사하면, 증감체에 기인하여 레지스트 반응이 진행한다. 따라서 소정의 레지스트패턴을 간단하게 형성시킬 수 있다.
베이스 수지는, 메틸메타크릴레이트 수지(MMA 수지)를 포함하는 것이 바람직하다. 제1 에너지빔 및 제2 에너지빔의 적어도 한쪽의 조사에 기인하는 화학반응에는 중간체, 라디칼 및 이온(양이온 또는 음이온)의 적어도 한쪽이 관여하는데, MMA 수지는 중간체, 라디칼 및 이온을 소실(消失)되기 어렵게 하기 때문이다. 단, 베이스 수지는 폴리하이드록시스티렌 수지(PHS 수지)를 포함한 것이라도 된다. 혹은, 베이스 수지는, MMA 수지 및 PHS 수지의 혼합형이라도 된다. 또 베이스 수지는 고분자 화합물만이 아니라 저분자 화합물을 함유한 것이라도 된다.
또, 베이스 수지는 제1 에너지빔 및 제2 에너지빔의 적어도 한쪽에 의해 분해되어, 중간체, 라디칼 및 이온을 생성해도 된다. 특히, 베이스 수지는, 제1 에너지빔 또는 제2 에너지빔으로서 전자선 또는 EUV빔을 이용할 경우, 비교적 쉽게 분해되기 쉽다.
증감체 전구체는, 예를 들면 비스(4-메톡시페닐)메탄올(DOMeBzH)、디메톡시벤즈히드롤 유도체(DOBzMM) 및 트리메톡시벤즈히드롤(TriOMeBzH)의 적어도 1개를 함유한다.
증감체 전구체는 베이스 수지에 혼합되어도 된다. 예를 들면 증감체 전구체와 베이스 수지의 비율은, 후술하는 실시예에서 기재할 것이다. 혹은 증감체 전구체는 베이스 수지 내에 결합되어도 된다. 예를 들면 증감체 전구체는 베이스 수지에 결합되어 있다.
레지스트재료에 활성화 에너지빔이 조사되면, 증감체 전구체로부터 증감체가 생성된다. 예를 들면 활성화 에너지빔은 전자선 또는 EUV빔이다. 혹은 활성화 에너지빔은 ArF 레이저빔이라도 된다.
증감체에 잠상형성 에너지빔을 조사하면, 레지스트재료에 잠상이 형성된다. 전술한 바와 같이, 잠상형성 에너지빔의 조사는 대기 중에서 실시되어도 되고, 혹은 진공 중에서 실시되어도 된다. 예를 들면 잠상형성 에너지빔은 UV빔이다.
또, 레지스트 조성물은 잠상형성 에너지빔을 흡수하지 않는다. 전형적으로는, 잠상형성 에너지빔으로서 활성화 에너지빔보다 장파장의 에너지빔이 이용된다. 단, 본 발명은 이에 한정되지 않으며, 잠상형성 에너지빔으로서 활성화 에너지빔보다 단파장의 에너지빔이 이용되어도 된다.
레지스트 조성물은 산 발생제(Photo Acid Generator:PAG)를 함유하는 것이 바람직하다. 산 발생제는, 제1 에너지빔을 흡수하며 제2 에너지빔을 흡수하지 않는다. 레지스트재료가 화학증폭형인 경우, 레지스트 조성물은 베이스 수지 및 증감체 전구체에 더불어 산 발생제를 갖는다. 여기서, 동일 화합물이 증감체 전구체 및 산 발생제의 양쪽으로서 기능해도 된다.
또, 레지스트 조성물은 ?처를 함유해도 된다. 예를 들면 ?처는 산과 중화하는 것이라도 된다. 또 ?처는 증감체의 전구체가 되는 반응 중간체를 비활성화(Deactivation)시키는 것이라도 된다.
레지스트재료는, 화학증폭계여도 되며 비화학증폭계여도 된다. 레지스트재료가 화학증폭계인 경우, 증감체는 잠상형성 에너지빔을 흡수하여 산 및 증감체를 발생시키고, 이로써 레지스트 반응이 진행한다. 예를 들면 잠상형성 에너지빔의 조사에 의해 증감체의 여기상태가 생성된다. 증감체의 여기상태로부터의 전자이동에 의해 산 발생제는 해리형 전자부가반응을 일으켜 분해되어, 산과 여기 전의 증감체를 새로 생성한다. 산과 증감체는, 증감체가 존재하는 영역에서 잠상형성 에너지빔을 계속 노광시키면 산 발생제가 거의 소실될 때까지 생성된다.
여기서, 도15를 참조하여 일반적인 화학증폭형 레지스트재료에서의 산 및 ?처의 농도변화를 설명한다. 도15는 일반적인 레지스트재료에서의 산 및 ?처의 농도변화를 나타낸다. 이 레지스트재료는 산 발생제 및 ?처를 가지며, 자외선광을 조사하기 전, 산 발생제 및 ?처의 농도는 각 영역에서 거의 일정하다.
레지스트재료를 비교적 높은 강도의 자외선광으로 소정의 패턴으로 조사하면, 산은 농도분포A1에 나타내는 바와 같이 발생한다. 그 후, 산과 ?처는 중화되며, 레지스트재료 내의 산의 농도분포는 농도분포A1에서 농도분포A2로 변화하고, 레지스트재료 내의 ?처의 농도분포는 농도분포Q1에서 농도분포Q2로 변화한다.
도16을 참조하여, 본 발명에 의한 레지스트재료의 실시형태에 있어서의 산, 증감체, ?처의 농도변화를 설명한다. 도16(a)는 활성화 에너지빔의 조사 직후의 농도를 나타내고, 도16(b)는 활성화 에너지빔의 조사에 의해 생성된 산과 ?처가 중화된 후의 농도를 나타내며, 도16(c)는 잠상형성 에너지빔을 조사한 후의 농도를 나타낸다.
도16(a)에 나타내는 바와 같이, 활성화 에너지빔의 조사 직후, 활성화 에너지빔이 조사된 영역에 산 및 증감체가 생성된다.
그 후, 도16(b)에 나타내는 바와 같이, 생성된 산은 ?처와 중화하며, 활성화 에너지빔이 조사된 영역에서 생성된 산은 거의 없어진다. 또 활성화 에너지빔이 조사된 영역의 ?처는 미조사(未照射) 영역의 ?처에 비해 감소한다. 또한, 여기서는, 증감체는 ?처와 반응하지 않는다.
도16(c)에 나타내는 바와 같이, 잠상형성 에너지빔을 조사하면, 증감체가 여기상태가 되고, 증감체의 여기상태로부터의 전자이동에 의해 산 발생제는 해리형 전자부가반응을 일으켜 분해되며, 산과 여기전의 증감체를 새로 생성한다. 전술한 바와 같이 증감체는 ?처와 반응하지 않는다. 이상과 같이 하여, 산 발생제가 소실될 때까지 산을 생성할 수 있다. 잠상형성 에너지빔의 조사에 의한 대량의 산 생성기구는, 종래의 열확산을 수반하는 산 증식반응과는 달리, 열확산반응을 수반하지 않는 반응이므로, 해상도의 열화를 수반하지 않고 고감도화를 도모할 수 있다.
여기서, 도16을 참조한 설명에서는, 레지스트재료는 적절한 양의 ?처를 함유했으나, 본 발명은 이에 한정되지 않는다. 레지스트재료는 고농도의 ?처를 함유해도 된다.
도17에 본 발명에 의한 레지스트재료의 실시형태에서의 산, 증감체, ?처의 농도변화를 나타낸다. 본 실시형태의 레지스트재료는 고농도의 ?처를 함유한다. 도17(a)는 활성화 에너지빔의 조사 직후의 농도를 나타내고, 도17(b)는 활성화 에너지빔의 조사에 의해 생성된 산과 ?처가 중화된 후의 농도를 나타내며, 도17(c)는 잠상형성 에너지빔을 조사한 후의 농도를 나타낸다.
도17(a)에 나타내는 바와 같이, 활성화 에너지빔의 조사 직후, 활성화 에너지빔이 조사된 영역에 산 및 증감체가 생성된다.
그 후, 도17(b)에 나타내는 바와 같이, 생성된 산은 ?처와 중화하며, 활성화 에너지빔이 조사된 영역에서 생성된 산은 거의 없어진다. 또 활성화 에너지빔이 조사된 영역의 ?처는 미조사 영역의 ?처에 비해 감소한다.
도17(c)에 나타내는 바와 같이, 잠상형성 에너지빔을 조사하면, 증감체가 여기상태가 되고, 증감체의 여기상태로부터의 전자이동에 의해 산 발생제는 해리형 전자부가반응을 일으키고 분해되어, 산과 여기 전의 증감체를 새로 생성한다. 이로써, 산 발생제가 소실될 때까지 산을 생성할 수 있다. 전술한 바와 같이, 증감체는 ?처와 반응하지 않는다. 도17(c)에서, 농도분포AO는 ?처를 이용하지 않는 것으로 가정한 경우의 산의 농도분포, 농도분포AX는 산과 ?처가 중화된 후의 농도를 나타낸다. 도17에서는 고농도의 ?처가 존재하므로, 산과 ?처가 중화된 후의 산 분포는 좁아진다. 또 ?처 농도를 조정함으로써, 산 분포의 경사가 큰 부분에 용해의 임계값을 조정하는 것도 가능하므로, 레지스트패턴의 고해상도화와 저LER화를 도모할 수 있다.
또, 전술한 설명에서 ?처는, 산과 중화하고 산을 비활성화시키는 것이었으나, 본 발명은 이에 한정되지 않는다. ?처는 증감체 또는 증감체의 전구체를 비활성화시키는 것이라도 된다. 혹은 레지스트 조성물은, ?처로서, 산과 중화하는 것, 및 증감체 또는 증감체의 전구체를 비활성화하는 것의 양쪽을 포함해도 된다.
도18을 참조하여, 본 발명에 의한 레지스트재료의 실시형태에서의 산, 증감체, ?처의 농도변화를 설명한다. 여기서는, 레지스트재료는 산과 중화하는 ?처, 및 증감체 또는 증감체의 전구체를 비활성화하는 ?처를 함유한다. 도18(a)는 활성화 에너지빔의 조사 직후의 농도를 나타내고, 도18(b)는 활성화 에너지빔의 조사에 의해 생성된 산과 ?처가 중화된 후의 산 농도와 증감체 또는 증감체와 증감체 전구체의 ?처와의 반응 후의 증감체 농도분포를 나타내며, 도18(c)는 잠상형성 에너지빔을 조사한 후의 농도를 나타낸다.
도18(a)에 나타내는 바와 같이, 활성화 에너지빔의 조사 직후, 활성화 에너지빔이 조사된 영역에 산 및 증감체가 생성된다. 여기서는, 농도분포Q1은 산과 중화하는 ?처의 농도분포를 나타내며, 농도분포Q2는 증감체를 비활성화시키는 ?처의 농도분포를 나타낸다.
그 후, 도18(b)에 나타내는 바와 같이, 산은 ?처에 의해 중화되며, 활성화 에너지빔이 조사된 영역에서 생성된 산은 거의 없어진다. 이 경우, 농도분포Q1에 나타내는 바와 같이, 활성화 에너지빔이 조사된 영역의 ?처는 미조사 영역의 ?처에 비해 감소한다.
또, 증감체는, 증감체 또는 증감체 전구체의 ?처와의 반응에 의해 감소한다. 단, 증감체 또는 증감체의 전구체를 비활성화시키는 ?처의 농도는 비교적 낮으므로, 증감체의 농도분포는 ?처와의 반응 전에 비해 좁아진다.
도18(c)에 나타내는 바와 같이, 잠상형성 에너지빔을 조사하면, 증감체의 농도분포가 좁아진 상태이므로, 증감체의 여기상태의 반응에 의해, 농도분포A1을 갖는 산이 생성됨과 동시에, 농도분포P1을 갖는 여기 전의 증감체가 새로 생성된다. 이상과 같이 하여, 잠상형성 에너지빔을 계속 조사하면 산 발생제가 소실될 때까지 산을 생성할 수 있다. 그리고, 참고로, 도18(c)에서 농도분포AO는, 증감체 또는 증감체의 전구체를 비활성화시키는 ?처를 이용하지 않는 것으로 가정한 경우의 산의 농도분포를 나타내며, 농도분포PO는 증감체 또는 증감체의 전구체를 비활성화시키는 ?처를 이용하지 않는 것으로 가정한 경우의 여기 전의 증감체의 농도분포를 나타낸다. 이상과 같이 하여, 산의 좁은 농도분포를 실현함과 더불어, 증감체 또는 증감체의 전구체를 비활성화시키는 ?처의 농도를 제어함으로써, 용해의 임계값이 산 농도의 준급한 부분에 위치하도록 하면, 레지스트패턴의 고해상도화 및 저LER화를 실현할 수 있다.
또, 도16~도18을 참조한 상기의 설명에서는, 마스크를 이용하여 활성화 에너지빔을 패턴형상으로 조사했으나, 본 발명은 이에 한정되지 않는다. 패턴형상의 활성화 에너지빔은 마스크를 개재하지 않고 실현해도 된다.
도19를 참조하여, 본 발명에 의한 레지스트재료의 실시형태에서의 산, 증감체, ?처의 농도변화를 설명한다. 본 실시형태에서 활성화 에너지빔은 패턴형상으로 조사된다. 활성화 에너지빔이 조사된 영역에 산 및 증감체가 생성된다. 생성된 산은 ?처와 중화하며, 활성화 에너지빔이 조사된 영역에서 생성된 산은 거의 없어진다. 또 활성화 에너지빔이 조사된 영역의 ?처는 미조사 영역의 ?처에 비해 감소한다. 또 여기서 증감체는 ?처와 반응하지 않는다.
다음에, 잠상형성 에너지빔을 조사하면, 증감체가 여기상태가 되고, 증감체의 여기상태로부터의 전자이동에 의해 산 발생제는 해리형 전자부가반응을 일으키고 분해되어, 산과 여기 전의 증감체를 새로 생성한다. 전술한 바와 같이 증감체는 ?처와 반응하지 않는다. 이상과 같이 하여, 산 발생제가 소실될 때까지 산을 생성할 수 있다. 따라서 잠상형성 에너지빔을 계속 조사하면, 실제의 산농도는 산 발생제가 무한히 존재한다고 가정한 농도분포A0이 아니며, 패턴 중앙부에서는 산 발생제의 농도가 낮아지고, 잠상형성 에너지빔에 의한 산 생성반응은 느려지며, 산 농도는 포화되게 된다. 이에 따라, 산 분포의 중앙에서 산 농도는 거의 일정해지고, 산 분포의 끝단에서는 매우 준급히 내리며, 산은, 산 분포 끝단의 기울기 변화의 급한 농도분포를 갖도록 형성된다. 이로써, 산 분포 끝단의 기울기에 의존하는 LER은 급격하게 저LER화되며, 패턴 샷노이즈(Shot noise) 문제도 억제된다.
도16에서 도19까지 4개의 도면에서 설명한 방법을 조합하면, 현재 EUV 리소그래피, EB 리소그래피, Ar 리소그래피 등의 모든 리소그래피에서 문제되고 있는 고감도화, 고해상도화, 저LER화, 포톤 샷 노이즈(Photon shot noise)의 문제 해결을 동시에 달성할 수 있는, 종래 불가능한 것으로 여겨져 온 기술이 완성된다. 도20을 참조하여, 본 발명에 의한 레지스트재료의 실시형태에서의 산, 증감체, ?처의 농도변화를 설명한다. 도20(a)는 활성화 에너지빔의 조사 직후의 농도를 나타내고, 도20(b)는 활성화 에너지빔의 조사에 의해 생성된 산과 ?처가 중화된 후의 농도를 나타내며, 도20(c)는 잠상형성 에너지빔을 조사한 후의 농도를 나타낸다.
도20(a)에 나타내는 바와 같이, 활성화 에너지빔의 조사 직후, 활성화 에너지빔이 조사된 영역에 산 및 증감체가 생성된다. 여기서, 농도분포Q1은 산과 중화하는 ?처의 농도분포를 나타내며, 농도분포Q2는 증감체 또는 증감체의 전구체를 비활성화시키는 ?처의 농도분포를 나타낸다.
그 후, 도20(b)에 나타내는 바와 같이, 산은 ?처에 의해 중화되고, 활성화 에너지빔이 조사된 영역에서 생성된 산은 거의 없어진다. 이 경우, 농도분포Q1에 나타내는 바와 같이, 활성화 에너지빔이 조사된 영역의 ?처는 미조사(未照射) 영역의 ?처에 비해 감소한다.
또, 증감체는 ?처에 의해 감소한다. 단, 증감체를 감소시키는 ?처의 농도는 비교적 낮으므로, 증감체의 농도분포는 ?처와 반응하기 전에 비해 좁아진다.
도20(c)에 나타내는 바와 같이, 잠상형성 에너지빔을 조사하면, 좁은 농도분포를 가진 증감체의 여기상태의 반응에 의해, 농도분포A1을 갖는 산이 생성됨과 동시에, 여기 전의 증감체가 새로 생성된다. 이와 같이 하여, 잠상형성 에너지빔을 계속 조사하면 산 발생제가 소실될 때까지 산을 생성할 수 있다. 또, 참고로, 도20(c)에서 농도분포A0은 산 발생제가 무한히 존재하는 것으로 가정한 경우의 산의 농도분포를 나타낸다.
이 레지스트재료에서는, 활성화단계에서, 증감체를 감소시키는 ?처에 의해 농도분포가 좁아진 증감체를 광 전면노광(Flood Exposure) 함으로써, 증감체의 여기상태가 생성된다. 증감체의 여기상태로부터의 전자이동 반응으로 산 발생제를 분해하고, 산과 여기 전의 증감체를 새로 생성한다. 산은 증감체가 존재하는 영역에서 산 발생제가 거의 소실될 때까지 생성된다. 또 산 발생제의 잔존량이 감소한 부분에서는 산 생성 반응은 느려지고, 포화한다. 산과 ?처가 중화된 후의 산의 농도분포는 활성화 에너지빔이 조사된 영역의 거의 중앙에서 일정하며, 끝단에서는 매우 준급하게 내린다. 산은, 끝단에서의 기울기 변화의 급한 농도분포를 갖도록 형성된다. 이상으로써, 고감도화, 고해상도화, 저LER화, 포톤 샷 노이즈의 문제해결을 동시에 달성할 수 있다.
이하, 구체예5 및 구체예6을 참조하여 본 실시형태의 레지스트재료의 바람직한 사용예를 설명한다.
[구체예5]
레지스트재료를 준비한다. 레지스트재료는 베이스 수지 및 증감체 전구체를 갖는 레지스트 조성물을 함유한다. 본 실시형태의 레지스트재료에서 레지스트 조성물은, 제1 에너지빔(활성화 에너지빔)의 조사에 의해 증감체를 생성하며, 이 증감체에 의한 레지스트 반응을 촉진시키는 제2 에너지빔(활성화 에너지빔)을 조사해도 증감체를 생성하지 않는다.
레지스트재료를 이용하여 레지스트층을 형성한다. 레지스트층은, 예를 들면 스핀코트법에 의해 기판 상에 형성된다.
활성화단계를 실행한다. 활성화단계에서 활성화 에너지빔을 패턴형상으로 조사한다. 활성화단계를 실행하면, 활성화 에너지빔에 의해 패턴형상으로 조사된 부분에는 증감체가 생성된다. 또, 이때 증감체와 함께 산이 생성되어도 된다. 이 활성화단계에서, 패턴형상의 조사량이 낮기 때문에 현상단계를 실행해도 레지스트층에 레지스트패턴은 형성되지 않는다. 또 구체예5에서는, 레지스트층 활성의 감쇠를 전술한 바와 같이 억제해도 되며, 억제하지 않아도 된다.
활성화단계와 동시에, 또는 활성화단계를 실행한 후에 패턴잠상 형성단계를 실행한다. 잠상형성단계에서, 잠상형성 에너지빔을 영역에 걸쳐 조사한다. 도7에 나타낸 바와 같이, 잠상형성 에너지빔으로서, 미조사의 레지스트층에서는 레지스트 반응이 일어나지 않고 증감체를 활성화하는 에너지빔을 적절하게 선택한다. 잠상형성 에너지빔의 조사에 의해, 증감체와 산 발생과의 반응에 의해 산이 발생하거나, 혹은 레지스트 반응이 발생한다.
이와 같이, 패턴잠상 형성단계에서는, 잠상형성 에너지빔을 영역에 걸쳐 조사해도, 맨 처음에 패턴형상으로 조사한 부분에만 증감체가 생성되고, 또, 증감체는 맨 처음에 패턴형상으로 조사한 부분에만 영역조사에 의해 활성화된다. 이로써, 대량의 산이 맨 처음에 패턴형상으로 조사한 부분에만 생성되며, ?처와 산이 중화된 후에도 산의 잠상이 맨 처음에 패턴형상으로 조사한 부분에만 생성된다. 그 후, 가열 단계, 현상단계를 실행하여, 레지스트패턴이 형성된다.
[구체예6]
레지스트재료를 준비한다. 레지스트재료는 베이스 수지 및 증감체 전구체를 갖는 레지스트 조성물을 함유한다. 본 실시형태의 레지스트재료에서 레지스트 조성물은, 제1 에너지빔(활성화 에너지빔)의 조사에 의해 증감체를 생성하며, 이 증감체에 의한 레지스트 반응을 촉진시키는 제2 에너지빔(활성화 에너지빔)을 조사해도 증감체를 생성하지 않는다.
레지스트재료를 이용하여 레지스트층을 형성한다. 레지스트층은, 예를 들면 스핀코트법에 의해 기판 상에 형성된다.
활성화단계를 실행한다. 활성화단계에서 활성화 에너지빔을 패턴형상으로 조사한다. 활성화단계를 실행하면, 활성화 에너지빔에 의해 패턴형상으로 조사된 부분에는 적어도 증감체가 생성된다. 이때, 패턴형상의 조사량이 낮기 때문에 현상단계를 실행해도 레지스트층에 레지스트패턴은 형성되지 않는다. 또 구체예6에서는, 레지스트층 활성의 감쇠를 전술한 바와 같이 억제해도 되며, 억제하지 않아도 된다.
활성화단계와 동시에, 또는 활성화단계를 실행한 후에 패턴잠상 형성단계를 실행한다. 잠상형성단계에서, 잠상형성 에너지빔을 영역에 걸쳐 조사한다. 잠상형성 에너지빔으로서, 미조사의 레지스트층에서는 레지스트 반응이 일어나지 않고 활성상태α/안정물질α1만을 활성화하는 에너지빔을 적절하게 선택한다. 잠상형성 에너지빔의 조사에 의해, 활성상태B 및/또는 활성상태A 혹은 활성상태A'가 생성된다. 활성상태B는, 활성 분위기 또는 활성액체와 반응하여 다시 활성상태α/안정물질α1로 변환된다.
이와 같이, 패턴잠상 형성단계에서는, 잠상형성 에너지빔을 영역에 걸쳐 조사해도, 맨 처음에 패턴형상으로 조사한 부분에만 산 전구체가 생성되고, 또, 활성상태α/안정물질α1은 맨 처음에 패턴형상으로 조사한 부분에만 영역조사에 의해 재생된다. 이로써, 대량의 산이 맨 처음에 패턴형상으로 조사한 부분에만 생성되며, ?처와 산이 중화된 후에도 산의 잠상이 맨 처음에 패턴형상으로 조사한 부분에만 생성된다. 그 후, 가열 단계, 현상단계를 실행하여, 레지스트패턴이 형성된다.
[구체예7]
이하, 도21~도25를 참조하여 구체예7을 설명한다. 먼저 레지스트재료를 조제한다. 레지스트재료는 베이스 수지(RX)인 고분자로서, 그룹γ-브틸로락톤-α-메타크릴레이트, 2-(1-아다만틸)프로판-2-일메타크릴레이트, 3-히드록시아다만탄-1-일메타크릴레이트, 1-에틸시클로펜틸메타크릴레이트 공중합체를 함유하며, 증감체 전구체(B0)로서 비스(4-메톡시페닐)메탄올(DOMeBzH)을 함유하고, 산 발생제(PAG)로서 요드늄염(R2IX)를 함유한다(수지 1에 대하여 중량비로, 증감체 전구체4.6wt%(3~30wt%, 바람직하게는4~10wt%) PAG 4.6wt%(3~30wt%, 바람직하게는4~10wt%). 여기서 레지스트재료는 포지티브형이고 또 화학증폭형이다.
다음에, 레지스트재료를 실리콘기판 상에 스핀코트하여 프리베이크 처리(Prebaking)를 실시한다. 레지스트의 규약 농도에 따라 스핀 조건은 변경되나, 여기서 스핀코트 조건은 1500rpm, 30초, 프리베이크 100도, 60초다. 또 ?처의 첨가량은 PAG 첨가량의 대략 1/10이 기준이 되나, 예를 들면 0.1~3.0wt%이고, 바람직하게는0.3~1.2wt%이다.
도21에 본 실시형태에서 실시되는 화학반응식을 나타낸다. 레지스트재료에 EB패턴을 조사한다. EB패턴 노광은, 예를 들면 빔드로우(Tokyo Technology)를 구비한 JSM-6500F 30keV의 EB노광 시스템(JEOL, 빔 류(流):12.5 및 28pA, <1E-4Pa) 를 사용하여 실시된다.
EB패턴을 조사했을 때의 레지스트재료 내의 반응 메커니즘은 도21의 식(a-1)~(a-5)에 따라 진행되는 것으로 생각된다. 식(a-1)에 나타내는 바와 같이, EB패턴의 조사에 의해 레지스트재료를 이온화하여, 주로 고분자 라디칼 양이온(RH+.)과 전자(e-)를 생성한다. 고분자 라디칼 양이온(RH+.)은 고분자(RH)와 반응하여, 라디칼(P.)과 양이온(RH(H+))으로 분리한다.
식(a-2)에 나타내는 바와 같이, 전자(e-)는 산 발생제(R2I+X-)와 반응하여, 중성분자(RI), 라디칼(R.), 및 음이온(X-)을 생성한다.
식(a-3)에 나타내는 바와 같이, 양이온(RH(H+))은 음이온(X-)과 반응하며, 고분자(RH) 및 산(HX)이 생성된다.
또, 식(a-4)에 나타내는 바와 같이, 라디칼(R.)은 DOMeBzH와 반응하면, 라디칼(DOMeBzH.)이 생성된다. 식(a-5) 에 나타내는 바와 같이, 이 라디칼은 산 발생제(R2I+X-)와 반응하며, 전자가 이동하고, 양이온(DOMeBzH+)이 생성된다. 또한 식(a-6)에 나타내는 바와 같이, 이 양이온(DOMeBzH+)으로부터 음이온으로 양자가 이동함으로써 증감체(DOMeBzO) 및 산(HX)이 생성된다.
다음에, EB패턴을 조사한 후, 플러드UV(320 및 365nm)를 실온으로 조사한다. 플러드 UV를 조사했을 때의 레지스트재료 내 반응 메커니즘은 도21의 식(b-1)에 따라 진행하는 것으로 생각된다. 플러드 UV를 조사하면, 증감체(DOMeBzO)가 여기된다. 여기상태의 증감체(DOMeBzO)로부터 산 발생제(PAG)로의 전자이동에 의해, 증감체의 라디칼 양이온(DOMeBzO.+) , 중성분자(RI), 라디칼(R.) 및 음이온(X-)이 생성된다. 또, 플러드 UV를 조사하면, EB패턴을 조사했을 때의 반응과 마찬가지의 반응이 진행되며, 연쇄반응에 의해 산이 효율적으로 생성된다.
원자간력현미경(AFM, NanoNavi II/SPA-300HV, Hitachi High-Tech Science)을 이용하여 감광도 곡선과 선폭/선간 패턴을 관찰한 결과를 도22에 나타낸다. 도22(a)~도22(c)는, 마찬가지의 RPGM의 포지티브형 화학증폭형 레지스트, 마찬가지의 스핀코트 및 프리베이크 조건, 마찬가지의 선폭/선간 패턴(75nm), 마찬가지의 열처리온도 및 시간, 및 마찬가지 현상조건의 측정결과이며, 제1 EB노광패턴의 도즈양과 제2 UV플러드노광의 유무, 및 UV노광의 파장이 다르다.
레지스트의 감광도는, 단독 EB패턴노광에서77μC/cm2, 320nm에서의 PF조합 리소그래피에서 8.8μC/cm2이다. 화학증폭형 레지스트 현상의 초기단계에서는, 화학증폭 레지스트의 감광도와 해상도가 트레이드오프 관계임을 알 수 있다. 이는, 산 농축을 저감시키면, 필요한 양의 화학반응을 발생시키기 위해 필요한 산 확산 길이가 신장함에 의한 것이다. 분해능을 저감시키지 않는 고감광의 실험결과가 이 새로운 프로세스로 설명할 수 있다.
도23은 DOMeBzH와 DOMeBzO의 흡수율을 나타내는 그래프이다. 도24는 UV노광시간과 조사량의 관계를 나타내는 그래프이다.
여기서, 도25를 참조하여 본 실시형태의 화학증폭형 레지스트재료의 산 생성 프로세스를 설명한다. 또 여기서는, 레지스트재료에 ?처가 첨가된다. ?처를 첨가함으로써 산의 확산이 제한되므로, 화학증폭형 레지스트에서 고분해능 패턴을 적합하게 형성할 수 있다.
본 실시형태에서는, 먼저 활성화 에너지빔을 패턴형상으로 조사한다. 도25(a)에 활성화 에너지빔을 패턴형상으로 조사한 직후의 레지스트 내의 산, 증감체, 및 ?처의 농도를 나타낸다. 활성화 에너지빔을 패턴형상으로 조사하기 전에는, ?처의 농도는 각 영역에서 거의 일정하다.
활성화 에너지빔을 패턴형상으로 조사함으로써, 활성화 에너지빔이 조사된 부분에 산과 증감체가 생성된다. 예를 들면, 활성화 에너지빔은 전자선 또는 EUV빔이다.
활성화 에너지빔을 조사한 후, 얼마의 시간이 경과하면, 도25(b)에 나타내는 바와 같이 산과 ?처는 실온에서 재결합한다. 이에 따라 ?처의 농도는, 활성화 에너지빔이 조사된 부분이 미조사 부분에 비해 저하한다. 한편, 증감체는 ?처와 반응하지 않는다.
다음에, 도25(c)에 나타내는 바와 같이 잠상형성 에너지빔을 영역에 걸쳐 조사한다. 예를 들면 잠상형성 에너지빔은 UV 플러드빔이다. 잠상형성 에너지빔의 조사에 의해, 영역에 걸쳐 산 및 증감체의 양쪽이 생성된다.
*이와 같은 광유도성 산 증폭은 비열확산반응에 의해 실온에서 일어난다. 이 새로운 프로세스에서 단독 EB노광보다 10배 높은 감도를 이미 달성하였다. 산 발생제가 거의 없어질 때까지 기본적으로 계속 감광한다. 따라서 더욱 고농도의 ?처를 사용하는 것이 가능해져, 포톤 샷 노이즈나 라인 폭을 저감할 수 있다.
이하, 도26~도55를 참조하여 본 발명에 기초한 실시예1~실시예33을 설명한다.
[실시예1]
·레지스트층으로서 폴리메타크릴산메틸 수지(Poly(methyl methacrylate, ALDRICH제품, 이하 「PMMA」라 기재한다.)를 채용하였다. 레지스트층(PMMA)의 분자량은 350k이고, 레지스트층의 막 두께는 100nm이다. 활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 30pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 5분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서Hamamatsu Photonics K.K사의 전자선 조사원(형 번호:EB-ENGINE)을 이용하여, 질소가스기류 중(산소농도 100ppm 이하)에서 가속전압 100kV, 전자전류 200 μA(20μC/cm2)의 전자선을 10회(도즈양 200μC/cm2), 레지스트층으로 조사하였다.
현상단계에서, 메틸이소부틸케톤(MIBK)과 2-프로판올(IPA)을 1:3의 비율로 혼합한 현상액에 의해, 레지스트층을 13℃에서 60초간 현상하였다. 도26은 실시예1에서의 현상 결과를 나타낸다. 도26(c)의 왼쪽 부분에는, 설계 치수 200nm의 라인 및 스페이스에 대하여 가공한 후에 얻어진 치수 200nm의 라인 및 스페이스가 나타난다. 도26(c)의 중앙부분에는, 설계 치수 100nm의 라인 및 200nm의 스페이스에 대하여 가공한 후에 얻어진 치수 120nm의 라인(가공부) 및 180nm의 스페이스(미가공부)가 나타난다. 도26(c)의 오른쪽 부분에는, 설계 치수 100nm의 라인 및 50nm의 스페이스에 대하여 가공한 후에 얻어진 치수 120nm의 라인(가공부) 및 30nm의 스페이스(미가공부)가 나타난다. 도26(d)의 왼쪽 부분에는, 설계 치수 200nm의 라인 및 스페이스에 대하여 가공한 후에 얻어진 치수 260nm의 라인(가공부) 및 140nm의 스페이스(미가공부)가 나타난다. 도26(d)의 오른쪽 부분에는, 설계 치수 100nm의 라인 및 200nm의 스페이스에 대하여 가공한 후에 얻어진 치수 170nm의 라인(가공부) 및 130nm의 스페이스(미가공부)가 나타난다.
실시예1의 결과에 따르면, 복합 조사(활성화단계와 패턴잠상 형성단계의 실행)에서의 레지스트 감도로의 총 흡수선량으로부터 평가D(0)=2190kGy가 되고, 패터닝 노광만의 감도D(0)=2925kGy보다 총 에너지에서 작은 값을 나타내었다. 레지스트 감도곡선의 임계값 전까지 노광하고, 그 후의 노광량을 줄인다는 덧셈이 아니라, 활성상태를 유지한 상태에서 다시 노광함으로써, 비선형의 반응을 이용하여 해상도를 유지한 상태에서 고감도화 되었음을 나타낸다.
[실시예2]
·레지스트층으로서 PMMA를 채용하였다. 레지스트층(PMMA)의 분자량은 350k이고, 레지스트층의 막 두께는 100nm이다. 활성화단계에서, 활성화장치로서Hamamatsu Photonics K.K사의 전자선 조사원(형 번호:EB-ENGINE)을 이용하여, 질소가스기류 중(산소농도 50ppm)에서 가속전압 100kV, 전자전류 200 μA(20μC/cm2)의 전자선을 10회(도즈양 200μC/ cm2), 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 5분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 30pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
현상단계에서, MIBK와 IPA를 1:3의 비율로 혼합한 현상액에 의해 레지스트층을 13℃에서 60초간 현상하였다. 도27은 실시예2에서의 현상 결과를 나타낸다.
실시예2의 결과에 따르면, 복합 조사(활성화단계와 패턴잠상 형성단계의 실행)에서의 레지스트 감도로의 총 흡수선량으로부터 평가D(0)=2300kGy가 되고, 패터닝 노광만의 감도D(0)=2925kGy보다 총 에너지에서 작은 값을 나타내었다. 레지스트 감도곡선의 임계값 전까지 노광하고, 그 후의 노광량을 줄인다는 덧셈이 아니라, 활성상태를 유지한 상태에서 다시 노광함으로써, 비선형의 반응을 이용하여 해상도를 유지한 상태에서 고감도화 되었음을 나타낸다.
[실시예3]
·레지스트층으로서 ZEP520A(ZEON CORPORATION 제품:α-메틸스틸렌과α-클로로아크릴산메틸과의 공중합체)를 채용하였다. 레지스트층(ZEP520A)의 막 두께는 280nm이다. 활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 30pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 5분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서Hamamatsu Photonics K.K사의 전자선 조사원(형 번호:EB-ENGINE)을 이용하여, 질소가스기류 중(산소농도 100ppm ), 가속전압 100kV, 전자전류 100 μA(10μC/ cm2)의 전자선을 4회(도즈양 40μC/ cm2), 레지스트층으로 조사하였다.
현상단계에서, 현상액 ZED-N50(ZEON CORPORATION제품)에 의해 레지스트층을 13℃에서 60초간 현상하였다. 도28은 실시예3에서의 현상 결과를 나타낸다. 도28(c)의 왼쪽 부분에는, 설계 치수 100nm의 라인 및200nm의 스페이스에 대하여 가공한 후에 얻어진 치수 110nm의 라인(가공부) 및 190nm의 스페이스(미가공부)가 나타난다. 도28(c)의 중앙부분에는, 설계 치수 50nm의 라인 및 200nm의 스페이스에 대하여 가공한 후에 얻어진 치수 50nm의 라인(가공부) 및 200nm의 스페이스(미가공부)가 나타난다. 도28(c)의 오른쪽 부분에는, 설계 치수50nm의 라인 및 100nm의 스페이스에 대하여 가공한 후에 얻어진 치수 50nm의 라인(가공부) 및 100nm의 스페이스(미가공부)가 나타난다. 도28(d)의 왼쪽 부분에는, 설계 치수 100nm의 라인 및 200nm의 스페이스에 대하여 가공한 후에 얻어진 치수 150nm의 라인(가공부) 및 150nm의 스페이스(미가공부)가 나타난다. 도28(d)의 중앙부분에는, 설계 치수 50nm의 라인 및 200nm의 스페이스에 대하여 가공한 후에 얻어진 치수 55nm의 라인(가공부) 및 195nm의 스페이스(미가공부)가 나타난다. 도28(d)의 오른쪽 부분에는, 설계 치수 100nm의 라인 및 100nm의 스페이스에 대하여 가공한 후에 얻어진 치수 102nm의 라인(가공부) 및 98nm의 스페이스(미가공부)가 나타난다.
실시예3의 결과에 따르면, 복합 조사(활성화단계와 패턴잠상 형성단계의 실행)에서의 레지스트 감도로의 총 흡수선량으로부터 평가D(0)=862kGy가 되고, 패터닝 노광만의 감도D(0)=1050kGy보다 총 에너지에서 작은 값을 나타내었다. 레지스트 감도곡선의 임계값 전까지 노광하여, 그 후의 노광량을 줄인다는 덧셈이 아니라, 활성상태를 유지한 상태에서 다시 노광함으로써, 비선형의 반응을 이용하여 해상도를 유지한 상태에서 고감도화 되었음을 나타낸다.
[실시예4]
·레지스트층으로서 PMMA를 채용하였다. 레지스트층(PMMA)의 분자량은 350k이고, 레지스트층의 막 두께는 100nm이다. 1회째의 활성화단계에서, 활성화장치로서Hamamatsu Photonics K.K사의 전자선 조사원(형 번호:EB-ENGINE)을 이용하여, 질소가스기류 중(산소농도<50ppm ), 가속전압 100kV, 전자전류 100 μA(20μC/ cm2)의 전자선을 5회(도즈양 100μC/ cm2), 레지스트층으로 조사하였다.
1회째의 활성화단계를 실행하고, 인터벌로서 질소가스 중에서 레지스트층을 5분간 유지한 후, 2회째의 활성화단계를 실행하였다. 2회째의 활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 16pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
실시예4에서는, 2회째의 활성화단계를 실행하고, 인터벌로서 질소가스 중에서 레지스트층을 5분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 1회째의 활성화단계 실행 시에 이용한 활성화장치와 마찬가지의 활성화장치를 이용하여, 질소가스기류 중(산소농도<50ppm), 가속전압 100kV, 전자전류 100μA(20μC/cm2)의 전자선을 5회(도즈양 100μC/cm2), 레지스트층으로 조사하였다.
현상단계에서, MIBK와 IPA를 1:3의 비율로 혼합한 현상액에 의해 레지스트층을 13℃에서 60초간 현상하였다. 도29(a)는 실시예4에서의 현상 결과를 나타낸다.
실시예4의 결과에 따르면, 복합 조사(활성화단계와 패턴잠상 형성단계의 실행)에서의 레지스트 감도로의 총 흡수선량으로부터 평가D(0)=2190kGy가 되고, 패터닝 노광만의 감도D(0)=2925kGy보다 총 에너지에서 작은 값을 나타내었다. 레지스트의 임계값 전까지 노광하고, 그 후의 노광량을 줄인다는 덧셈이 아니라, 활성상태를 유지한 상태에서 다시 노광함으로써, 비선형의 반응을 이용하여 해상도를 유지한 상태에서 고감도화 되었음을 나타낸다.
[실시예5]
·레지스트층으로서 화학증폭형 레지스트 UV3을 채용하였다. 레지스트층(UV3)의 막 두께는 200nm이다. 활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 16pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 질소가스 중에서 레지스트층을 5분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서Hamamatsu Photonics K.K사의 전자선 조사원(형 번호:EB-ENGINE)을 이용하여, 가속전압 100kV, 전자전류 50 μA(5μC/cm2)의 전자선을 2회(도즈양 10μC/cm2), 레지스트층으로 조사하였다.
잠상형성단계 후, 130℃, 60초간 열처리(PEB)를 실시하고, 현상단계에서, 현상액 테트라메틸암모늄하이드록사이드(TetraMethyl Ammonium Hydroxide, 이하 "TMAH"라 기재한다.) 2.38%에 의해 레지스트층을 25℃에서 60초간 현상하였다. 도29(b)는 실시예5에서의 현상 결과를 나타낸다.
실시예5의 결과에 따르면, 복합 조사(활성화단계와 패턴잠상 형성단계의 실행)에서의 레지스트 감도로의 총 흡수선량으로부터 평가D(0)=155kGy가 되고, 패터닝 노광만의 감도D(0)=194kGy보다 총 에너지에서 작은 값을 나타내었다. 레지스트 감도곡선의 임계값 전까지 노광하고, 그 후의 노광량을 줄인다는 덧셈이 아니라, 활성상태를 유지한 상태에서 다시 노광함으로써, 비선형의 반응을 이용하여 해상도를 유지한 상태에서 고감도화 되었음을 나타낸다.
[실시예6]
·레지스트층으로서 화학증폭형 레지스트 UV3을 채용하였다. 레지스트층(UV3)의 막 두께는 200nm이다. 활성화단계에서, 활성화장치로서Hamamatsu Photonics K.K사의 전자선 조사원(형 번호:EB-ENGINE)을 이용하여, 가속전압 100kV, 전자전류 50 μA(5μC/cm2)의 전자선을2회(도즈양 10μC/cm2), 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 질소가스 중에서 레지스트층을 5분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 16pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
잠상형성단계 후, 130℃, 60초간 열처리(PEB)를 실시하고, 현상단계에서, 현상액 TMAH 2.38%에 의해 레지스트층을25℃에서 60초간 현상하였다. 도29(c)는 실시예6에서의 현상 결과를 나타낸다.
실시예6의 결과에 따르면, 복합 조사(활성화단계와 패턴잠상 형성단계의 실행)에서의 레지스트 감도로의 총 흡수선량으로부터 평가D(0)=167kGy가 되어, 패터닝 노광만의 감도D(0)=194kGy보다 총 에너지에서 작은 값을 나타내었다. 레지스트 감도곡선의 임계값 전까지 노광하고, 그 후의 노광량을 줄인다는 덧셈이 아니라, 활성상태를 유지한 상태에서 다시 노광함으로써, 비선형의 반응을 이용하여 해상도를 유지한 상태에서 고감도화 되었음을 나타낸다.
[실시예7]
·레지스트층으로서 PMMA를 채용하였다. 레지스트층(PMMA)의 분자량은 350k이며, 레지스트층의 막 두께는 100nm이다. 활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 30pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 1분간 유지한 후, 진공보관하여 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 방사선의학종합연구소(National Institute of Radiological Sciences)의 중입자선 암치료장치(Heavy Ion Medical Accelerator in Chiba, 이하 "HIMAC"라 기재한다.)를 이용하여, 에너지 6MeV/u, 중이온 Xe54 +, 7nC/pulse, 1E+10ion/cm2(진공도 5E-5Pa), 24℃로 레지스트층으로 조사하였다.
현상단계에서, MIBK와 IPA를 1:3의 비율로 혼합한 현상액에 의해 레지스트층을 13℃에서 60초간 현상하였다. 도30(a)는 실시예7에서의 현상 결과를 나타낸다.
실시예7의 결과에 따르면, 복합 조사(활성화단계와 패턴잠상 형성단계의 실행)에서의 레지스트 감도로의 총 흡수선량으로부터 평가D(0)=2380kGy가 되어, 패터닝 노광만의 감도D(0)=2925kGy보다 총 에너지에서 작은 값을 나타내었다. 레지스트 감도곡선의 임계값 전까지 노광하고, 그 후의 노광량을 줄인다는 덧셈이 아니라, 활성상태를 유지한 상태에서 다시 노광함으로써, 비선형의 반응을 이용하여 해상도를 유지한 상태에서 고감도화 되었음을 나타낸다. 여기서, 고LET방사선 단독의 감도평가에서는 비적의 겹침이 크기 때문에, 레지스트 감도는 필요 이상의 에너지가 부여되나, 상기 결과는, 어쨌든 총 에너지양을 삭감한 것이다.
[실시예8]
·레지스트층으로서 PMMA를 채용하였다. 레지스트층(PMMA)의 분자량은 350k이고, 레지스트층의 막 두께는 100nm이다. 활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 30pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 1분간 유지한 후 진공보관하고, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 HIMAC를 이용하여, 에너지 6MeV/u, 중이온 Kr36 +, 7nC/pulse, 1E+9ion/cm2(진공도 5E-5Pa), 24℃로 레지스트층으로 조사하였다.
현상단계에서, MIBK와 IPA를 1:3의 비율로 혼합한 현상액에 의해 레지스트층을 13℃에서 60초간 현상하였다. 도30(b)는 실시예8에서의 현상 결과를 나타낸다.
실시예8의 결과에 따르면, 복합 조사(활성화단계와 패턴잠상 형성단계의 실행)에서의 레지스트 감도로의 총 흡수선량으로부터 평가D(0)=2518kGy가 되어, 패터닝 노광만의 감도D(0)=2925kGy보다 총 에너지에서 작은 값을 나타내었다. 레지스트 감도곡선의 임계값 전까지 노광하고, 그 후의 노광량을 줄인다는 덧셈이 아니라, 활성상태를 유지한 상태에서 다시 노광함으로써, 비선형의 반응을 이용하여 해상도를 유지한 상태에서 고감도화 되었음을 나타낸다. 여기서, 고LET방사선 단독의 감도평가에서는 비적(飛跡)의 겹침이 크기 때문에, 레지스트 감도는 필요 이상의 에너지가 부여되나, 상기 결과는, 어쨌든 총 에너지양을 삭감한 것이다.
[실시예9]
·레지스트층으로서 ZEP520A(ZEON CORPORATION 제품)를 채용하였다. 레지스트층(ZEP520A)의 막 두께는 280nm이다. 활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 30pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 고압 수은등을 이용하여, 필터에 의해 레지스트 흡수가 없는 파장(365nm)의 광(도즈양 2J/cm2)만을 레지스트층으로 대기 중에서 조사하였다.
현상단계에서, 현상액ZED-N50(ZEON CORPORATION 제품)에 의해 레지스트층을 22℃에서 60초간 현상하였다. 도30(c)는 실시예9에서의 현상 결과를 나타낸다.
실시예9의 결과에 따르면, 종래 반응을 유기(誘起)하지 않는 UV광의 파장임에도 불구하고, 패터닝 노광만의 패터닝에 비해, E(0)은 고감도(54uC/cm2)로 노광이 가능함을 알았다.
* [비교예1]
·실시예9와 비교하기 위해, 비교예1에서는, 하기에 나타내는 공정(단일노광공정)에서 레지스트 노광을 실시하였다. 레지스트층으로서 ZEP520A(ZEON CORPORATION 제품)를 채용하였다. 레지스트층(ZEP520A)의 막 두께는 280nm이다. 고압 수은등을 이용하여, 필터에 의해 365nm의 광(도즈양 2J/cm2)만을 레지스트층으로 대기 중에서 조사하였다. 현상단계에서, 현상액ZED-N50(ZEON CORPORATION 제품)에 의해 레지스트층을 22℃에서 60초간 현상을 시도했으나, 레지스트층을 현상하지 못했다. 막 두께 등의 변화도 관찰되지 않았다.
[실시예10]
·레지스트층으로서 PMMA를 채용하였다. 레지스트층(PMMA)의 분자량은 350k이며, 레지스트층의 막 두께는 100nm이다. 활성화단계에서, 활성화장치로서 Hamamatsu Photonics K.K사의 전자선 조사원(형 번호:EB-ENGINE)을 이용하여, 질소가스기류 중(산소농도 50ppm)에서 가속전압 100kV, 전자전류 200μA(20μC/cm2)의 전자선을 10회(도즈양 200μC/cm2), 레지스트층으로 조사하였다.
활성화단계를 실행하고, 진공하에서 시료를 24시간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 SPring-8을 이용하여, BL27SU의 분광용 빔라인에서 3.1nm의 연 X선(수평, 분해능30000, Cr거울 있음)을 플럭스:4.43E+9photon/s로, 진공 중 (1E-4Pa)에서 레지스트층으로 조사하였다.
현상단계에서, MIBK와 IPA를 1:3의 비율로 혼합한 현상액에 의해 레지스트층을 13℃에서 60초간 현상한 결과, 그 감도는 노광량 50.8mJ/cm2였다.
[비교예2]
·실시예10과 비교하기 위해, 비교예2에서는, 하기에 나타내는 공정(단일노광공정)에서 레지스트 노광을 실시하였다. 레지스트층으로서 PMMA(ALDRICH 제품, 분자량 350k)를 채용하였다. 레지스트층(PMMA)의 막 두께는 100nm이다. SPring-8을 이용하여, BL27SU의 분광용 빔라인에서 3.1nm의 연 X선(수평, 분해능30000, Cr거울 있음)을 플럭스:4.43E+9photon/s로, 진공 중 (1E-4Pa)에서 레지스트층으로 조사하였다. 현상단계에서, MIBK와 IPA를 1:3의 비율로 혼합한 현상액에 의해 레지스트층을 13℃에서 60초간 현상한 결과, 그 감도는 노광량 220mJ/cm2였다.
[실시예11]
·레지스트층으로서 PMMA를 채용하였다. 레지스트층(PMMA)의 분자량은 350k이며, 레지스트층의 막 두께는 100nm이다. 활성화단계에서, 활성화장치로서 Hamamatsu Photonics K.K사의 전자선 조사원(형 번호:EB-ENGINE)을 이용하여, 질소가스기류 중(산소농도 50ppm)에서 가속전압 100kV, 전자전류 200μA(20μC/cm2)의 전자선을 10회(도즈양 200μC/cm2), 레지스트층으로 조사하였다.
활성화단계를 실행하고, 진공하에서 시료를 24시간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 SPring-8을 이용하여, BL27SU의 분광용 빔라인에서 6.7nm의 EUV광(수직, 분해능10000, Cr거울 있음)을 플럭스:1.09E+10photon/s로, 진공 중 (1E-4Pa)에서 레지스트층으로 조사하였다.
현상단계에서, MIBK와 IPA를 1:3의 비율로 혼합한 현상액에 의해 레지스트층을 13℃에서 60초간 현상한 결과, 그 감도는 노광량 87.3mJ/cm2였다.
[실시예12]
·레지스트층으로서 PMMA를 채용하였다. 레지스트층(PMMA)의 분자량은 350k이며, 레지스트층의 막 두께는 100nm이다. 활성화단계에서, 활성화장치로서SPring-8을 이용하여, BL27SU의 분광용 빔라인에서 6.7nm의 EUV광(수직, 분해능10000, Cr거울 있음)을 플럭스:1.09E+10photon/s로, 진공 중 (1E-4Pa)에서 레지스트층으로 조사하였다.
활성화단계를 실행하고, 시료를 대기 중 5시간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 Hamamatsu Photonics K.K사의 전자선 조사원(형 번호:EB-ENGINE)을 이용하여, 질소가스기류 중(산소농도 50ppm)에서 가속전압 100kV, 전자전류 200μA(20μC/cm2)의 전자선을 10회(도즈양 200μC/cm2), 레지스트층으로 조사하였다.
현상단계에서, MIBK와 IPA를 1:3의 비율로 혼합한 현상액에 의해 레지스트층을 13℃에서 60초간 현상한 결과, 그 감도는 노광량 94.6mJ/cm2였다.
[비교예3]
·실시예11, 실시예12와 비교하기 위해, 비교예3에서는, 하기에 나타내는 공정(단일노광공정)에서 레지스트 노광을 실시하였다. 레지스트층으로서 PMMA(ALDRICH 제품, 분자량 350k)를 채용하였다. 레지스트층(PMMA)의 막 두께는 100nm이다. SPring-8을 이용하여, BL27SU의 분광용 빔라인에서 6.7nm의 EUV광(수직, 분해능10000, Cr거울 있음)을 플럭스:1.09E+10photon/s로, 진공 중 (1E-4Pa)에서 레지스트층으로 조사하였다. 현상단계에서, MIBK와 IPA를 1:3의 비율로 혼합한 현상액에 의해 레지스트층을 13℃에서 60초간 현상한 결과, 그 감도는 노광량 430mJ/cm2였다.
본 발명에 관한 실시형태에서는, 조사 시 또는 조사 후에 환경제어(활성상태의 유지 또는 촉진)를 한 상태에서 패턴형상 조사와 복수 회의 영역조사를 조합함으로써, 저선량의 패턴형상 조사에서도 정밀도 높은 레지스트패턴을 작성할 수 있다. 실시예9에 나타낸 바와 같이, 패턴형상 조사 후에, 미조사 레지스트가 전혀 반응하지 않는 파장에 의한 영역 조사로써도, 활성상태를 반응시킴으로써 레지스트패턴을 형성할 수 있다.
[실시예13]
·이하에 실시예13을 설명한다. 실시예13에서는, 시클로헥사논에 용해시킨 베이스 수지로서의 메틸메타크릴레이트계 고분자(이하 "MMA"라 기재함)에, 산 발생제(이하, "PAG"라 기재함)로서의 DPI-PFBS 0.05M을 첨가하고, 또 증감체 전구체로서 DOMeBzH를 0.1M 첨가한 혼합물을 실시예13의 레지스트재료로서 조제하였다. 조제한 레지스트재료를 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 2000rpm, 30초로 스핀코트 하였다. 스핀코트 후, 열처리를 100 ℃에서 1분간 실시하였다. 스핀코트 후의 막 두께를 원자간력현미경(이하 "AFM"이라 기재함, Hitachi High-Tech Science Corporation. NanoNavi II/SPA-300HV)을 이용하여 계측한 결과, 두께는 450nm이었다.
활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 12.5pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 1분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서TOSHIBA CORPORATION사의 블랙라이트(320nm)를 이용하여, 대기 중에서 1mW/h의 광원을 이용하여 30초, 1분, 2분, 3분, 5분, 10분의 자외선을 전면노광 하였다. 잠상형성단계 후에, 10 ℃, 60초간 열처리(PEB)를 실시하고, 현상단계에서 현상액 테트라메틸암모늄하이드록사이드(TetraMethyl Ammonium Hydroxide, 이하 "TMAH"라 기재함) 2.38%에 의해 레지스트층을 25℃에서 1분간 현상하였다.
여기서, 일부 시료에 대해서는, 활성화단계 후에 100℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을25℃에서 1분간 현상하였다.
도31은, 실시예13에서의 현상 후에 75nm로 그린 라인 및 스페이스 패턴의 AFM상을 나타낸다. 본 실시형태에 의해, 75nm의 라인 및 스페이스 패턴이 고해상도로 그려졌음을 알 수 있다.
도32에, 자외선을 전면노광했을 때의 전자빔의 감도 곡선을 나타낸다. 전자빔에 의한 레지스트재료의 감도 E0은, 자외선의 노광량 증가와 함께 작아졌음을 알 수 있다.
[실시예14]
·실시예13의 레지스트재료에 대해, 증감체 전구체를 첨가하지 않는 레지스트재료를 조제하고, 동일 조건으로 현상까지의 공정을 실시하였다.
조제한 레지스트재료를, 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 2000rpm, 30초로 스핀코트 하였다. 스핀코트 후, 열처리를 100 ℃에서 1분간 실시하였다. AFM을 이용하여 스핀코트 후의 막 두께를 계측한 결과, 두께는 450nm이었다.
활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 12.5pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계 후, 100℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을25℃에서 1분간 현상하였다.
도33에 현상 후의 레지스트재료의 감도곡선을 나타낸다. 감도 E0은, 약 12mC/cm2였다.
도34는, 실시예14에서의 현상 후의 75nm로 그린 라인 및 스페이스 패턴의 AFM상을 나타낸다. 전자빔 묘화에 의해, 75nm의 라인 및 스페이스 패턴이 고해상도로 그려졌음을 알 수 있다.
또, 활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 1분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 블랙라이트(320nm)(TOSHIBA CORPORATION. 제품)를 이용하여, 대기 중에서 1mW/h의 광원을 이용하여 1분, 5분, 10분, 15분, 30분의 자외선 전면노광을 실시하였다.
잠상형성단계 후, 100℃, 60초간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을25℃에서 1분간 현상하였다.
파장 320nm의 자외선 노광 후의 전자빔의 감도E0을 표에 나타낸다. 자외선을 노광하지 않는 경우의 감도E0과 거의 등가(等價)이며, 실시예13의 광 산발생제를 첨가한 레지스트재료와는 달리, 자외선 노광에 의한 고감도화가 이루어지지 않음을 알 수 있다.
1분 5분 10분 15분 30분
E0(μC/cm2) 12.1 12.0 12.2 12.1 12.0
[실시예15]
·실시예13과 동일한 레지스트재료를 조제하고, 자외선노광을 실시하지 않는 경우의 감도곡선을 구했다. 자외선노광 이외의 단계는 실시예13과 동일하다.
도35에 감도곡선을 나타낸다. 감도E0은 56.5mC/cm2인 점에서, 실시예13에 나타낸 자외선광을 조사하는 잠상형성단계를 실시함으로써 현저한 고감도화가 이루어지고 있음을 알 수 있다.
[실시예16]
실시예13에서 조제한 레지스트재료를, 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 2000rpm, 30초로 스핀코트 하고, 코트 후, 열처리를 100 ℃에서 1분간 실시하였다. 코트 후의 막 두께는 450nm이다.
활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 12.5pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 1분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 Hitachi사의 블랙라이트(365nm)를 이용하고, 대기 중에서 1mW/h의 광원을 이용하여 10분, 15분, 30분의 자외선을 전면노광 하였다.
잠상형성단계 후, 100 ℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 25℃에서 1분간 현상하였다.
여기서, 일부 시료에 대해서는, 활성화단계 후, 100℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을25℃에서 1분간 현상하였다.
도36은, 실시예16에서의 현상 후, 75nm로 그린 라인 및 스페이스 패턴의 AFM상을 나타낸다. 본 전자-광 복합조사 프로세스에 의해, 75nm의 라인 및 스페이스 패턴이 고해상도로 그려졌음을 알 수 있다.
도37에, 365nm의 자외선을 전면노광 했을 때의 전자빔의 감도곡선을 나타낸다. 전자빔에 의한 레지스트재료의 감도E0은, 자외선의 노광량 증가와 함께 작아졌음을 알 수 있다.
[실시예17]
실시예16의 레지스트재료에 비해, 증감체 전구체를 첨가하지 않는 레지스트재료를 조제하고, 이 레지스트재료에 대하여 실시예16과 동일 조건으로 현상까지의 공정을 실시하였다.
조제한 레지스트재료를, 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 2000rpm, 30초로 스핀코트 하였다. 스핀코트 후, 열처리를 100 ℃에서 1분간 실시하였다.
AFM을 이용하여 스핀코트 후의 막 두께를 계측한 결과, 두께는 450nm이다.
활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 12.5pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행 후, 인터벌로서 대기 중에서 레지스트층을 1분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 블랙라이트(365nm)(Hitachi Appliances, Inc. 제품)를 이용하고, 대기 중에서 1mW/h의 광원을 이용하여 10분, 15분, 30분의 자외선 전면노광을 실시하였다.
잠상형성단계 후, 100 ℃, 60초간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 25℃에서 1분간 현상하였다.
365nm의 자외선 노광 후의 전자빔 감도E0을 표에 나타낸다. 자외선을 노광하지 않는 경우의 감도E0과 거의 등가이며, 실시예13의 광 산발생제를 첨가한 레지스트재료와는 달리, 자외선 노광에 의한 고감도화가 이루어지지 않음을 알 수 있다.
10분 15분 30분 45분 60분
E0(μC/cm2) 12.0 11.9 12.1 12.2 12.1
[실시예18]
실시예13에서 조제한 레지스트재료를, 스핀코터(MIKASA CO.,LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 2000rpm, 30초로 스핀코트 하고, 코트 후, 열처리를 100 ℃에서 1분간 실시하였다. 코트 후의 막 두께는 450nm이다.
활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 20pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 곧바로 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 LED광원(365nm, 3D 라임라이트)을 이용하여, 진공 중에서 0.9mW/h의 광원을 이용하여 5분, 10분, 15분, 30분의 자외선을 전면노광 하였다.
잠상형성단계 후, 100 ℃, 60초간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 24℃에서 1분간 현상하였다.
도38에, LED광원으로부터의 365nm 자외선을 진공 중 전면노광 했을 때의 전자빔의 감도곡선을 나타낸다. 전자빔에 의한 레지스트재료의 감도E0은, 자외선의 노광량 증가와 함께 작아졌음을 알 수 있다.
[실시예19]
실시예13에서 조제한 레지스트재료를, 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 2000rpm, 30초로 스핀코트 하고, 코트 후, 열처리를 100 ℃에서 1분간 실시하였다. 코트 후의 막 두께는 450nm이다.
활성화단계에서, 활성화장치로서JEOL Ltd.사 제품 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 20pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 2분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 LED광원(365nm, 3D 라임라이트)을 이용하여, 대기 중에서 0.7mW/h의 광원을 이용하여 15분, 30분, 45분의 자외선을 전면노광 하였다.
잠상형성단계 후, 100 ℃, 60초간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 24℃에서 1분간 현상하였다.
도39에, LED광원으로부터의 365nm의 자외선을 대기 중 전면노광 했을 때의 전자빔 감도곡선을 나타낸다. 전자빔에 의한 레지스트재료의 감도E0은, 자외선의 노광량 증가와 함께 작아졌음을 알 수 있다.
[실시예20]
시클로헥사논에 용해시킨 MMA에 PAG(DPI-PFBS), 0.05M을 첨가한 계에, 증감체 전구체로서 TriOMeBzH를 0.1M 첨가한 혼합물을 실시예20의 레지스트재료로서 조제하였다. 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 4000rpm, 60초로 스핀코트 하고, 코트 후, 열처리를 100 ℃에서 1분간 실시하였다. 코트 후의 막 두께는 AFM측정의 결과 140nm이다.
활성화단계에서, 활성화장치로서JEOL Ltd.사 제품 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 12.5pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 1분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서TOSHIBA CORPORATION사의 블랙라이트(320nm)를 이용하여, 대기 중에서 1mW/h의 광원을 이용하여 5분, 10분, 30분의 자외선을 전면노광 하였다.
잠상형성단계 후, 100 ℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 25℃에서 1분간 현상하였다.
여기서, 일부 시료에 대해서는, 활성화단계 후, 100 ℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 25℃에서 1분간 현상하였다.
도40에, 자외선을 전면노광 했을 때의 전자빔 감도곡선을 나타낸다. 전자빔에 의한 레지스트재료의 감도E0은, 자외선의 노광량 증가와 함께 작아졌음을 알 수 있다.
[실시예21]
레지스트재료에 대하여, 자외선 노광을 실시하지 않는 경우의 감도곡선을 구했다. 자외선 노광 이외의 단계는 실시예20과 동일하다. 도41에 감도곡선을 나타낸다. 감도E0은 13.8mC/cm2이므로, 실시예20에 나타낸 자외선광을 조사하는 잠상형성단계를 실시함으로써 고감도화가 이루어졌음을 알 수 있다.
[실시예22]
실시예20의 레지스트재료를, 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 4000rpm, 60초로 스핀코트 하고, 코트 후, 열처리를 100 ℃에서 1분간 실시하였다. 코트 후의 막 두께는 140nm이다.
활성화단계에서, 활성화장치로서JEOL Ltd.사 제품 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 12.5pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 곧바로 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 LED광원(365nm, 3D 라임라이트)을 이용하여, 진공 중에서 0.9mW/h의 광원을 이용하여 3분, 5분, 10분, 15분의 자외선을 전면노광 하였다.
잠상형성단계 후, 100 ℃, 60초간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 24℃에서 1분간 현상하였다.
도42에, LED광원으로부터의 365nm 자외선을 진공 중 전면노광 했을 때의 전자빔 감도곡선을 나타낸다. 전자빔에 의한 레지스트재료의 감도E0은, 자외선의 노광량 증가와 함께 작아졌음을 알 수 있다.
[실시예23]
실시예20의 레지스트재료를, 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 4000rpm, 60초로 스핀코트 하고, 코트 후, 열처리를 100 ℃에서 1분간 실시하였다. 코트 후의 막 두께는 140nm이다.
활성화단계에서, 활성화장치로서JEOL Ltd.사 제품 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 12.5pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 1분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서 LED광원(365nm, 3D 라임라이트)을 이용하여, 대기 중에서 0.7mW/h의 광원을 이용하여 5분, 10분, 15분의 자외선을 전면노광 하였다.
잠상형성단계 후, 100 ℃, 60초간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 24℃에서 1분간 현상하였다.
도43에, LED광원으로부터의 365nm 자외선을 대기 중 전면노광 했을 때의 전자빔 감도곡선을 나타낸다. 전자빔에 의한 레지스트재료의 감도E0은, 자외선의 노광량 증가와 함께 작아졌음을 알 수 있다.
[실시예24]
시클로헥사논에 용해시킨 메틸메타크릴레이트계 고분자(이하, "MMA"라 기재함)에 산 발생제(이하, "PAG"라 기재, (DPI-PFBS), 0.05M을 첨가한 계에, 증감체 전구체로서 DOBzMM을 0.1M 첨가한 혼합물을 실시예24의 레지스트재료로서 조제하였다.
조제한 레지스트재료를, 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 4000rpm, 1200초로 스핀코트 했다. 스핀코트 후, 열처리를 100 ℃에서 1분간 실시하였다.
스핀코트 후의 막 두께를 AFM(Hitachi High-Tech Science사, NanoNavi II/SPA-300HV,)을 이용하여 계측한 결과, 두께는 90nm이다.
활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 12.5pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행하고, 인터벌로서 대기 중에서 레지스트층을 1분간 유지한 후, 패턴잠상 형성단계를 진공 중에서 실행하였다.
패턴잠상 형성단계에서, 패턴잠상 형성부로서 LED광원(365nm, 3D 라임라이트)을 이용하여, 진공 중에서 0.9mW/h의 광원을 이용하여 10분, 15분의 자외선을 전면노광 하였다. 자외선 노광을 하지 않는 계도 준비하였다.
잠상형성단계 후, 100 ℃, 60초간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 24℃에서 1분간 현상하였다.
도44에, LED광원으로부터의 365nm 자외선을 진공 중 전면노광 했을 때의 전자빔 감도곡선을 나타낸다. 전자빔에 의한 레지스트재료의 감도E0은, 자외선의 노광량 증가와 함께 작아졌음을 알 수 있다.
[실시예25]
실시예24의 레지스트재료에 트리옥틸아민(TOA)을 0.005M 첨가하고, 시클로헥사논을 이용하여, 5배로 희석하여 레지스트재료를 조제하고, 1000rpm, 60초로 스핀코트 하고, 코트 후, 열처리를 100 ℃에서 1분간 실시하였다. 코트 후의 막 두께는 50nm이다.
활성화단계에서, 활성화장치로서JEOL Ltd.사 제품 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 30pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행한 후, 인터벌로서 대기 중에서 레지스트층을 1분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서AS ONE CORPORATION.사의 SLUV-6(365nm)을 이용하여, 대기 중에서 0.7mW/h의 광원을 이용하여 5분, 10분, 15분의 자외선을 전면노광 하였다.
잠상형성단계 후, 100 ℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 24℃에서 1분간 현상하였다.
여기서, 일부 시료에 대해서는, 활성화단계 후, 100 ℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 24℃에서 1분간 현상하였다. 도45, 도46 및 도47에 잠상형성단계에 의한 패턴형성 후의 SEM상을 나타낸다.
[실시예26]
실시예25의 레지스트재료를 사용하여, 활성화단계에서, 활성화장치로서 ENERGETIQ사의 감도평가장치(EQ-10M 크세논플라즈마, 플레임 노광)를 이용하여, 파장 13.5nm, 0.01mJ/cm2/s 의 극자외선(EUV광)을 레지스트층으로 조사하였다.
활성화단계 실행 후, 인터벌로서 진공 데시케이터 내에서 레지스트층을 5분간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서AS ONE CORPORATION.사의 SLUV-6(365nm)을 이용하여, 대기 중에서 0.7mW/h의 광원을 이용하여 5분, 10분, 15분의 자외선을 전면노광 하였다.
잠상형성단계 후, 100 ℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 24℃에서 1분간 현상하였다.
여기서, 일부 시료에 대해서는, 활성화단계 후에 100℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을24℃에서 1분간 현상하였다. 도48에, LED광원으로부터의 365nm 자외선을 진공 중 전면노광 했을 때의 전자빔의 감도곡선을 나타낸다.
[실시예27]
실시예25의 레지스트재료를 사용하여, 활성화단계에서, 활성화장치로서ELIONIX INC.사의 패터닝장치 ELS-7700T(벡터스캔 방식)를 이용하여, 조사전류 20pA, 가속전압 75kV의 전자선을 레지스트층으로 조사하였다.
활성화단계 실행 후, 진공보관하고, 진공 중에서 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서AS ONE CORPORATION.사의 SLUV-6(365nm)을 이용하여, 석영 창을 통해 0.8mW/h의 광원을 이용하여 15분의 자외선을 전면노광 하였다.
잠상형성단계 후, 대기 중으로 꺼내어 100 ℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 25℃에서 1분간 현상하였다.
여기서, 일부 시료에 대해서는, 활성화단계 후, 100℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을25℃에서 1분간 현상하였다. 도49, 도50에, 잠상형성단계에 의한 패턴형성 후의 SEM상을 나타낸다.
[실시예28]
시클로헥사논에 용해시킨 메틸메타크릴레이트계 고분자(이하 "MMA"라 기재함)에 PAG로서 설포늄염계의 PBpS-PFBS를 0.05M 첨가한 계에, 증감체 전구체로서 DOBzMM을 0.1M 첨가한 혼합물을 실시예28의 레지스트재료로서 조제하였다.
조제한 레지스트재료를 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 4000rpm, 120초로 스핀코트 하였다. 스핀코트 후, 열처리를 110 ℃에서 1분간 실시하였다.
스핀코트 후의 막 두께를AFM(Hitachi High-Tech Science Corporation. NanoNavi II/SPA-300HV)을 이용하여 계측한 결과, 두께는 49nm이다.
활성화단계에서, 활성화장치로서ELIONIX INC.사의 패터닝장치 ELS-7700T(벡터스캔 방식)를 이용하여, 조사전류 10pA, 가속전압 75kV의 전자선을 레지스트층으로 조사하였다.
활성화단계 실행 후, 진공보관하고, 진공 중에서 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서AS ONE CORPORATION.사의 SLUV-6(365nm)을 이용하여, 석영 창을 통해 0.8mW/h의 광원을 이용하여 10분, 30분의 자외선을 전면노광 하였다.
잠상형성단계 후, 100 ℃, 1분간 열처리를 질소기류 중에서 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 25℃에서 1분간 현상하였다.
여기서, 일부 시료에 대해서는, 활성화단계 후, 100℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을25℃에서 1분간 현상하였다.
도51에, 자외선을 전면노광 했을 때의 전자빔 감도곡선을 나타낸다. 전자빔에 의한 레지스트재료의 감도E0은, 자외선의 노광량 증가와 함께 작아졌음을 알 수 있다.
[실시예29]
시클로헥사논에 용해시킨 메틸메타크릴레이트계 고분자(이하 "MMA"라 기재함)에 PAG로서 DPI-PFBS를 0.05M 첨가한 계에, 증감체 전구체로서 DOBzMM을 0.05M, TetraMeBzH를 0.05M 첨가한 혼합물을 실시예29의 레지스트재료로서 조제하였다.
조제한 레지스트재료를 스핀코터(MIKASA CO., LTD)를 이용하여, 미리 HMDS처리를 실시한 실리콘기판 상에 4000rpm, 120초로 스핀코트 하였다. 스핀코트 후, 열처리를 100 ℃에서 1분간 실시하였다.
스핀코트 후의 막 두께를AFM(Hitachi High-Tech Science Corporation. NanoNavi II/SPA-300HV)을 이용하여 계측한 결과, 두께는 60nm이다.
활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 20pA, 가속전압 30keV의 전자선을 레지스트층으로 조사하였다.
활성화단계 실행 후, 인터벌로서 대기 중에서 레지스트층을 30초간 유지한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서AS ONE CORPORATION.사의 SLUV-6(365nm)을 이용하여, 대기 중에서 0.7mW/h의 광원을 이용하여 3분, 5분, 10분의 자외선을 전면노광 하였다.
잠상형성단계 후, 110 ℃, 1분간 열처리를 대기 중에서 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을 24℃에서 1분간 현상하였다.
여기서, 일부 시료에 대해서는, 활성화단계 후, 100℃, 1분간 열처리를 실시하고, 현상단계에서 현상액 TMAH 2.38%에 의해 레지스트층을24℃에서 1분간 현상하였다.
도52에, 자외선을 전면노광했을 때의 전자빔 감도곡선을 나타낸다. 전자빔에 의한 레지스트재료의 감도E0은, 자외선의 노광량 증가와 함께 작아졌음을 알 수 있다.
[실시예30]
레지스트층으로서 ZEP520A(ZEON CORPORATION 제품)를 채용하였다. 레지스트층(ZEP520A)의 막 두께는 AFM측정으로부터 300nm이다. 활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 28pA, 가속전압 30kV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서AS ONE CORPORATION사의 SLUV-6 블랙라이트를 이용하여, 필터에 의해, 레지스트의 흡수가 없는 파장(365nm)의 광만을 대기 중에서 0.7mW/h광원을 이용하여 15분, 20분, 30분, 60분의 UV를 전면노광 하였다. 또, UV미노광의 계에 대해서도 마찬가지의 방법으로 실시하였다.
현상단계에서, 현상액 ZED-N50(ZEON CORPORATION제품)에 의해 레지스트층을 24℃에서 60초간 현상하였다.
도53에, 실시예30에서의 감도곡선을 나타낸다. 실시예30의 결과에 따르면, 종래 반응을 유기하지 않는 UV광의 파장임에도 불구하고, 패터닝노광만의 패터닝에 비해, 15분 정도의 UV노광에 의해 30% 정도 고감도화됨을 알 수 있다.
[실시예31]
레지스트층으로서 ZEP520A(ZEON CORPORATION 제품)를 채용하였다. 레지스트층(ZEP520A)의 막 두께는 AFM측정에서 50nm이다. 활성화단계에서, 활성화장치로서JEOL Ltd.사의 패터닝장치 JSM-6500F(빔블랭커 장착:래스터스캔 방식)를 이용하여, 조사전류 30pA, 가속전압 30kV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서AS ONE CORPORATION사의 SLUV-6 블랙라이트를 이용하여, 필터에 의해, 레지스트의 흡수가 없는 파장(365nm)의 광만을 대기 중에서 0.7mW/h광원을 이용하여 15분의 UV를 전면노광 하였다. 또, UV미노광의 계에 대해서도 마찬가지의 방법으로 실시하였다.
현상단계에서, 현상액 ZED-N50(ZEON CORPORATION제품)에 의해 레지스트층을 13℃에서 60초간 현상하였다. 도54에, 얻어진 가공패턴의 SEM상을 나타낸다.
[실시예32]
레지스트층으로서 ZEP520A(ZEON CORPORATION 제품)를 채용하였다. 레지스트층(ZEP520A)의 막 두께는 AFM측정에서 50nm이다. 활성화단계에서, 활성화장치로서ELIONIX INC.사의 패터닝장치 ELS-7700T(벡터스캔 방식)를 이용하여, 조사전류 100pA, 가속전압 75kV의 전자선을 레지스트층으로 조사하였다.
활성화단계를 실행한 후, 패턴잠상 형성단계를 실행하였다. 패턴잠상 형성단계에서, 패턴잠상 형성부로서AS ONE CORPORATION사의 SLUV-6 블랙라이트를 이용하여, 필터에 의해, 레지스트의 흡수가 없는 파장(365nm)의 광만을 대기 중에서 0.7mW/h광원을 이용하여 15분의 UV를 전면노광 하였다. 또, UV미노광의 계에 대해서도 마찬가지의 방법으로 실시하였다.
현상단계에서, 현상액 ZED-N50(ZEON CORPORATION제품)에 의해 레지스트층을 13℃에서 60초간 현상하였다. 도55에, 얻어진 가공패턴의 SEM상을 나타낸다.
[실시예33]
메틸메타크릴레이트계 고분자에 산발생제 0.05M을 첨가한 계를 신규 프로세스용 레지스트재료로서 조정하였다. 레지스트층의 막 두께는 AFM측정으로부터 70nm이다. Hamamatsu Photonics K.K사의 전자선 노광장치(EB-ENGINE) 내의 진공/불활성가스 환경 하 조사실 안에 LED광소자를 내장시켜, 365nm광과 전자선의 동시 노광이 가능한 장치로 개조한 복합노광장치를 제작하였다.
활성화단계에서, 활성화장치로서 해당 전자선을 이용하여, 또한 패턴잠상 형성장치로서 365nm의 LED광을 이용하여 진공 하에서 스텐실마스크(72μm×72μm)를 통해, 조사전류 5μA/cm2, 가속전압 100kV의 전자선을 레지스트층으로 노광하고, 또 0.91mW/h 출력의 365nm UV광을 레지스트층으로 노광하여, 활성화단계와 패턴잠상 형성단계를 실행하였다.
현상단계에서, 현상액 TMAH 2.38%에 의해 레지스트층을 24℃에서 60초간 현상하였다. 표에, 실시예33에서의 전자선의 노광량과 막 두께를 나타낸다.
노광량(μC/cm2) 1 2 3 4 5 6 7 8
규격화 막두께 1 1 0.99 0.82 0.13 0 0 0
비교를 위해, 365nm의 UV광을 노광하지 않는 것도 실시하였다. 그때의 전자선의 노광량과 막 두께를 나타낸다.
노광량(μC/cm2) 4 8 10 12 14 16 18 20
규격화 막두께 1 1 0.93 0.91 0.77 0.21 0.13 0
실시예33의 결과에 따르면, UV를 동시 조사하지 않는 계(비교예)에 비해, 동시 조사함으로써 감도가 약 4배 고감도화되었다.
이상, 도1~도55를 참조하여 본 발명에 관한 실시형태를 설명하였다. 본 발명에 관한 실시형태에 의하면, 패턴 해상도를 유지하면서, 노광공정의 스루풋 향상이 실현되고, 노광시스템의 대폭적인 저비용화가 달성된다. 또 저출력의 광원이 적용 가능하므로, 광원장치, 노광장치 내 소모부품의 수명이 길어져, 보수 및 운전비용도 대폭으로 저감할 수 있다.
[산업상 이용가능성]
본 발명의 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료는, 기판 상에 레지스트패턴을 형성하는 노광공정에 적합하게 이용된다. 본 발명의 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료에 의하면, 레지스트의 감도를 향상시킬 수 있다.
11 : 기판
12 : 레지스트층
121 : 제1노광부위
122 : 제2노광부위
21 : 활성화 에너지원
22 : 잠상형성 에너지원

Claims (22)

  1. 기판에, 베이스 수지 및 증감체 전구체를 함유하는 레지스트 조성물을 포함하는 레지스트층을 형성하는 레지스트층 형성단계와,
    활성화 에너지빔을 조사하여, 상기 증감체 전구체로부터 증감체를 생성하는 활성화 에너지빔 조사단계와,
    잠상형성 에너지빔의 조사에 의해, 상기 증감체가 여기(勵起) 상태와 여기 전 상태의 사이의 천이를 반복함으로써 상기 베이스 수지와 반응하는 반응물을 증감시켜 생성하여 상기 레지스트층에 패턴잠상을 형성하는 패턴잠상 형성단계와,
    상기 패턴잠상이 형성된 레지스트층을 현상하는 현상단계를 함유하는, 레지스트패턴 형성방법.
  2. 청구항 1에 있어서,
    상기 활성화 에너지빔을 조사하지 않고 상기 잠상형성 에너지빔을 조사하여도 상기 증감체는 생성되지 않는, 레지스트패턴 형성방법.
  3. 청구항 1 또는 청구항 2에 있어서,
    상기 레지스트층 형성단계에서, 상기 증감체 전구체는, 비스(4-메톡시페닐)메탄올(DOMeBzH)、디메톡시벤즈히드롤 유도체(DOBzMM) 및 트리메톡시벤즈히드롤(TriOMeBzH)로 이루어진 군에서 선택된 적어도 1개를 포함하는, 레지스트패턴 형성방법.
  4. 청구항 1 또는 청구항 2에 있어서,
    상기 패턴잠상 형성단계에서, 상기 잠상형성 에너지빔의 파장은 상기 활성화 에너지빔의 파장보다 긴, 레지스트패턴 형성방법.
  5. 청구항 1 또는 청구항 2에 있어서,
    상기 활성화 에너지빔 조사단계에서, 상기 활성화 에너지빔은 자외선, 깊은 자외선, 극자외선 및 X선을 포함하는 전자파, 전자선, 또는 이온빔이며,
    상기 패턴잠상 형성단계에서, 상기 잠상형성 에너지빔은 가시광 또는 자외광인, 레지스트패턴 형성방법.
  6. 청구항 1 또는 청구항 2에 있어서,
    상기 활성화 에너지빔 조사단계에서, 상기 활성화 에너지빔의 조사에 의해 상기 증감체와 함께 산을 생성하는, 레지스트패턴 형성방법.
  7. 청구항 1 또는 청구항 2에 있어서,
    상기 활성화 에너지빔 조사단계에서, 상기 활성화 에너지빔의 조사에 의해, 상기 증감체와 산 중 상기 증감체만을 생성하는, 레지스트패턴 형성방법.
  8. 청구항 1 또는 청구항 2에 있어서,
    상기 패턴잠상 형성단계에서, 상기 잠상형성 에너지빔의 조사에 의해 산을 생성하는, 레지스트패턴 형성방법.
  9. 청구항 1 또는 청구항 2에 있어서,
    상기 패턴잠상 형성단계에서, 상기 잠상형성 에너지빔의 조사에 의해 산과 함께 상기 증감체를 생성하는, 레지스트패턴 형성방법.
  10. 청구항 1 또는 청구항 2에 있어서,
    상기 패턴잠상 형성단계에서, 상기 잠상형성 에너지빔의 조사에 의해 상기 증감체를 생성하지 않고 산을 생성하는, 레지스트패턴 형성방법.
  11. 청구항 1 또는 청구항 2에 있어서,
    상기 활성화 에너지빔 조사단계는, 상기 레지스트층에 활성종을 생성하는 단계를 포함하며,
    상기 레지스트패턴 형성방법은, 상기 레지스트층에 생성된 활성종의 감쇠를 억제하는 감쇠억제단계를 추가로 함유하는, 레지스트패턴 형성방법.
  12. 청구항 1 또는 청구항 2에 있어서,
    상기 패턴잠상 형성단계는, 상기 레지스트층에 활성종이 생성된 상태에서, 상기 잠상형성 에너지빔의 조사에 의해, 상기 레지스트층에 패턴잠상을 형성하는, 레지스트패턴 형성방법.
  13. 청구항 1 또는 청구항 2에 있어서,
    상기 활성화 에너지빔 조사단계에서, 상기 증감체 전구체가 산 발생제로서 기능하는, 레지스트패턴 형성방법.
  14. 청구항 1 또는 청구항 2에 있어서,
    상기 증감체 전구체가 산 발생제로서 기능하고,
    상기 활성화 에너지빔의 상기 레지스트 조성물로의 조사에 의해, 전자가 생성되며,
    상기 증감체 전구체는 상기 생성된 전자와 반응하여, 상기 증감체와 산을 생성하는, 레지스트패턴 형성방법.
  15. 청구항 1 또는 청구항 2에 있어서,
    상기 레지스트 조성물은 산 발생제를 추가로 함유하며,
    상기 활성화 에너지빔의 상기 레지스트 조성물로의 조사에 의해 전자가 생성되고,
    상기 산 발생제와 상기 생성된 전자의 반응에 의해 라디칼이 생성되며,
    상기 생성된 라디칼과 상기 증감체 전구체의 반응에 의해 상기 증감체가 생성되는, 레지스트패턴 형성방법.
  16. 청구항 1 또는 청구항 2에 있어서,
    상기 레지스트 조성물은 산 발생제를 추가로 함유하며,
    상기 활성화 에너지빔의 상기 레지스트 조성물로의 조사에 의해, 상기 산 발생제로부터 산이 생성되고,
    상기 생성된 산과 상기 증감체 전구체가 반응하여 상기 증감체가 생성되는, 레지스트패턴 형성방법.
  17. 청구항 1 또는 청구항 2에 있어서,
    상기 패턴잠상 형성단계에 있어서, 상기 패턴잠상은 상기 증감체와는 다른 물질에 의해 형성되는, 레지스트패턴 형성방법.
  18. 청구항 1에 있어서,
    상기 활성화 에너지빔을 조사하지 않고 상기 잠상형성 에너지빔을 조사하여도 상기 증감체가 생성되는, 레지스트패턴 형성방법.
  19. 청구항 1 또는 청구항 2에 있어서,
    상기 활성화 에너지빔 조사단계는, 상기 패턴잠상 형성단계와 동시에 실행되는, 레지스트패턴 형성방법.
  20. 청구항 1 또는 청구항 2에 있어서,
    상기 활성화 에너지빔 조사단계에 있어서 상기 활성화 에너지빔을 출사하는 활성화 에너지원은, 상기 패턴잠상 형성단계에 있어서 상기 잠상형성 에너지빔을 출사하는 잠상형성 에너지원과 동일한, 레지스트패턴 형성방법.
  21. 청구항 1 또는 청구항 2에 있어서,
    상기 활성화 에너지빔 조사단계에 있어서 상기 활성화 에너지빔을 출사하는 활성화 에너지원은, 상기 패턴잠상 형성단계에 있어서 상기 잠상형성 에너지빔을 출사하는 잠상형성 에너지원과 다른, 레지스트패턴 형성방법.
  22. 청구항 1 또는 청구항 2에 있어서,
    상기 활성화 에너지빔 조사단계에 있어서 상기 증감체는 라디칼, 이온 또는 이온 라디칼을 포함하는 중간체를 가지는, 레지스트패턴 형성방법.
KR1020177025563A 2013-02-20 2014-02-20 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료 KR102062966B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2013-031125 2013-02-20
JP2013031125 2013-02-20
JPJP-P-2013-211479 2013-10-08
JP2013211479 2013-10-08
PCT/JP2014/054068 WO2014129556A1 (ja) 2013-02-20 2014-02-20 レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157025652A Division KR101779683B1 (ko) 2013-02-20 2014-02-20 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료

Publications (2)

Publication Number Publication Date
KR20170106653A KR20170106653A (ko) 2017-09-21
KR102062966B1 true KR102062966B1 (ko) 2020-01-06

Family

ID=51391338

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177025563A KR102062966B1 (ko) 2013-02-20 2014-02-20 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료
KR1020157025652A KR101779683B1 (ko) 2013-02-20 2014-02-20 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020157025652A KR101779683B1 (ko) 2013-02-20 2014-02-20 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료

Country Status (7)

Country Link
US (2) US9977332B2 (ko)
EP (1) EP2960926B1 (ko)
JP (3) JP5988115B2 (ko)
KR (2) KR102062966B1 (ko)
CN (1) CN105164789B (ko)
TW (1) TWI567788B (ko)
WO (1) WO2014129556A1 (ko)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160006721A (ko) 2013-05-13 2016-01-19 도요 고세이 고교 가부시키가이샤 시제 및 레지스트의 조성물
CN105339457B (zh) * 2013-06-24 2018-07-20 东洋合成工业株式会社 用于增强化学物质产生的试剂
JP2016529330A (ja) 2013-06-27 2016-09-23 東洋合成工業株式会社 化学種の発生を向上させるための試剤
WO2014208102A1 (en) * 2013-06-27 2014-12-31 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
WO2015019616A1 (en) 2013-08-07 2015-02-12 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species
TWI600966B (zh) * 2014-02-21 2017-10-01 東京威力科創股份有限公司 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板
EP3109703B1 (en) * 2014-02-21 2020-12-30 Tokyo Electron Limited Photosensitization chemical-amplification type resist material, and method for forming pattern using same
WO2015127353A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist
WO2015125495A1 (en) * 2014-02-24 2015-08-27 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
WO2015127348A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist
DE112015000546T5 (de) * 2014-02-25 2016-11-10 Tokyo Electron Limited Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists
WO2015129275A1 (en) * 2014-02-26 2015-09-03 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
WO2015129284A1 (en) * 2014-02-28 2015-09-03 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
US9713264B2 (en) 2014-12-18 2017-07-18 Intel Corporation Zero-misalignment via-pad structures
JP6575141B2 (ja) * 2015-05-22 2019-09-18 日本ゼオン株式会社 レジストパターン形成方法および現像条件の決定方法
JP6512994B2 (ja) 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6774814B2 (ja) * 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
JP6809843B2 (ja) 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
JP2017045044A (ja) * 2015-08-26 2017-03-02 Jsr株式会社 レジストパターン形成方法及び化学増幅型感放射線性樹脂組成物
US20170059992A1 (en) * 2015-08-26 2017-03-02 Jsr Corporation Resist pattern-forming method and chemically amplified radiation-sensitive resin composition
JP2017054116A (ja) 2015-09-10 2017-03-16 Jsr株式会社 レジストパターン形成方法
JP6507958B2 (ja) 2015-09-10 2019-05-08 Jsr株式会社 化学増幅型レジスト材料及びレジストパターン形成方法
US9989849B2 (en) 2015-11-09 2018-06-05 Jsr Corporation Chemically amplified resist material and resist pattern-forming method
US10018911B2 (en) * 2015-11-09 2018-07-10 Jsr Corporation Chemically amplified resist material and resist pattern-forming method
EP3382452B1 (en) * 2015-11-25 2021-03-10 Osaka University Resist-pattern formation method and resist material
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
JP6750155B2 (ja) 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御
KR102669150B1 (ko) * 2016-07-27 2024-05-27 삼성전자주식회사 자외선(uv) 노광 장치를 구비한 극자외선(euv) 노광 시스템
JP6726558B2 (ja) * 2016-08-03 2020-07-22 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記録媒体
JP2019168475A (ja) * 2016-08-08 2019-10-03 Jsr株式会社 化学増幅型レジスト材料及びレジストパターン形成方法
US11142495B2 (en) 2016-10-17 2021-10-12 Toyo Gosei Co., Ltd. Composition and method for manufacturing device using same
US10042252B2 (en) 2016-11-30 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US10545408B2 (en) * 2017-08-18 2020-01-28 Varian Semiconductor Equipment Associates, Inc. Performance improvement of EUV photoresist by ion implantation
US11061332B2 (en) * 2017-09-22 2021-07-13 Tokyo Electron Limited Methods for sensitizing photoresist using flood exposures
EP3809206A4 (en) 2018-06-14 2021-08-18 Osaka University METHOD OF MANUFACTURING A RESIST PATTERN
US10790251B2 (en) 2018-06-20 2020-09-29 Micron Technology, Inc. Methods for enhancing adhesion of three-dimensional structures to substrates
CN113490584B (zh) * 2019-03-29 2023-06-30 富士胶片株式会社 光照射装置、光照射方法、光照射装置的动作方法及存储介质
KR20220046598A (ko) 2019-08-16 2022-04-14 도쿄엘렉트론가부시키가이샤 확률 중심 결함 교정을 위한 방법 및 공정
JPWO2022255119A1 (ko) * 2021-06-01 2022-12-08

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011237477A (ja) 2010-05-06 2011-11-24 Shin Etsu Chem Co Ltd ネガ型レジスト材料、パターン形成方法及びフォトマスクブランク

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5330882A (en) 1990-06-05 1994-07-19 Nippon Paint Co., Ltd. Process for exposing a photosensitive resin composition to light
JPH04278955A (ja) * 1990-06-05 1992-10-05 Nippon Paint Co Ltd 感光性樹脂組成物の露光方法
JP3081655B2 (ja) * 1991-03-12 2000-08-28 株式会社東芝 レジストパターンの形成方法
JPH0521331A (ja) 1991-07-15 1993-01-29 Toshiba Corp 半導体装置の製造方法
JPH05144693A (ja) 1991-11-18 1993-06-11 Mitsubishi Electric Corp パターン形成方法
JPH0653106A (ja) 1992-07-29 1994-02-25 Nec Corp 微細レジストパターンの形成方法
US5286612A (en) 1992-10-23 1994-02-15 Polaroid Corporation Process for generation of free superacid and for imaging, and imaging medium for use therein
JPH08222501A (ja) * 1995-02-13 1996-08-30 Sony Corp 露光方法
JPH09251210A (ja) * 1996-03-15 1997-09-22 Toshiba Corp レジストパターンの形成方法
JPH10198036A (ja) * 1997-01-09 1998-07-31 Konica Corp 画像形成材料及び画像形成方法
JP3011684B2 (ja) * 1997-03-18 2000-02-21 株式会社東芝 近接効果補正方法及び近接効果補正装置
JP2002174894A (ja) 2000-12-07 2002-06-21 Fuji Photo Film Co Ltd 電子線又はx線用ポジ型レジスト組成物
JP4142313B2 (ja) * 2002-02-28 2008-09-03 コダックグラフィックコミュニケーションズ株式会社 光重合性組成物、光重合性平版印刷版及びそれを用いた画像形成方法
JP2003330168A (ja) * 2002-05-14 2003-11-19 Fujitsu Ltd レジスト組成物、レジストパターンの製造方法、及び半導体装置の製造方法
EP2264531B1 (en) * 2003-07-09 2013-01-16 Nikon Corporation Exposure apparatus and device manufacturing method
JP2005150182A (ja) 2003-11-12 2005-06-09 Matsushita Electric Ind Co Ltd パターン形成方法
US7117685B2 (en) * 2004-08-07 2006-10-10 On Course Solutions, Llc Drinking water cooler
US20060269879A1 (en) * 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
JP2007093866A (ja) 2005-09-28 2007-04-12 Fujifilm Corp 感光性組成物および平版印刷版原版
JP2007248843A (ja) * 2006-03-16 2007-09-27 Fujifilm Corp 感光性組成物、感光性フィルム、感光性積層体、永久パターン形成方法、及びプリント基板
JP5246489B2 (ja) * 2008-03-28 2013-07-24 富士フイルム株式会社 平版印刷版の製版方法及び平版印刷方法
JP2010079270A (ja) * 2008-08-29 2010-04-08 Fujifilm Corp パターン形成方法及びそれに用いる感光性組成物
KR101653427B1 (ko) * 2008-10-20 2016-09-01 바스프 에스이 술포늄 유도체 및 잠재성 산으로서의 그의 용도
JP5421585B2 (ja) 2008-12-24 2014-02-19 旭化成イーマテリアルズ株式会社 感光性樹脂組成物

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011237477A (ja) 2010-05-06 2011-11-24 Shin Etsu Chem Co Ltd ネガ型レジスト材料、パターン形成方法及びフォトマスクブランク

Also Published As

Publication number Publication date
JP5881093B1 (ja) 2016-03-09
JPWO2014129556A1 (ja) 2017-02-02
EP2960926A1 (en) 2015-12-30
TWI567788B (zh) 2017-01-21
US10670967B2 (en) 2020-06-02
TW201438059A (zh) 2014-10-01
EP2960926B1 (en) 2019-05-29
US9977332B2 (en) 2018-05-22
CN105164789A (zh) 2015-12-16
CN105164789B (zh) 2018-04-20
JP6309580B2 (ja) 2018-04-11
EP2960926A4 (en) 2016-11-02
WO2014129556A1 (ja) 2014-08-28
KR20170106653A (ko) 2017-09-21
JP2016035582A (ja) 2016-03-17
US20160004160A1 (en) 2016-01-07
US20180231892A1 (en) 2018-08-16
KR20150125964A (ko) 2015-11-10
JP2016206680A (ja) 2016-12-08
KR101779683B1 (ko) 2017-09-18
JP5988115B2 (ja) 2016-09-07

Similar Documents

Publication Publication Date Title
KR102062966B1 (ko) 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료
KR102170659B1 (ko) 레지스트패턴 형성방법 및 레지스트재료
JP6872484B2 (ja) レジスト組成物、レジストパターン形成方法、レジスト組成物の製造方法、ペロブスカイト材料のリソグラフィプロセスへの使用およびレジスト組成物で被覆された基板
JP6386546B2 (ja) レジストパターン形成方法およびレジスト材料
JP6175455B2 (ja) レジストパターン形成方法
KR102590254B1 (ko) 레지스트패턴 형성방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant