JP6309580B2 - レジスト潜像形成方法 - Google Patents

レジスト潜像形成方法 Download PDF

Info

Publication number
JP6309580B2
JP6309580B2 JP2016147432A JP2016147432A JP6309580B2 JP 6309580 B2 JP6309580 B2 JP 6309580B2 JP 2016147432 A JP2016147432 A JP 2016147432A JP 2016147432 A JP2016147432 A JP 2016147432A JP 6309580 B2 JP6309580 B2 JP 6309580B2
Authority
JP
Japan
Prior art keywords
latent image
image forming
resist
energy beam
sensitizer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016147432A
Other languages
English (en)
Other versions
JP2016206680A (ja
JP2016206680A5 (ja
Inventor
精一 田川
精一 田川
大島 明博
明博 大島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Osaka University NUC
Original Assignee
Osaka University NUC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Osaka University NUC filed Critical Osaka University NUC
Publication of JP2016206680A publication Critical patent/JP2016206680A/ja
Publication of JP2016206680A5 publication Critical patent/JP2016206680A5/ja
Application granted granted Critical
Publication of JP6309580B2 publication Critical patent/JP6309580B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2032Simultaneous exposure of the front side and the backside
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Electromagnetism (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

本発明は、レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料に関する。
半導体デバイスの露光工程において、回路の高集積化と高速度化に伴い、より微細なパターンが求められている。パターン微細化の手法として、主に露光源の短波長化が求められており、例えば、極端紫外光(EUV、波長:13.5nm)は、次世代半導体デバイスの製造に有望な技術として盛んに開発されている。しかし、量産適用に必要な高出力(100W)を持つ光源装置の開発が困難で、現状では10Wレベルに留まっており、パターン潜像を形成するための露光に時間がかかる。また、電子線(EB)を用いた電子線直接描画法では、ビーム径が小さいことから高寸法精度で微細なパターンを形成することができる反面、パターンが複雑で大面積になるほど描画に時間がかかる。このように、極端紫外光や電子線を用いた露光技術では、微細なパターンを形成できるものの、スループットが低いという問題があった。
この問題を解決すべく、露光時間をできるだけ減らすように、レジスト材料の高感度化が進められている。例えば、特許文献1に開示されているレジスト組成物では、特定の樹脂及び化合物を含む組成によって、感度及び解像度の向上を図っていた。
特開2002−174894号公報
しかしながら、感度、解像度、線幅ラフネス(LWR)というレジストの重要な3つの性能の間にはトレードオフの関係があり、レジストの高感度化を行った場合、解像度やLWRが低下するという問題が生じる。このため、解像度や線幅ラフネスを劣化させずにレジストを高感度するには限界があり、スループットが低いという問題を十分に解決することができなかった。
本発明は、上記課題に鑑みてなされたものであり、その目的は、トレードオフの関係を解決し、レジストの感度を向上させることができるレジストパターン形成方法、レジスト潜像形成装置及びレジストパターン形成装置を提供することにある。また、本発明の目的は、高感度のレジスト材料を提供することにある。
本発明のレジストパターン形成方法は、基板にレジスト層を形成するレジスト層形成ステップと、活性化エネルギービームの照射によって前記レジスト層を活性化する活性化ステップと、前記レジスト層の活性の減衰を抑制する減衰抑制ステップと、潜像形成エネルギービームの照射によって、前記活性化されたレジスト層にパターン潜像を形成するパターン潜像形成ステップと、前記レジスト層を現像する現像ステップとを含有する。
本発明のレジストパターン形成方法は、基板にレジスト層を形成するレジスト層形成ステップと、活性化エネルギービームの照射によって前記レジスト層を活性化する活性化ステップと、前記レジスト層が活性化した状態で、潜像形成エネルギービームの照射によって、前記活性化されたレジスト層にパターン潜像を形成するパターン潜像形成ステップと、前記レジスト層を現像する現像ステップとを含有する。
ある実施形態では、前記減衰抑制ステップにおいて、前記活性化されたレジスト層の雰囲気は不活性ガス雰囲気、活性ガス雰囲気又は真空雰囲気である。
ある実施形態において、本発明のレジストパターン形成方法は、前記活性化ステップが行われる位置から前記パターン潜像形成ステップが行われる位置に前記基板を運搬する運搬ステップを更に包含する。
ある実施形態において、前記活性化ステップと前記パターン潜像形成ステップは同時に実行される。
ある実施形態において、前記活性化ステップは、前記レジスト層内のエリアにわたって前記活性化エネルギービームを照射するエリア照射ステップ、及び/又は前記レジスト層内に、パターン形状に前記活性化エネルギービームを照射するパターン形状照射ステップを包含し、前記パターン潜像形成ステップは、前記レジスト層内のエリアにわたって前記潜像形成エネルギービームを照射するエリア照射ステップ、及び/又は前記レジスト層内に、パターン形状に前記潜像形成エネルギービームを照射するパターン形状照射ステップを包含し、前記活性化ステップが前記エリア照射ステップを包含する場合には、前記パターン潜像形成ステップは、前記エリア照射ステップ及び前記パターン形状照射ステップのうちの少なくとも前記パターン形状照射ステップを包含し、前記活性化ステップが前記パターン形状照射ステップを包含する場合には、前記パターン潜像形成ステップは、前記エリア照射ステップ及び前記パターン形状照射ステップのうちの少なくとも前記エリア照射ステップを包含する。
本発明のレジストパターン形成方法は、基板にレジスト層を形成するレジスト層形成ステップと、活性化エネルギービームの照射によって前記レジスト層に安定物質を生成する安定物質生成ステップと、潜像形成エネルギービームの照射によって、前記安定物質が生成された前記レジスト層にパターン潜像を形成するパターン潜像形成ステップと、前記レジスト層を現像する現像ステップとを含有する。
ある実施形態において、本発明のレジストパターン形成方法は、前記レジスト層内の安定物質を変換する変換ステップを更に含有する。
本発明のレジスト潜像形成装置は、活性化装置とパターン潜像形成部とを備えるレジスト潜像形成装置であって、前記活性化装置は、レジスト層を収納可能な活性化チャンバと、前記活性化チャンバ内の前記レジスト層を活性化させるためのエネルギービームを出射する活性化エネルギー源とを有し、前記パターン潜像形成部は、前記レジスト層を収納可能な潜像形成チャンバと、前記潜像形成チャンバ内の前記レジスト層にパターン潜像を形成するためのエネルギービームを出射する潜像形成エネルギー源とを有する。
ある実施形態において、前記活性化エネルギー源および前記潜像形成エネルギー源のうちの一方から出射された前記エネルギービームは、前記レジスト層内のエリアにわたって照射され、前記活性化エネルギー源および前記潜像形成エネルギー源のうちの他方から出射された前記エネルギービームは、前記レジスト層の前記エリア内にパターン形状に照射される。
ある実施形態において、前記潜像形成エネルギー源は前記活性化エネルギー源と同一であり、又は前記潜像形成エネルギー源は前記活性化エネルギー源と異なる。
ある実施形態において、前記活性化チャンバおよび前記潜像形成チャンバの少なくとも一方は、前記レジスト層の周囲の環境が、前記レジスト層の活性の減衰を抑制するように調整される。
ある実施形態において、本発明のレジスト潜像形成装置は、前記基板を前記活性化チャンバから前記潜像形成チャンバに運搬する運搬装置を更に備える。
ある実施形態において、前記潜像形成チャンバは前記活性化チャンバと同一である。
ある実施形態において、前記活性化エネルギー源と前記潜像形成エネルギー源とのうちの少なくとも一方は、イオンビーム照射部、電子線照射部又は電磁波照射部を含む。
本発明のレジストパターン形成装置は、上述したレジスト潜像形成装置と前記レジスト潜像形成装置によって前記パターン潜像の形成されたレジスト層を現像する現像装置とを備える。
本発明のレジスト材料は、ベース樹脂および増感体前駆体を含有するレジスト組成物を含む。前記レジスト組成物は、第1エネルギービームの照射によって増感体を生成し、前記増感体によるレジスト反応を促進させる第2エネルギービームを照射しても増感体を生成させない。
ある実施形態において、前記ベース樹脂は、メチルメタクリレート樹脂を含む。
ある実施形態において、前記レジスト組成物は酸発生剤をさらに含有する。
ある実施形態において、酸発生剤と増感体前駆体とは同一になることもある。
ある実施形態において、前記レジスト組成物はクエンチャーをさらに含有する。
ある実施形態において、前記クエンチャーは前記増感体と反応しない。
(a)〜(e)は、本実施形態のレジストパターン形成方法の工程を示す模式図である。 本実施形態のレジストパターン形成方法におけるエネルギー照射量−残膜率曲線を示す図である。 本実施形態のレジストパターン形成方法におけるエネルギー照射量−時間曲線を示す図である。 本発明の他の実施形態に係るレジストパターン形成方法の工程を説明する図である。 本発明の更なる実施形態に係るレジストパターン形成方法の工程を説明する図である。 (a)〜(d)は、本発明の更なる実施形態に係るレジストパターン形成方法の工程を説明する図である。 (a)〜(c)は、本発明のレジストパターン形成方法の具体例1を説明する図である。 (a)〜(d)は、本発明のレジストパターン形成方法の具体例2を説明する図である。 (a)〜(d)は、本発明のレジストパターン形成方法の具体例3を説明する図である。 (a)〜(e)は、本発明のレジストパターン形成方法の具体例4を説明する図である。 本発明によるレジスト潜像形成装置の実施形態を示す模式図である。 本発明によるレジスト潜像形成装置の実施形態を示す模式図である。 本発明によるレジスト潜像形成装置の実施形態を示す模式図である。 本発明によるレジスト潜像形成装置の実施形態を示す模式図である。 一般的なレジスト材料における酸およびクエンチャーの濃度変化を示す模式図である。 本発明によるレジスト材料の実施形態における酸、増感体、クエンチャーの濃度変化を示す模式図であり、(a)は活性化エネルギービームの照射直後の濃度を示し、(b)は活性化エネルギービームの照射によって生成された酸とクエンチャーとが中和した後の濃度を示し、(c)は潜像形成エネルギービームを照射した後の濃度を示す。 本発明によるレジスト材料の実施形態における酸、増感体、クエンチャーの濃度変化を示す模式図であり、(a)は活性化エネルギービームの照射直後の濃度を示し、(b)は活性化エネルギービームの照射によって生成された酸とクエンチャーとが中和した後の濃度を示し、(c)は潜像形成エネルギービームを照射した後の濃度を示す。 本発明によるレジスト材料の実施形態における酸、増感体、クエンチャーの濃度変化を示す模式図であり、(a)は活性化エネルギービームの照射直後の濃度を示し、(b)は活性化エネルギービームの照射によって生成された酸とクエンチャーとが中和した後の濃度を示し、(c)は潜像形成エネルギービームを照射した後の濃度を示す。 本発明によるレジスト材料の実施形態における酸、増感体、クエンチャーの濃度変化を示す模式図である。 本発明によるレジスト材料の実施形態における酸、増感体、クエンチャーの濃度変化を示す模式図であり、(a)は活性化エネルギービームの照射直後の濃度を示し、(b)は活性化エネルギービームの照射によって生成された酸とクエンチャーとが中和した後の濃度を示し、(c)は潜像形成エネルギービームを照射した後の濃度を示す。 本実施形態における化学反応式である。 本実施形態における現像結果を示す図である。 DOMeBzHとDOMeBzOの吸収率を示すグラフである。 UV露光時間と照射量との関係を示すグラフである。 本実施形態における酸、増感体、クエンチャーの濃度変化を示す模式図であり、(a)は活性化エネルギービームの照射直後の濃度を示し、(b)は活性化エネルギービームの照射によって生成された酸とクエンチャーとが中和した後の濃度を示し、(c)は潜像形成エネルギービームを照射した後の濃度を示す。 実施例1における現像結果を示す図である。 実施例2における現像結果を示す図である。 実施例3における現像結果を示す図である。 実施例4〜実施例6における現像結果を示す図である。 実施例7〜実施例9における現像結果を示す図である。 実施例13における現像結果を示す図である。 実施例13における感度曲線を示すグラフである。 実施例14における現像結果を示す図である。 実施例14における感度曲線を示すグラフである。 実施例15における感度曲線を示すグラフである。 実施例15における現像結果を示す図である。 実施例16における感度曲線を示すグラフである。 実施例17における感度曲線を示すグラフである。 実施例18における感度曲線を示すグラフである。 実施例19における感度曲線を示すグラフである。 実施例20における感度曲線を示すグラフである。 実施例21における感度曲線を示すグラフである。 実施例22における感度曲線を示すグラフである。 実施例23における感度曲線を示すグラフである。 実施例24における現像結果を示す図である。 実施例24における現像結果を示す図である。 実施例24における現像結果を示す図である。 実施例25における感度曲線を示すグラフである。 実施例26における現像結果を示す図である。 実施例26における現像結果を示す図である。 実施例27における感度曲線を示すグラフである。 実施例28における感度曲線を示すグラフである。 実施例29における感度曲線を示すグラフである。 実施例30における現像結果を示す図である。 実施例31における現像結果を示す図である。
以下、図面を参照して、本発明によるレジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置およびレジスト材料の実施形態を説明する。ただし、本発明は以下の実施形態に限定されない。
図1〜図3を参照して、本発明の実施形態に係るレジストパターン形成方法を説明する。図1は、本実施形態のレジストパターン形成方法の工程を示す模式図である。図2は、本実施形態のレジストパターン形成方法におけるエネルギー照射量−残膜率曲線を示す図である。図3は、本実施形態のレジストパターン形成方法におけるエネルギー照射量−時間曲線を示す図である。本実施形態のレジストパターン形成方法は、ステップS101〜ステップS110によって実行される。
まず、図1(a)に示すように、レジスト層形成ステップ(S101)において、基板11にレジスト層12を形成する。具体的には、基板11(例えばウェハー)を用意し、基板11上にレジスト液を塗布してプリベークを行うことでレジスト層12を形成する。レジストには、露光部分が現像液において溶解するポジ型と露光部分が現像液において溶解しないネガ型とがあるが、本実施形態では、ポジ型のレジストを例に説明する。なお、レジストの組成としては、露光によって酸を発生させる酸発生剤と酸の作用によって現像液での溶解性が変化する基材と酸の拡散を抑制するクエンチャーとを含有する化学増幅型であってもよく、酸発生剤を含有しない非化学増幅型であってもよい。
図2に示すように、ポジ型化学増幅レジストの場合では、レジストへ照射したエネルギー量が閾値Ea(以下、潜像形成エネルギー量と記載する)を超えると、レジスト層12には潜像が形成され、潜像が形成された部分は、現像液において溶解し始める。エネルギー量が更に増加して閾値Et(以下、必要エネルギー量と記載する)を超えると、潜像が形成した部分は現像液において完全に溶解し除去される。
次に、図1(b)に示すように、活性化ステップ(S103)において、活性化エネルギービームの照射によってレジスト層12を活性化する。活性化エネルギービームの照射により、レジスト層12内の組成が励起或いはイオン化されて活性状態が生成する。レジスト層12には、活性状態Aと活性状態Bの両方が生成する。或いは、レジスト層12には、活性状態Bのみが生成する。活性状態Aは、酸又は酸の前駆体となる活性状態であり、活性状態Bは、増感体等のような酸の前駆体となる活性状態以外の活性状態である。レジストの種類(ポジ型又はネガ型)によっては、この活性状態Aがレジストの基材に対して極性変換、架橋又は分解反応等を発生し、現像液での溶解性を変化させる。現像時、レジストパターンを形成するには、一定量の活性状態Aが必要である。なお、活性状態Aは例えばカチオン、アニオンまたは酸であり、活性状態Bは例えばラジカルまたは分解生成物である。
活性化ステップは、例えば真空又は不活性雰囲気で行われる。活性化エネルギービームは、レジスト層12を上方から照射するように、活性化エネルギー源21から出射される。ここでは、活性化エネルギービームはレジスト層12内のエリアにわたって照射される。図1に示すように、活性化エネルギービームはレジスト層12内の全体にわたって照射される。しかし、活性化エネルギービームはレジスト層12内の全体に対して、一部のエリアのみにわたって照射されてもよい。なお、活性化エネルギービームは、例えば可視光、UV(紫外線)、DUV(深紫外線)、EUV、X線のような電磁波である。また、活性化エネルギービームは電子線やイオンビームであってもよい。
図2に示すように、活性化ステップ(S103)において、活性化エネルギービームの照射量Efは、潜像形成エネルギー量Eaを超えない照射量である。即ち、活性化ステップ(S103)では、現像時にレジストパターンを形成するのに必要な量よりも少ない活性状態Aを生成する。このため、活性化ステップ(S103)を実行した段階では、現像液においてレジスト層12は溶解せず、レジストパターンは形成されない。
活性化ステップの後、図1(c)に示すように、減衰抑制ステップ(S105)において、レジスト層12の活性の減衰を抑制する。具体的には、後述するパターン潜像形成ステップ(S107)が実行されるまでに、プリベークを行うことなく、環境を制御し、活性化ステップ(S103)において活性化されたレジスト層12内の活性状態A、Bの減衰を抑制する。
例えば、レジスト層12周辺の環境は、活性状態A、Bの減衰を制御できる雰囲気である。活性状態A、Bの減衰を制御できる雰囲気は、塩基性物質を含まない不活性ガス雰囲気または真空雰囲気であってもよく、塩基性物質および/または酸素を遮断するトップコート膜が設けられてもよい。不活性ガス雰囲気の場合には、不活性ガスとして、例えば窒素ガス、ヘリウムガス、アルゴンガスが用いられ、減圧、加圧下で用いることが可能である。真空雰囲気の場合には、レジスト層12の周辺が真空下であれば良く、好ましくは、レジスト層12の周辺を1Pa以下の真空にする。不活性ガス雰囲気又は真空雰囲気の環境中では、レジスト層12に生成された活性状態Bの減衰が抑制される。
また、レジスト層12周辺の環境は、レジスト層12の活性を促進できる雰囲気又は液体であってもよい。活性を促進できる雰囲気として活性ガス雰囲気を使用する。例えば、ポジ型化学増幅レジストを使用する場合、活性ガス雰囲気として例えば吸収波長シフト用の反応性ガスを使用する。活性を促進できる活性液体として、例えば吸収波長シフト用の反応性液体を使用する。レジスト層12に生成された活性状態Bは、活性ガス又は活性液体と反応し、後述するパターン潜像形成ステップ(S107)において活性状態α又は安定物質α1に変換される。活性状態α又は安定物質α1は活性状態Bと同様に増感体として機能し得る。活性状態αは、例えば芳香族化合物ラジカル、ヨウ素化合物ラジカルであり、安定物質α1は例えば芳香族化合物、ヨウ素化合物である。なお、活性液体を使用して活性を促進する場合には、パターン潜像形成ステップ(S107)が実行される前にレジスト層12から活性液体を除去してもよく、活性液体を除去せずにパターン潜像形成ステップ(S107)を実行してもよい。
また、環境の制御の手法として、レジスト層12の温度を制御する手法を用いてもよい。レジスト層12の温度がある閾値温度を超えると活性状態が減衰するため、レジスト層12の温度を閾値温度以下に保持することにより、レジスト層12の活性の減衰を抑制することができる。例えば、活性化ステップ(S103)の後に、減衰抑制ステップ(S105)において急冷処理を行うことによってレジスト層12の温度を閾値温度以下に下げる。閾値温度は例えば30℃である。また、活性化ステップ(S103)を所定の温度以下で行い、減衰抑制ステップ(S105)においてレジスト層12の温度を閾値温度以下のままに保持してもよい。
また、パターン潜像形成ステップ(S107)が実行されるまでの間に、レジスト層12が予期しないエネルギービームに照射されると、活性状態が変わって活性が減衰してしまうことがある。このため、減衰抑制ステップ(S105)において、レジスト層12をエネルギービームに照射されない環境に位置させる。
また、活性状態は時間が経過するにつれて減衰するため、活性化ステップ(S103)と後述するパターン潜像形成ステップ(S107)との間の経過時間を制御することで、レジスト層12の活性の減衰を抑制することもできる。活性化ステップから後述するパターン潜像形成ステップまでの時間は、60分以内であることが好ましい。なお、温度、照度又は時間の制御は、レジスト層12周辺の環境の制御と同時に行われてもよい。
減衰抑制ステップ(S105)の後に、図1(d)に示すように、パターン潜像形成ステップ(S107)を実行する。パターン潜像形成ステップでは、潜像形成エネルギービームの照射によって、活性化されたレジスト層12にパターン潜像を形成する。具体的には、潜像形成エネルギービームは、活性状態B及び活性状態α/安定物質α1を活性状態Aに変換するビームである。潜像形成エネルギービームによって照射されたレジスト層12の部位では、活性状態B及び活性状態α/安定物質α1は、活性状態B及び活性状態A或いは活性状態A’(構造は活性状態Aと異なる活性状態)に変換される。また、潜像形成エネルギービームは、活性状態B及び活性状態α/安定物質α1を活性状態Aに変換すると共に、レジスト層12に活性状態A或いは活性状態A’を生成するビームであってもよい。この場合、潜像形成エネルギービームによって照射されたレジスト層12の部位では、活性状態A或いは活性状態A’が生成すると共に、活性状態B及び活性状態α/安定物質α1が活性状態B及び活性状態A或いは活性状態A’に変換される。図2に示すように、パターン潜像形成ステップ(S107)において、潜像形成エネルギービームの照射量Epは、潜像形成エネルギー量Eaを超えない照射量であり、且つ、潜像形成エネルギービームの照射量Epと活性化エネルギービームの照射量Efの総和は、必要エネルギー量Etを超える。言い換えると、パターン潜像形成ステップ(S107)において、活性状態B及び活性状態α/安定物質α1からの変換で得られた活性状態Aの量は、活性化ステップ(S103)において活性状態Aを生成しない場合を除き、現像時にレジストパターンを形成するのに必要な量よりも少ないが、活性化ステップ(S103)において生成された活性状態Aの量とパターン潜像形成ステップ(S107)において得られた活性状態Aの量との総和は、現像時にレジストパターンを形成するのに必要な量を超える。
潜像形成エネルギービームは、レジスト層12を上方から照射するように、潜像形成エネルギー源22から出射される。潜像形成エネルギー源22は、活性化エネルギー源21と同一であってもよく、活性化エネルギー源21と異なってもよい。ここでは、活性化エネルギービームを照射したレジスト層12のエリア内に対して、潜像形成エネルギービームをパターン形状に照射する。なお、潜像形成エネルギービームは、形成するパターンの解像度に応じて選択でき、例えばUV、DUV、EUV、X線のような電磁波であってよく、電子線やイオンビームであってもよい。パターン潜像形成ステップは、例えば真空雰囲気、活性ガス雰囲気又は不活性雰囲気で行われる。このように、レジスト層12には、活性化エネルギービームのみによって照射された第1露光部位121と、活性化エネルギービーム及び潜像形成エネルギービームの両方によって照射された第2露光部位122を有する(図1参照)。
パターン潜像形成ステップの後に、図1(e)に示すように、現像ステップ(S110)を実行する。現像ステップにおいて、レジスト層12を現像する。レジスト層12の現像は、例えば、プリベークを行った後、基板11を現像液槽に入れることによって実行される。本実施形態において、レジスト層12の第1露光部位121が受けた照射量Efは、潜像形成エネルギー量Eaを超えていない。第1露光部位121で生成された活性状態Aの量がレジストパターンの形成に必要な量より少ないため、現像液において第1露光部位121は溶解しない。一方、レジスト層12の第2露光部位122が受けたエネルギー量Es(即ち、Ef+Ep)は、必要エネルギー量Etを超えている。第1露光部位121では、生成された活性状態Aと変換で得られた活性状態Aとの総和がレジストパターンの形成に必要な量を超えているため、現像液によって第2露光部位122は溶解する。このように、基板11上には、所定のレジストパターンが形成される。
図1及び図2を参照して本実施形態のレジストパターン形成方法を説明した。本実施形態では、潜像形成エネルギービームを照射してパターン潜像を形成する前に、活性化エネルギービームの照射によってレジスト層12に活性状態Aを生成している。パターン潜像形成ステップで生成する活性状態Aの量を減らすことができるため、潜像形成エネルギービームの照射時間を短縮することができ、又は安価で低出力の光源を潜像形成エネルギービームの光源として使用できる。例えば、潜像形成エネルギービームとしてEUVを用いて、EUVをレジスト層12にパターン形状に照射してパターン潜像を形成する場合では、本実施形態によれば、EUVの照射時間を短縮することができるため、低出力の光源を用いても高いスループットが得られる。このように、本実施形態によれば、トレードオフの関係を解決し、パターン解像度を維持しながらレジスト層12の感度を向上できる。また、露光工程のスループットの向上が実現され、露光システムの大幅な低コスト化が達成される。また、低出力の光源が適用可能なため、光源装置、露光装置内の消耗部品の寿命が長くなり、保守及び運転コストも大幅に低減できる。
また、本実施形態では、活性化ステップとパターン潜像形成ステップとの間に、レジスト層12の活性の減衰を抑制する減衰抑制ステップを実行している。活性の減衰を抑制しない場合には、活性化ステップの後に、時間の経過につれてエネルギーが逸散し、レジスト層12の活性状態の量が減衰する。このため、パターン潜像形成ステップでは、減衰した分の活性状態を生成するためのエネルギーを再びレジスト層に供給する必要がある。一方、本実施形態においては、レジスト層12の活性の減衰を抑制しているため、レジスト層12の活性状態が維持されており、パターン潜像形成ステップでレジスト層12に供給するエネルギー量が比較的少なくて済む。その結果、レジスト層12の感度が向上し、露光時間を短縮し、露光工程のスループットを更に向上することができる。
なお、本実施形態では、活性化ステップにおいて、レジスト層12内のエリアにわたって活性化エネルギービームを照射し、潜像形成ステップにおいて、レジスト層12内に、パターン形状に潜像形成エネルギービームを照射していたが、本発明はこれに限定されない。活性化エネルギービームの照射量Efと潜像形成エネルギービームの照射量Epとの総和が必要エネルギー量Etを超えていれば、活性化ステップにおいて、レジスト層12内に、パターン形状に活性化エネルギービームを照射した後、潜像形成ステップにおいて、レジスト層12内のエリアにわたって、潜像形成エネルギービームを照射してもよい。なお、この場合には、活性化エネルギービームは、形成するパターンの解像度に応じて選択され得、例えばUV、DUV、EUV、X線のような電磁波であってよく、電子線であってもよい。潜像形成エネルギービームは、例えば可視光、UV、DUV、EUVのような電磁波であってもよく、また、電子線やイオンビームであってもよい。
図4は、本発明の他の実施形態に係るレジストパターン形成方法の工程を説明する図である。以下、図4及び図1を参照して本実施形態のレジストパターン形成方法を説明する。本実施形態では、運搬ステップ(S104)を更に包含することを除いて、図1〜図3を参照して説明した実施形態と同様なステップを有しているため、説明に必要な部分のみを図示して説明を行う。
運搬ステップは、活性化ステップとパターン潜像形成ステップとの間に実行される。運搬ステップにおいて、活性化ステップが行われる位置からパターン潜像形成ステップが行われる位置に基板11を運搬する。運搬ステップは、例えば、活性化ステップが行われる位置とパターン潜像形成ステップが行われる位置との間を移動するステージのような運搬手段によって実行される。なお、図4に示すように、運搬ステップは、減衰抑制ステップと同時に実行し得る。この場合には、運搬途中においてもレジスト層12の活性の減衰を抑制できるため好適である。
図1及び図4を参照して本実施形態のレジストパターン形成方法を説明した。本実施形態では、運搬ステップを更に包含しているため、活性化ステップが行われる位置とパターン潜像形成ステップが行われる位置とが異なる場合には、基板11を適切な位置に運搬することができる。
図5は、本発明の更なる実施形態に係るレジストパターン形成方法の工程を説明する図である。以下、図5及び図1を参照して本実施形態のレジストパターン形成方法を説明する。本実施形態のレジストパターン形成方法は、レジスト層形成ステップ(S101)、活性化ステップ(S103)、減衰抑制ステップ(S105)、パターン潜像形成ステップ(S107)及び現像ステップ(S110)を包含する。本実施形態において、活性化ステップと潜像形成ステップとは同時に実行される。レジスト層形成ステップと現像ステップは、図1〜図3を参照して説明した実施形態と同様に実行されるため、説明に必要な部分のみを図示して説明を行う。
本実施形態において、活性化エネルギービームは、基板11を透過してレジスト層12を下方から照射するように、活性化エネルギー源21から出射される。潜像形成エネルギービームは、レジスト層12を上方から照射するように、潜像形成エネルギー源22から出射される。活性化エネルギービームとして、基板11を透過できるビームを使用する。基板11が透光性を有する場合、活性化エネルギービームは可視光、UV、DUV、EUV、X線のような電磁波であり得るが、そのうちX線は透過力が強くレジスト層12に到達しやすいため好適である。
本実施形態によれば、活性化ステップが終了してから潜像形成ステップが実行されるまでの時間を省くことができる。その結果、露光工程のスループットを更に向上することができ、時間の経過によるレジスト層12の活性の減衰を効果的に抑制することができる。なお、活性化ステップと潜像形成ステップを同時に実行する場合においても、レジスト層12に対して活性化エネルギービームが到達した後に潜像形成エネルギービームが到達するため、レジスト層12の活性の減衰を確実に抑制するように、活性化ステップ及び潜像形成ステップは、減衰抑制ステップと同時に実行し得る。
なお、図5では、活性化エネルギービームは、レジスト層12を下方から照射し、潜像形成エネルギービームは、レジスト層12を上方から照射していたが、本発明はこれに限定されない。活性化エネルギービームは、レジスト層12を上方から照射し、潜像形成エネルギービームは、レジスト層12を下方から照射してもよい。なお、活性化エネルギービーム又は潜像形成エネルギービームのいずれも、レジスト層12に対して斜めに照射してもよい。
図6は、本発明の更なる実施形態に係るレジストパターン形成方法の工程を説明する図である。以下、図6、図1及び図2を参照して本実施形態のレジストパターン形成方法を説明する。本実施形態のレジストパターン形成方法は、レジスト層形成ステップ(S101)、活性化ステップ(S103)、減衰抑制ステップ(S105)、パターン潜像形成ステップ(S107)及び現像ステップ(S110)を包含する。活性化ステップが2つの照射ステップによって実行される点と、パターン潜像形成ステップが1つの照射ステップによって実行される点とを除いて、その他のステップは図1〜図3を参照して説明した実施形態と同様に実行されるため、説明に必要な部分のみを図示して説明を行う。
本実施形態において、図6(a)および図6(b)に示すように、活性化ステップは、パターン形状照射ステップ(S103a)とエリア照射ステップ(S103b)とを包含する。図6(a)に示すように、パターン形状照射ステップにおいて、レジスト層12内に、パターン形状に活性化エネルギービームを照射する。図6(b)に示すように、エリア照射ステップにおいて、レジスト層12内のエリアにわたって活性化エネルギービームを照射する。パターン形状照射ステップとエリア照射ステップにおける活性化エネルギービームの合計の照射量Efは、潜像形成エネルギー量Eaを超えない照射量である。このように、活性化ステップを実行した後、レジスト層12は、活性化エネルギービームによって一回のみ照射された第1露光部位123と、活性化エネルギービームによって二回照射された第2露光部位124とを有する。
活性化ステップが終了して図6(c)に示すように減衰抑制ステップを実行した後、パターン潜像形成ステップを実行する。図6(d)に示すように、パターン潜像形成ステップは、パターン形状照射ステップ(S107a)を包含する。パターン形状照射ステップにおいて、レジスト層12内に、パターン形状に潜像形成エネルギービームを照射する。具体的には、レジスト層12の第2露光部位124に対して潜像形成エネルギービームを照射する。潜像形成エネルギービームの照射量は、照射後に第2露光部位124における活性化エネルギービームの照射量Efと潜像形成エネルギービームの照射量Epの総和が必要エネルギー量Etを超える量とする。
本実施形態において、レジスト層12の第1露光部位123が受けた照射量Efは、潜像形成エネルギー量Eaを超えていないため、現像ステップにおいて第1露光部位123は溶解しない。一方、レジスト層12の第2露光部位124が受けたエネルギー量Esは、必要エネルギー量Etを超えているため、現像ステップにおいて第2露光部位124は溶解する。このように、基板11上には、所定のレジストパターンが形成される。
なお、図6において、活性化ステップは2つの照射ステップによって実行され、パターン潜像形成ステップは1つの照射ステップによって実行されていたが、本発明はこれに限定されない。活性化ステップが1つの照射ステップによって実行され、パターン潜像形成ステップが2つの照射ステップによって実行されてもよく、活性化ステップ及びパターン潜像形成ステップのいずれも2つ以上の照射ステップによって実行されてもよい。例えば、活性化ステップがエリア照射ステップを包含し、パターン潜像形成ステップがエリア照射ステップとパターン形状照射ステップとを包含してもよい。
また、活性化ステップ又はパターン潜像形成ステップが2つの照射ステップによって実行される場合、2つの照射ステップは同様なステップ(エリア照射ステップとパターン潜像形成ステップのいずれか一方)であってもよく、異なるステップであってもよい。異なる照射ステップによって実行される場合には、エリア照射ステップとパターン潜像形成ステップのどちらかが先行して実行されてもよい。
更に、図6において、活性化ステップとパターン潜像形成ステップのいずれにもパターン形状照射ステップを包含していたが、本発明はこれに限定されない。レジスト層12にパターン潜像を形成できればよく、活性化ステップとパターン潜像形成ステップとのうちのいずれか一方がパターン形状照射ステップを包含してもよい。
なお、図示しないが、本発明のレジストパターン形成方法は、露光工程において一般的に実行される処理ステップを更に包含してもよい。例えばパターン潜像形成ステップの後に実行される熱処理(PEB。例えばパルス熱処理)ステップや、レジスト層をポジ型とネガ型との間に反転させる変質処理ステップを更に包含してもよい。
以下、図7〜図10を参照しながら、具体例を用いて本実施形態のレジストパターン形成方法を説明する。図7は、本発明のレジストパターン形成方法の具体例1を説明する図であり、図8は、本発明のレジストパターン形成方法の具体例2を説明する図であり、図9は、本発明のレジストパターン形成方法の具体例3を説明する図であり、図10は、本発明のレジストパターン形成方法の具体例4を説明する図である。なお、以下の具体例では、レジスト層12としてポジ型化学増幅レジストを使用する。
[具体例1]
・図7(a)に示すように、活性化ステップを実行する。活性化ステップにおいて、活性化エネルギービームをパターン形状に照射する。活性化ステップを実行すると、活性化エネルギービームによってパターン形状に照射された部分には、活性状態Aと活性状態Bの両方、或いは活性状態Bのみが生成する。この時、パターン形状の照射量が低いため、現像ステップを実行してもレジスト層12にレジストパターンが形成されない。
次に、図7(b)に示すように,減衰抑制ステップを実行する。減衰抑制ステップにおいて、レジスト層12を不活性ガス雰囲気又は真空雰囲気の環境に位置させる。レジスト層12内の活性状態Aと活性状態Bの減衰が抑制される。
減衰抑制ステップと同時に、パターン潜像形成ステップを実行する。潜像形成ステップにおいて、潜像形成エネルギービームをエリアにわたって照射する。図7(b)に示すように、潜像形成エネルギービームとして、未照射のレジスト層12ではレジスト反応が起きず、活性状態Bのみを活性化するエネルギービームを適切に選択する。潜像形成エネルギービームの照射によって、活性状態B及び/又は活性状態A或いは活性状態A’(構造は活性状態Aと異なる酸又は酸の前駆体)が生成する。
このように、パターン潜像形成ステップでは、潜像形成エネルギービームをエリアにわたって照射しても、最初にパターン形状に照射した部分にのみ酸前駆体が生成し、且つ、活性状態Bは最初にパターン形状に照射した部分のみにエリア照射によって再生される。このため、大量の酸が最初にパターン形状に照射した部分のみに生成し、塩基であるクエンチャーと酸の中和後も酸の潜像が最初にパターン形状に照射した部分のみに生成する。
その後、加熱ステップ、現像ステップを実行し、図7(c)に示すようにレジストパターンが形成される。
[具体例2]
・図8(a)に示すように、活性化ステップを実行する。活性化ステップにおいて、活性化エネルギービームをパターン形状に照射する。活性化ステップを実行すると、活性化エネルギービームによってパターン形状に照射された部分には、活性状態Aと活性状態Bの両方、或いは活性状態Bのみが生成する。この時、パターン形状の照射量が低いため、現像ステップを実行してもレジスト層12にレジストパターンが形成されない。
次に、図8(b)に示すように、減衰抑制ステップを実行する。減衰抑制ステップにおいて、レジスト層12を活性ガス雰囲気又は活性液体の環境に位置させ、活性状態Bを反応させる。活性状態Bは、この後のパターン潜像形成ステップにおいて反応効率が高い活性状態α/安定物質α1に変換される。
次に、図8(c)に示すように、活性雰囲気又は活性液体の環境でパターン潜像形成ステップを実行する。潜像形成ステップにおいて、潜像形成エネルギービームをエリアにわたって照射する。潜像形成エネルギービームとして、未照射のレジスト層12ではレジスト反応が起きず、活性状態α/安定物質α1のみを活性化するエネルギービームを適切に選択する。潜像形成エネルギービームの照射によって、活性状態B及び/又は活性状態A或いは活性状態A’が生成する。活性状態Bは、活性雰囲気又は活性液体と反応して再び活性状態α/安定物質α1に変換される。
このように、パターン潜像形成ステップでは、潜像形成エネルギービームをエリアにわたって照射しても、最初にパターン形状に照射した部分にのみ酸前駆体が生成し、且つ、活性状態α/安定物質α1は最初にパターン形状に照射した部分のみにエリア照射によって再生される。このため、大量の酸が最初にパターン形状に照射した部分のみに生成し、クエンチャーと酸の中和後も酸の潜像が最初にパターン形状に照射した部分のみに生成する。
その後、加熱ステップ、現像ステップを実行し、図8(d)に示すように、レジストパターンが形成される。
[具体例3]
・図9(a)に示すように、1回目の活性化ステップを実行する。活性化ステップにおいて、活性化エネルギービームをエリアにわたって照射する。
図9(b)に示すように、2回目の活性化ステップを実行する。2回目の活性化ステップにおいて、活性化エネルギービームをパターン形状に照射する。活性化エネルギービームによってパターン形状に照射された部分には、活性状態Aと活性状態Bの両方、或いは活性状態Bのみが生成する。この時、パターン形状の照射量が低いため、現像ステップを実行してもレジスト層12にレジストパターンが形成されない。なお、2回目の活性化ステップを実行する前に1回目の活性化ステップを実行することで、2回目の活性化ステップにおいて活性状態Aと活性状態Bが効率よく生成される。
次に、図9(c)に示すように、減衰抑制ステップを実行する。減衰抑制ステップにおいて、レジスト層12を不活性ガス雰囲気又は真空雰囲気の環境に位置させる。レジスト層12内の活性状態Aと活性状態Bの減衰が抑制される。
減衰抑制ステップと同時に、パターン潜像形成ステップを実行する。潜像形成ステップにおいて、潜像形成エネルギービームをエリアにわたって照射する。潜像形成エネルギービームとして、未照射のレジスト層12ではレジスト反応が起きず、活性状態Bのみを活性化するエネルギービームを適切に選択する。潜像形成エネルギービームの照射によって、活性状態B及び活性状態A或いは活性状態A’(構造は活性状態Aと異なる酸の前駆体)が生成する。
このように、パターン潜像形成ステップでは、潜像形成エネルギービームをエリアにわたって照射しても、最初にパターン形状に照射した部分にのみ酸前駆体が生成し、且つ、活性状態Bは最初にパターン形状に照射した部分のみにエリア照射によって再生される。このため、大量の酸が最初にパターン形状に照射した部分のみに生成し、クエンチャーと酸の中和後も酸の潜像が最初にパターン形状に照射した部分のみに生成する。
その後、加熱ステップ、現像ステップを実行し、図9(d)に示すように、レジストパターンが形成される。
[具体例4]
・図10(a)に示すように、1回目の活性化ステップを実行する。活性化ステップにおいて、活性化エネルギービームをエリアにわたって照射する。
図10(b)に示すように、2回目の活性化ステップを実行する。2回目の活性化ステップにおいて、活性化エネルギービームをパターン形状に照射する。活性化エネルギービームによってパターン形状に照射された部分には、活性状態Aと活性状態Bの両方、或いは活性状態Bのみが生成する。この時、パターン形状の照射量が低いため、現像ステップを実行してもレジスト層12にレジストパターンが形成されない。なお、2回目の活性化ステップを実行する前に1回目の活性化ステップを実行することで、2回目の活性化ステップにおいて活性状態Aと活性状態Bが効率よく生成される。
次に、図10(c)に示すように、減衰抑制ステップを実行する。減衰抑制ステップにおいて、レジスト層12を活性ガス雰囲気又は活性液体の環境に位置させ、活性状態Bを反応させる。活性状態Bは、この後のパターン潜像形成ステップにおいて反応効率が高い活性状態α/安定物質α1に変換される。
次に、図10(d)に示すように、活性雰囲気又は活性液体の環境でパターン潜像形成ステップを実行する。潜像形成ステップにおいて、潜像形成エネルギービームをエリアにわたって照射する。潜像形成エネルギービームとして、未照射のレジスト層12ではレジスト反応が起きず、活性状態α/安定物質α1のみを活性化するエネルギービームを適切に選択する。潜像形成エネルギービームの照射によって、活性状態B及び活性状態A或いは活性状態A’が生成する。活性状態Bは、活性雰囲気又は活性液体と反応して再び活性状態α/安定物質α1に変換される。
このように、パターン潜像形成ステップでは、潜像形成エネルギービームをエリアにわたって照射しても、最初にパターン形状に照射した部分にのみ酸前駆体が生成し、且つ、活性状態α/安定物質α1は最初にパターン形状に照射した部分のみにエリア照射によって再生される。このため、大量の酸が最初にパターン形状に照射した部分のみに生成し、クエンチャーと酸の中和後も酸の潜像が最初にパターン形状に照射した部分のみに生成する。
その後、加熱ステップ、現像ステップを実行し、図10(e)に示すように、レジストパターンが形成される。
具体例1〜具体例4で説明したように、本発明のレジストパターン形成方法によって、通常よりはるかに低線量のパターン形状の照射で、化学増幅レジストであっても非化学増幅レジストであっても、また、ポジ型レジストであってもネガ型レジストであっても適切なレジスト設計、適切なエネルギービーム源の選択によって高解像度のレジストパターンを形成することができる。
なお、上述した具体例では、パターン潜像形成ステップにおいて、潜像形成エネルギービームとして、未照射のレジスト層12と反応しないエネルギービームを選択していたが、本発明はこれに限定されない。パターン潜像形成ステップにおいて、潜像形成エネルギービームとして、未照射のレジスト層12とネガ型の反応が起きるようにエネルギービームを選択してもよい。
図1〜図10を参照して説明した実施形態のレジストパターン形成方法では、活性の減衰を抑制するように減衰抑制ステップを含有していたが、本発明はこれに限定されない。以下、本発明の他の実施形態に係るレジストパターン形成方法を説明する。本実施形態のレジストパターン形成方法は、レジスト層形成ステップと活性化ステップとパターン潜像形成ステップと現像ステップとを含有する。レジスト層形成ステップ、活性化ステップ及び現像ステップについては、図1〜図10を参照して上述した実施形態のレジスト層形成ステップ(S101)、活性化ステップ(S105)及び現像ステップ(S110)と同様に行われるため、説明を省略する。
パターン潜像形成ステップでは、レジスト層が活性化した状態で、潜像形成エネルギービームの照射によって、レジスト層にパターン潜像を形成する。具体的には、レジスト層内に活性状態Bが存在している状態で、レジスト層にパターン潜像を形成する。パターン潜像形成ステップは、活性状態Bが多く存在している状態で行われることが好ましい。レジスト層が活性化した状態でパターン潜像を形成すれば、照射によって活性状態Bから活性状態Aを生成させることができる。なお、本実施形態のレジストパターン形成方法は、レジスト層の活性の減衰を抑制する減衰抑制ステップを更に備えてもよい。減衰抑制ステップは、図1〜図10を参照して説明した実施形態の減衰抑制ステップ(S105)と同様に行われるため、ここでは説明を省略する。
図1〜図10を参照して説明した実施形態のレジストパターン形成方法では、レジスト層12に活性状態Bを生成すると共に、活性状態Bから直接的に活性状態Aを生成し、又は活性状態Bを活性状態α/安定物質α1に変換した後に活性状態α/安定物質α1を用いて活性状態Aを生成していたが、本発明はこれに限定されない。レジスト層に安定物質B1を生成すると共に、安定物質B1から直接的に活性状態Aを生成し、又は安定物質B1を活性状態α/安定物質α1に変換した後に、活性状態α/安定物質α1を用いて活性状態Aを生成してもよい。以下、本発明の他の実施形態に係るレジストパターン形成方法を説明する。
本実施形態のレジストパターン形成方法は、レジスト層形成ステップと安定物質生成ステップと変換ステップとパターン潜像形成ステップと現像ステップとを含有する。レジスト層形成ステップ及び現像ステップについては、図1〜図10を参照して上述した実施形態のレジスト層形成ステップ(S101)と現像ステップ(S110)と同様に行われるため、説明を省略する。
安定物質生成ステップにおいて、活性化エネルギービームの照射によってレジスト層に安定物質を生成する。具体的には、活性化エネルギービームの照射により、レジスト層12には、活性状態Aと安定物質B1の両方が生成する。或いは、レジスト層12には、安定物質B1のみが生成する。なお、安定物質B1は例えば芳香族ヨウ素化合物、芳香族硫黄化合物である。
変換ステップにおいて、レジスト層12内の安定物質B1を変換する。具体的には、後述するパターン潜像形成ステップ(S107)が実行されるまでに、環境の制御によって、安定物質生成ステップにおいて生成されたレジスト層12内の安定物質B1を活性状態α/安定物質α1に変換する。変換の手法としては、前述した実施形態において説明したように、活性ガス雰囲気又は活性液体を使用し得る。
パターン潜像形成ステップにおいて、潜像形成エネルギービームの照射によって、安定物質B1が生成されたレジスト層にパターン潜像を形成する。具体的には、潜像形成エネルギービームは、安定物質B1及び活性状態α/安定物質α1を活性状態Aに変換するビームである。潜像形成エネルギービームによって照射されたレジスト層12の部位では、安定物質B1及び活性状態α/安定物質α1は、安定物質B1及び活性状態A或いは活性状態A’に変換される。
以下、図11を参照して本発明によるレジスト潜像形成装置200の実施形態を説明する。レジスト潜像形成装置200は、活性化装置210とパターン潜像形成部220とを備える。活性化装置210が、基板11上に形成されたレジスト層12を活性化した後、パターン潜像形成部220がレジスト層12にパターン潜像を形成する。なお、レジスト層12は、基板11上に直接形成されてもよく、あるいは基板11上に別の層を介して形成されてもよい。
活性化装置210は、活性化チャンバ212と、活性化エネルギー源214とを有している。活性化チャンバ212は、基板11上に形成されたレジスト層12を収納可能である。活性化チャンバ212内は、不活性ガス雰囲気、活性ガス雰囲気又は真空雰囲気であることが好ましい。活性ガス雰囲気は、例えば、分圧の制御された水素ガスを含む。活性化チャンバ212は、収納している基板11の温度を−10℃から100℃の範囲で制御可能であることが好ましい。
活性化エネルギー源214は、活性化チャンバ212内のレジスト層12を活性化させるための活性化エネルギービームを出射する。活性化エネルギー源214から照射される活性化エネルギービームは、可視光、UV、DUV、EUVのような電磁波である。または、活性化エネルギービームは電子線又はイオンビームであってもよい。例えば、活性化エネルギー源214は、イオンビーム照射部、電子線照射部又は電磁波照射部を含む。
ここでは、活性化装置210が基板11上に形成されたレジスト層12を活性化した後、基板11は活性化装置210からパターン潜像形成部220まで運搬される。基板11が活性化装置210からパターン潜像形成部220まで運搬される間、レジスト潜像形成装置200内部は、不活性ガス雰囲気、活性ガス雰囲気又は真空雰囲気であることが好ましい。これにより、活性化装置210によるレジスト層12の活性の減衰を抑制することができる。潜像形成チャンバ222は、収納している基板11の温度を−10℃から100℃の範囲で制御可能であることが好ましい。
パターン潜像形成部220は、潜像形成チャンバ222と、潜像形成エネルギー源224とを有している。潜像形成チャンバ222は、基板11上に形成されたレジスト層12を収納可能である。潜像形成チャンバ222内は、不活性ガス雰囲気、活性ガス雰囲気又は真空雰囲気であることが好ましい。
潜像形成エネルギー源224は、潜像形成チャンバ222内のレジスト層12にパターン潜像を形成するための潜像形成エネルギービームを出射する。例えば、潜像形成エネルギービームは、例えば可視光、UV、DUV、EUVのような電磁波である。図11では、潜像形成エネルギービームはミラーによって反射されて、潜像形成チャンバ222内に導入されている。ただし、潜像形成エネルギービームはイオンビーム又は電子線であってもよい。例えば、潜像形成エネルギー源224は、イオンビーム照射部、電子線照射部又は電磁波照射部を含む。
また、潜像形成エネルギー源224は、活性化エネルギー源214と同じ種類であってもよく、異なる種類であってもよい。活性化エネルギービームおよび/または潜像形成エネルギービームとしてEUVを用いる場合、EUVの波長は1nm以上13.5nm以下であることが好ましく、6nm以上13.5nm以下であることがさらに好ましい。あるいは、活性化エネルギービームおよび/または潜像形成エネルギービームとして電子線を用いる場合、電子線の加速エネルギーは10keV以上300keV以下であることが好ましく、40keV以上130keV以下であることがさらに好ましい。
レジスト層12にパターン潜像が形成された後、レジスト層12は、図示しない現像装置において現像されてもよい。現像により、所定のパターンのレジスト層12が出現する。
上述したように、活性化エネルギー源214および潜像形成エネルギー源224の一方から出射されたエネルギービームは、レジスト層12内のエリアにわたって照射される。また、活性化エネルギー源214および潜像形成エネルギー源224の他方から出射されたエネルギービームは、レジスト層12のエリア内に、パターン形状に照射される。すなわち、活性化エネルギー源214は、パターン形状にエネルギービームを照射するパターン照射源、および、所定のエリアにわたってエネルギービームを照射するエリア照射源のうちの一方であり、潜像形成エネルギー源224は、パターン照射源およびエリア照射源のうちの他方である。
例えば、活性化エネルギー源214がレジスト層12内のエリアにわたってエネルギービームを照射してレジスト層12を活性化した後、潜像形成エネルギー源224が上記エリア内に、パターン形状にエネルギービームを照射し、レジスト層12に所定のパターンの潜像を形成してもよい。あるいは、活性化エネルギー源214がレジスト層12のエリア内に、パターン形状にエネルギービームを照射してレジスト層12を活性化した後、潜像形成エネルギー源224が上記エリアにわたってエネルギービームを照射し、レジスト層12に所定のパターン潜像を形成してもよい。
なお、活性化エネルギー源214が所定のエリアにわたってエネルギービームを照射するエリア照射源である場合、活性化装置210は、エネルギービームをエリア形状にするための機構を更に有し得る。例えば、活性化装置210は、投影レンズ系及び遮断マスクを有する。また、活性化装置210は、投影レンズ系を有しておらず、遮断マスクのみを有してもよい。遮断マスクのみを有する場合、活性化装置210の構成が簡素になり好適である。また、潜像形成エネルギー源224が所定のエリアにわたってエネルギービームを照射するエリア照射源である場合においても同様に、パターン潜像形成部220は、投影レンズ系及び遮断マスクを有してもよく、遮断マスクのみを有してもよい。
レジスト潜像形成装置200は、一例として、活性化エネルギー源214を備える活性化装置210、および、潜像形成エネルギー源224を備えるパターン潜像形成部220に加えてコータ/デベロッパ(ここでは図示せず)をさらに備えることが好ましい。
コータ/デベロッパを備えるレジスト潜像形成装置200は、レジスト層12のパターン形成を以下のように行う。まず、コータ/デベロッパは、基板11上にスピンコートでアンダーレイヤーを形成し、アンダーレイヤーをベークする。
次に、コータ/デベロッパは、アンダーレイヤー上にレジスト層12をコーティングし、レジスト層12をプリベークする。なお、必要に応じて、レジスト層12上にスピンコートでさらに別の層を形成し、当該層をベークしてもよい。
次に、活性化装置210の活性化エネルギー源214は、レジスト層12にエネルギービームを照射する。これにより、レジスト層12が活性化する。
次に、パターン潜像形成部220の潜像形成エネルギー源224はレジスト層12にエネルギービームを照射する。これにより、レジスト層12にパターン潜像が形成される。
次に、コータ/デベロッパは、ポストベークを行う。その後、コータ/デベロッパは、レジスト層12を現像する。これにより、所定のパターン形状のレジスト層12が形成される。次に、コータ/デベロッパは、レジスト層12を純水でリンスし、ポストベーク(乾燥)を行う。以上のようにして、レジスト層12にパターンを形成することができる。
なお、基板11が、コータ/デベロッパ、レジスト層12を活性化する場所、レジスト層12にパターン潜像を形成する場所の間で運搬される場合、運搬は、所定の不活性ガス雰囲気下、活性ガス雰囲気下又は真空雰囲気下で行われることが好ましい。運搬部材として、温度調整機能を有するステージが好適に用いられる。
また、コータ/デベロッパは、活性化装置210の活性化チャンバ212内に配置されてもよく、あるいは、パターン潜像形成部220の潜像形成チャンバ222内に配置されてもよい。さらには、コータ/デベロッパは、活性化装置210およびパターン潜像形成部220と共通のチャンバ内に配置されてもよい。
図11を参照して上述した説明では、活性化チャンバ212において活性化エネルギー源214から出射されたエネルギービームが照射され、潜像形成チャンバ222において活性化エネルギー源214とは異なる潜像形成エネルギー源224から出射されたエネルギービームが照射されたが、本発明はこれに限定されない。図12を参照して説明するように、活性化チャンバ212および潜像形成チャンバ222に、同一のエネルギー源からエネルギービームが照射されてもよい。
以下に、図12を参照して本発明によるレジスト潜像形成装置200の実施形態を説明する。図12に示したレジスト潜像形成装置200において、エネルギー源234は活性化装置210およびパターン潜像形成部220の両方のエネルギー源である。本実施形態のレジスト潜像形成装置200は、活性化装置210およびパターン潜像形成部220が同一のエネルギー源234から出射されたエネルギービームによってレジスト層12の活性化およびパターン潜像形成を行う点を除いて図11を参照して上述したレジスト潜像形成装置200と同様の構成を有しており、冗長を避けるために重複する記載を省略する。
基板11上に形成されたレジスト層12が活性化チャンバ212内に収納されている場合、エネルギー源234からのエネルギービームは活性化チャンバ212内のレジスト層12に照射される。次に、基板11上に形成されたレジスト層12が活性化チャンバ212から潜像形成チャンバ222に運搬される。レジスト層12が潜像形成チャンバ222内に収納されている場合、エネルギー源234からのエネルギービームは潜像形成チャンバ222内のレジスト層12に照射される。エネルギー源234から出射されるエネルギービームの経路において、エネルギービームの進行方向はレジスト層12の位置に応じて切り替えられてもよい。エネルギービームの進行方向の切り替えは、スイッチング手段(例えばスイッチングミラー)によって実現される。
なお、同一のエネルギー源234を用いて、レジスト層12の活性化および潜像形成が行われるため、レジスト層12に、パターン形状の電磁波ビームを照射する場合、マスクを用いることが好ましい。また、エネルギー源234の強度が比較的高い場合、活性化チャンバ212および潜像形成チャンバ222内の異なる基板11上のレジスト層12に対して、同時にレジスト層12の活性化および潜像形成を行ってもよい。
なお、図11および図12を参照して上述した説明では、基板11上に形成されたレジスト層12が活性化された後、基板11は、活性化チャンバ212から一旦とり出されて、潜像形成チャンバ222まで運搬されたが、本発明はこれに限定されない。図13を参照して説明するように、基板11は、活性化チャンバ212と潜像形成チャンバ222とを連絡する連絡経路を通って活性化チャンバ212から潜像形成チャンバ222まで搬送されてもよい。
以下に、図13を参照して、本発明によるレジスト潜像形成装置200の実施形態を説明する。図13に示したレジスト潜像形成装置200は、活性化チャンバ212が連絡経路231を介して潜像形成チャンバ222と連絡している点を除いて図11を参照して上述したレジスト潜像形成装置200と同様の構成を有しており、冗長を避けるために重複する記載を省略する。
活性化装置210が基板11上に形成されたレジスト層12にエネルギービームを照射して活性化した後、基板11は活性化チャンバ212から潜像形成チャンバ222に連絡経路231を介して運搬される。基板11が潜像形成チャンバ222に運搬された後、レジスト潜像形成装置200はレジスト層12にエネルギービームを照射してパターン潜像を形成する。活性化チャンバ212と潜像形成チャンバ222とが連絡経路231を介して連絡しているため、活性化チャンバ212、連絡経路231および潜像形成チャンバ222の雰囲気を比較的容易に均一にすることができ、レジスト層12の活性の減衰を好適に抑制できる。なお、連絡経路231は、差動排気システムの一部として利用されてもよく、また、ロードロックチャンバーに連結されてもよい。
図11〜図13を参照して上述した説明では、活性化装置210およびパターン潜像形成部220は、活性化チャンバ212および潜像形成チャンバ222をそれぞれ備えていたが、本発明はこれに限定されない。図14を参照して説明するように、活性化装置210およびパターン潜像形成部220のチャンバは同一であってもよい。
以下に、図14を参照して本発明によるレジスト潜像形成装置200の実施形態を説明する。図14に示した本実施形態のレジスト潜像形成装置200は、レジスト層12の活性化およびパターン潜像形成が同一のチャンバ232内で行われる点を除いて図11を参照して上述したレジスト潜像形成装置200と同様の構成を有しており、冗長を避けるために重複する記載を省略する。
活性化装置210が基板11上に形成されたレジスト層12にエネルギービームを照射して活性化した後、基板11はチャンバ232内で運搬される。基板11が運搬された後、レジスト潜像形成装置200はレジスト層12にエネルギービームを照射してパターン潜像を形成する。レジスト層12を活性化するための活性化チャンバとレジスト層12に潜像を形成するための潜像形成チャンバとが一つのチャンバ232として形成されているため、チャンバ232内の雰囲気をほぼ均一にでき、チャンバ内の雰囲気を別個に制御することなくレジスト層12の活性の減衰を好適に抑制できる。
なお、図11〜図14を参照して上述した説明において、レジスト層12の活性化はレジスト層12へのパターン潜像形成とは異なる場所で行われたが、本発明はこれに限定されない。レジスト層12へのパターン潜像形成はレジスト層12の活性化と同じ場所で行われてもよい。また、レジスト層12に、活性化エネルギービームおよび潜像形成エネルギービームを同時に照射し、レジスト層12へのパターン潜像形成をレジスト層12の活性化とほぼ同時に行ってもよい。
なお、上述したように、活性化エネルギービームをパターン形状に照射し、潜像形成エネルギービームをエリアにわたって照射してもよい。以下に、活性化エネルギービームをパターン形状に照射し、潜像形成エネルギービームをエリアにわたって照射する場合に好適に用いられるレジスト材料を説明する。
本実施形態のレジスト材料は、ベース樹脂および増感体前駆体を有するレジスト組成物を含有する。本実施形態のレジスト材料において、レジスト組成物は、第1エネルギービーム(活性化エネルギービーム)の照射によって増感体を生成し、この増感体によるレジスト反応を促進させる第2エネルギービーム(潜像形成エネルギービーム)を照射しても酸も増感体を生成しない。本実施形態のレジスト材料(ベース樹脂および増感体前駆体)は第2エネルギービーム(潜像形成エネルギービーム)に対して透明であることが望ましい。このように、増感体前駆体に第1エネルギービーム(活性化エネルギービーム)が照射されると、異なる波長で強い吸収を示す増感体が生成される。
本実施形態のレジスト材料において、第1エネルギービームの照射によって増感体前駆体から生成された増感体に第2エネルギービームが照射されると、潜像形成エネルギービームを吸収してレジスト反応を促進させる。一方、第1エネルギービームの照射されなかったレジスト材料は第2エネルギービームが照射されても酸も増感体も生成しない。
本実施形態のレジスト材料に、活性化エネルギービームをパターン形状に照射すると、パターン形状に増感体が生成される。その後、潜像形成エネルギービームをレジスト組成物の所定のエリアに照射すると、増感体に起因してレジスト反応が進行する。このため、所定のレジストパターンを簡便に形成させることができる。
ベース樹脂は、メチルメタクリレート樹脂(MMA樹脂)を含むことが好ましい。第1エネルギービームおよび第2エネルギービームの少なくとも一方の照射に起因する化学反応には、中間体、ラジカルおよびイオン(カチオンまたはアニオン)の少なくともいずれかが関与するが、MMA樹脂は、中間体、ラジカルおよびイオンを消失させにくいからである。ただし、ベース樹脂は、ポリヒドロキシスチレン樹脂(PHS樹脂)を含むものであってもよい。あるいは、ベース樹脂は、MMA樹脂およびPHS樹脂の混合型であってもよい。また、ベース樹脂は、高分子化合物だけでなく、低分子化合物を含ものであってもよい。
また、ベース樹脂は、第1エネルギービームおよび第2エネルギービームの少なくとも一方によって分解され、中間体、ラジカルおよびイオンを生成してもよい。特に、ベース樹脂は、第1エネルギービームまたは第2エネルギービームとして電子線またはEUVビームを用いる場合、比較的容易に分解されやすい。
増感体前駆体は、例えば、ビス(4−メトキシフェニル)メタノール(DOMeBzH)、ジメトキシベンズヒドロール誘導体(DOBzMM)およびトリメトキシベンズヒドロール(TriOMeBzH)の少なくとも1つを含む。
増感体前駆体はベース樹脂に混合されていてもよい。例えば、増感体前駆体とベース樹脂との割合は、後述の実施例で記載される。あるいは、増感体前駆体はベース樹脂内に結合されてもよい。例えば、増感体前駆体は、ベース樹脂に結合されている。
レジスト材料に、活性化エネルギービームが照射されると、増感体前駆体から増感体が生成される。例えば、活性化エネルギービームは、電子線またはEUVビームである。あるいは、活性化エネルギービームはArFレーザビームであってもよい。
増感体に潜像形成エネルギービームを照射すると、レジスト材料に潜像が形成される。上述したように、潜像形成エネルギービームの照射は、大気中で行われてもよく、あるいは、真空中で行われてもよい。例えば、潜像形成エネルギービームはUVビームである。
また、レジスト組成物は、潜像形成エネルギービームを吸収しない。典型的には、潜像形成エネルギービームとして活性化エネルギービームよりも長波長のエネルギービームが用いられる。ただし、本発明はこれに限定されず、潜像形成エネルギービームとして活性化エネルギービームよりも短波長のエネルギービームが用いられてもよい。
レジスト組成物は、酸発生剤(Photo Acid Generator:PAG)を含有することが好ましい。酸発生剤は、第1エネルギービームを吸収し、第2エネルギービームを吸収しない。レジスト材料が化学増幅型である場合、レジスト組成物はベース樹脂および増感体前駆体に加えて酸発生剤を有している。なお、同じ化合物が増感体前駆体および酸発生剤の両方として機能してもよい。
また、レジスト組成物はクエンチャーを含有してもよい。例えば、クエンチャーは、酸と中和するものであってもよい。また、クエンチャーは、増感体の前駆体となる反応中間体を失活させるものであってもよい。
レジスト材料は、化学増幅系であっても非化学増幅系であってもよい。レジスト材料が化学増幅系である場合、増感体は、潜像形成エネルギービームを吸収して酸及び増感体を発生させ、これにより、レジスト反応が進行する。例えば、潜像形成エネルギービームの照射によって、増感体の励起状態が生成される。増感体の励起状態からの電子移動で酸発生剤は解離型電子付加反応を起こして分解し、酸と励起前の増感体を新たに生成する。酸と増感体は、増感体の存在する領域で潜像形成エネルギービームを露光し続けると酸発生剤がほぼ消失するまで生成される。
ここで、図15を参照して一般的な化学増幅型のレジスト材料における酸およびクエンチャーの濃度変化を説明する。図15は、一般的なレジスト材料における酸およびクエンチャーの濃度変化を示す。このレジスト材料は酸発生剤およびクエンチャーを有しており、紫外光を照射する前、酸発生剤およびクエンチャーの濃度は各領域においてほぼ一定である。
レジスト材料を比較的高い強度の紫外光で所定のパターンに照射すると、酸は濃度分布A1に示すように発生する。その後、酸とクエンチャーとは中和し、レジスト材料内の酸の濃度分布は濃度分布A1から濃度分布A2に変化し、レジスト材料内のクエンチャーの濃度分布は濃度分布Q1から濃度分布Q2に変化する。
図16を参照して、本発明によるレジスト材料の実施形態における酸、増感体、クエンチャーの濃度変化を説明する。図16(a)は活性化エネルギービームの照射直後の濃度を示し、図16(b)は活性化エネルギービームの照射によって生成された酸とクエンチャーとが中和した後の濃度を示し、図16(c)は潜像形成エネルギービームを照射した後の濃度を示す。
図16(a)に示すように、活性化エネルギービームの照射直後、活性化エネルギービームの照射された領域に酸および増感体が生成する。
その後、図16(b)に示すように、生成した酸はクエンチャーと中和し、活性化エネルギービームの照射された領域において生成した酸はほぼなくなる。また、活性化エネルギービームの照射された領域のクエンチャーは未照射領域のクエンチャーと比べて減少する。なお、ここでは、増感体はクエンチャーと反応しない。
図16(c)に示すように、潜像形成エネルギービームを照射すると、増感体が励起状態となり、増感体の励起状態からの電子移動で酸発生剤は解離型電子付加反応を起こして分解し、酸と励起前の増感体を新たに生成する。上述したように増感体はクエンチャーと反応しない。以上のようにして、酸発生剤が消失するまで酸を生成することができる。潜像形成エネルギービームの照射による大量の酸生成機構は従来の熱拡散を伴う酸増殖反応と異なり、熱拡散反応を伴わない反応なので、解像度の劣化を伴うことなく高感度化を図ることができる。
なお、図16を参照した説明では、レジスト材料は適度な量のクエンチャーを含有していたが、本発明はこれに限定されない。レジスト材料は高濃度のクエンチャーを含有していてもよい。
図17に、本発明によるレジスト材料の実施形態における酸、増感体、クエンチャーの濃度変化を示す。本実施形態のレジスト材料は高濃度のクエンチャーを含有している。図17(a)は活性化エネルギービームの照射直後の濃度を示し、図17(b)は活性化エネルギービームの照射によって生成された酸とクエンチャーとが中和した後の濃度を示し、図17(c)は潜像形成エネルギービームを照射した後の濃度を示す。
図17(a)に示すように、活性化エネルギービームの照射直後、活性化エネルギービームの照射された領域に酸および増感体が生成する。
その後、図17(b)に示すように、生成した酸はクエンチャーと中和し、活性化エネルギービームの照射された領域において生成した酸はほぼなくなる。また、活性化エネルギービームの照射された領域のクエンチャーは未照射領域のクエンチャーと比べて減少する。
図17(c)に示すように、潜像形成エネルギービームを照射すると、増感体が励起状態となり、増感体の励起状態からの電子移動で酸発生剤は解離型電子付加反応を起こして分解し、酸と励起前の増感体を新たに生成する。このため、酸発生剤が消失するまで酸を生成することができる。上述したように増感体はクエンチャーと反応しない。図17(c)において、濃度分布A0は、クエンチャーを用いないと仮定した場合の酸の濃度分布、濃度分布AXは酸とクエンチャーの中和後の濃度を示している。図17では高濃度のクエンチャーが存在するので酸とクエンチャーの中和後の酸分布は狭くなる。また、クエンチャー濃度を調整することにより、酸分布の傾斜の大きい所に溶解の閾値を調整することもできるので、レジストパターンの高解像度化と低LER化を図ることができる。
なお、上述した説明において、クエンチャーは、酸と中和し、酸を失活させるものであったが、本発明はこれに限定されない。クエンチャーは、増感体又は増感体の前駆体を失活させるものであってもよい。あるいは、レジスト組成物は、クエンチャーとして、酸と中和するもの、および、増感体又は増感体の前駆体を失活させるものの両方を含んでもよい。
図18を参照して、本発明によるレジスト材料の実施形態における酸、増感体、クエンチャーの濃度変化を説明する。ここでは、レジスト材料は、酸と中和するクエンチャー、および、増感体又は増感体の前駆体を失活させるクエンチャーを含有している。図18(a)は活性化エネルギービームの照射直後の濃度を示し、図18(b)は活性化エネルギービームの照射によって生成された酸とクエンチャーとが中和した後の酸濃度と増感体又は増感体と増感体の前駆体のクエンチャーとの反応後の増感体の濃度分布を示し、図18(c)は潜像形成エネルギービームを照射した後の濃度を示す。
図18(a)に示すように、活性化エネルギービームの照射直後、活性化エネルギービームの照射された領域に酸および増感体が生成する。ここでは、濃度分布Q1は、酸と中和するクエンチャーの濃度分布を示しており、濃度分布Q2は、増感体を失活させるクエンチャーの濃度分布を示している。
その後、図18(b)に示すように、酸はクエンチャーによって中和され、活性化エネルギービームの照射された領域において生成した酸はほぼなくなる。この場合、濃度分布Q1に示されるように、活性化エネルギービームの照射された領域のクエンチャーは未照射領域のクエンチャーと比べて減少する。
また、増感体は増感体又は増感体の前駆体のクエンチャーとの反応によって減少する。ただし、増感体又は増感体の前駆体を失活させるクエンチャーの濃度は比較的低いため、増感体の濃度分布は、クエンチャーとの反応前と比べて狭くなる。
図18(c)に示すように、潜像形成エネルギービームを照射すると、増感体の濃度分布が狭くなっているので、増感体の励起状態の反応により、濃度分布A1を有する酸が生成するとともに濃度分布P1を有する励起前の増感体が新たに生成される。以上のようにして、潜像形成エネルギービームを照射し続ければ酸発生剤が消失するまで酸を生成することができる。なお、参考のために、図18(c)において、濃度分布A0は、増感体又は増感体の前駆体を失活させるクエンチャーを用いないと仮定した場合の酸の濃度分布を示しており、濃度分布P0は、増感体又は増感体の前駆体を失活させるクエンチャーを用いないと仮定した場合の励起前の増感体の濃度分布を示している。以上のようにして、酸の狭い濃度分布を実現するとともに、増感体又は増感体の前駆体を失活させるクエンチャーの濃度を制御することにより、溶解の閾値が酸濃度の急峻な所にくるようにすると、レジストパターンの高解像度化および低LER化を実現することができる。
なお、図16〜図18を参照した上述の説明では、マスクを利用して活性化エネルギービームをパターン形状に照射したが、本発明はこれに限定されない。パターン形状の活性化エネルギービームはマスクを介することなく実現してもよい。
図19を参照して、本発明によるレジスト材料の実施形態における酸、増感体、クエンチャーの濃度変化を説明する。本実施形態において、活性化エネルギービームは、パターン形状に照射される。活性化エネルギービームの照射された領域に酸および増感体が生成する。生成した酸はクエンチャーと中和し、活性化エネルギービームの照射された領域において生成した酸はほぼなくなる。また、活性化エネルギービームの照射された領域のクエンチャーは未照射領域のクエンチャーと比べて減少する。なお、ここでは、増感体はクエンチャーと反応しない。
次に、潜像形成エネルギービームを照射すると、増感体が励起状態となり、増感体の励起状態からの電子移動で酸発生剤は解離型電子付加反応を起こして分解し、酸と励起前の増感体を新たに生成する。上述したように増感体はクエンチャーと反応しない。以上のようにして、酸発生剤が消失するまで酸を生成することができる。したがって、潜像形成エネルギービームを照射し続けると、実際の酸濃度は酸発生剤が無限にあると仮定した濃度分布A0ではなく、パターンの中央部では酸発生剤の濃度が低くなり、潜像形成エネルギービームによる酸生成反応は遅くなり、酸濃度は飽和してくる。このため、酸分布の中央では酸濃度はほぼ一定になり、酸分布の端では非常に急峻に立下り、酸は、酸分布の端の傾きの変化の急な濃度分布を有するように形成される。これにより、酸分布の端の傾きに依存するLERは急激に低LER化され、パターンショットノイズ問題も抑制される。
図16から図19までの4つの図で説明した方法を組み合わせると、現在EUVリソグラフィ、EBリソグラフィ、Arリソグラフィ等のすべてのリソグラフィで問題になっている高感度化、高解像度化、低LER化、フォトンショットノイズの問題の解決を同時に達成できるという、従来不可能と言われてきた技術が完成する。図20を参照して、本発明によるレジスト材料の実施形態における酸、増感体、クエンチャーの濃度変化を説明する。図20(a)は活性化エネルギービームの照射直後の濃度を示し、図20(b)は活性化エネルギービームの照射によって生成された酸とクエンチャーとが中和した後の濃度を示し、図20(c)は潜像形成エネルギービームを照射した後の濃度を示す。
図20(a)に示すように、活性化エネルギービームの照射直後、活性化エネルギービームの照射された領域に酸および増感体が生成する。ここでは、濃度分布Q1は酸と中和するクエンチャーの濃度分布を示しており、濃度分布Q2は、増感体又は増感体の前駆体を失活させるクエンチャーの濃度分布を示している。
その後、図20(b)に示すように、酸はクエンチャーによって中和され、活性化エネルギービームの照射された領域において生成した酸はほぼなくなる。この場合、濃度分布Q1に示されるように、活性化エネルギービームの照射された領域のクエンチャーは未照射領域のクエンチャーと比べて減少する。
また、増感体はクエンチャーによって減少する。ただし、増感体を減少させるクエンチャーの濃度は比較的低いため、増感体の濃度分布は、クエンチャーとの反応前と比べて狭くなる。
図20(c)に示すように、潜像形成エネルギービームを照射すると、狭い濃度分布を有していた増感体の励起状態の反応により、濃度分布A1を有する酸が生成するとともに励起前の増感体が新たに生成される。このようにして、潜像形成エネルギービームを照射し続けると酸発生剤が消失するまで酸を生成することができる。なお、参考のために、図20(c)において、濃度分布A0は、酸発生剤が無限に存在していると仮定した場合の酸の濃度分布を示している。
このレジスト材料では、活性化ステップにおいて、増感体を減少させるクエンチャーによって濃度分布が狭くなった増感体を光フラッド露光することにより、増感体の励起状態が生成される。増感体の励起状態からの電子移動反応で酸発生剤を分解し、酸と励起前の増感体を新たに生成する、酸は、増感体の存在する領域で酸発生剤がほぼ消失するまで生成される。また、酸発生剤の残存量が減少した部分では酸生成反応は遅くなり、飽和する。酸とクエンチャーの中和後の酸の濃度分布は、活性化エネルギービームの照射された領域のほぼ中央で一定であり、端では非常に急峻に立下る。酸は、端での傾きの変化の急な濃度分布を有するように形成される。以上により、高感度化、高解像度化、低LER化、フォトンショットノイズの問題解決を同時に達成できる。
以下、具体例5および具体例6を参照して本実施形態のレジスト材料の好適な使用例を説明する。
[具体例5]
レジスト材料を用意する。レジスト材料は、ベース樹脂および増感体前駆体を有するレジスト組成物を含有する。本実施形態のレジスト材料において、レジスト組成物は、第1エネルギービーム(活性化エネルギービーム)の照射によって増感体を生成し、この増感体によるレジスト反応を促進させる第2エネルギービーム(活性化エネルギービーム)を照射しても増感体を生成しない。
レジスト材料を用いてレジスト層を形成する。レジスト層は、例えば、スピンコート法によって基板上に形成される。
活性化ステップを実行する。活性化ステップにおいて、活性化エネルギービームをパターン形状に照射する。活性化ステップを実行すると、活性化エネルギービームによってパターン形状に照射された部分には増感体が生成される。また、このとき、増感体とともに酸が生成されてもよい。この活性化ステップにおいて、パターン形状の照射量が低いため、現像ステップを実行してもレジスト層にレジストパターンは形成されない。なお、具体例5では、レジスト層の活性の減衰を上述したように抑制してもよいが、抑制しなくてもよい。
活性化ステップと同時に、または、活性化ステップを実行した後に、パターン潜像形成ステップを実行する。潜像形成ステップにおいて、潜像形成エネルギービームをエリアにわたって照射する。図7に示すように、潜像形成エネルギービームとして、未照射のレジスト層ではレジスト反応が起きず、増感体を活性化するエネルギービームを適切に選択する。潜像形成エネルギービームの照射によって、増感体と酸発生との反応によって酸が発生するか、あるいは、レジスト反応が発生する。
このように、パターン潜像形成ステップでは、潜像形成エネルギービームをエリアにわたって照射しても、最初にパターン形状に照射した部分にのみ増感体が生成し、且つ、増感体は最初にパターン形状に照射した部分のみにエリア照射によって活性化される。このため、大量の酸が最初にパターン形状に照射した部分のみに生成し、クエンチャーと酸の中和後も酸の潜像が最初にパターン形状に照射した部分のみに生成する。その後、加熱ステップ、現像ステップを実行し、レジストパターンが形成される。
[具体例6]
レジスト材料を用意する。レジスト材料は、ベース樹脂および増感体前駆体を有するレジスト組成物を含有する。本実施形態のレジスト材料において、レジスト組成物は、第1エネルギービーム(活性化エネルギービーム)の照射によって増感体を生成し、この増感体によるレジスト反応を促進させる第2エネルギービーム(活性化エネルギービーム)を照射しても増感体を生成しない。
レジスト材料を用いてレジスト層を形成する。レジスト層は、例えば、スピンコート法によって基板上に形成される。
活性化ステップを実行する。活性化ステップにおいて、活性化エネルギービームをパターン形状に照射する。活性化ステップを実行すると、活性化エネルギービームによってパターン形状に照射された部分には、少なくとも増感体が生成する。この時、パターン形状の照射量が低いため、現像ステップを実行してもレジスト層にレジストパターンは形成されない。また、具体例6では、レジスト層の活性の減衰を上述したように抑制してもよいが、抑制しなくてもよい。
活性化ステップと同時に、または、活性化ステップを実行した後に、パターン潜像形成ステップを実行する。潜像形成ステップにおいて、潜像形成エネルギービームをエリアにわたって照射する。潜像形成エネルギービームとして、未照射のレジスト層ではレジスト反応が起きず、活性状態α/安定物質α1のみを活性化するエネルギービームを適切に選択する。潜像形成エネルギービームの照射によって、活性状態B及び/又は活性状態A或いは活性状態A’が生成する。活性状態Bは、活性雰囲気又は活性液体と反応して再び活性状態α/安定物質α1に変換される。
このように、パターン潜像形成ステップでは、潜像形成エネルギービームをエリアにわたって照射しても、最初にパターン形状に照射した部分にのみ酸前駆体が生成し、且つ、活性状態α/安定物質α1は最初にパターン形状に照射した部分のみにエリア照射によって再生される。このため、大量の酸が最初にパターン形状に照射した部分のみに生成し、クエンチャーと酸の中和後も酸の潜像が最初にパターン形状に照射した部分のみに生成する。その後、加熱ステップ、現像ステップを実行し、レジストパターンが形成される。
[具体例7]
以下に、図21〜図25を参照して具体例7を説明する。まず、レジスト材料を調製する。レジスト材料は、ベース樹脂(RX)である高分子として、グループγ−ブチロラクトン−α−メタクリレート、2−(1−アダマンチル)プロパン−2−イルメタクリレート、3−ヒドロキシアダマンタン−1−イルメタクリレート、1−エチルシクロペンチルメタクリレート共重合体を含み、増感体前駆体(B0)としてビス(4−メトキシフェニル)メタノール(DOMeBzH)を含み、酸発生剤(PAG)としてヨードニウム塩(R2IX)を含む(樹脂1に対して、重量比で、増感体前駆体4.6wt%(3〜30wt%、好ましくは、4〜10wt%) PAG 4.6wt%(3〜30wt%、好ましくは、4〜10wt%)。ここでは、レジスト材料はポジ型かつ化学増幅型である。
次に、レジスト材料をシリコン基板上にスピンコートし、プリベーク処理を行う。レジストの規約濃度によってスピン条件は変更されるが、ここではスピンコート条件は、1500rpm、30秒、プリベーク100度、60秒である。また、クエンチャー添加量は、PAG添加量の概ね1/10が目安であるが、例えば、0.1〜3.0wt%であり、好ましくは、0.3〜1.2wt%である。
図21に、本実施形態において行われる化学反応式を示す。レジスト材料にEBパターンを照射する。EBパターン露光は、例えば、ビームドロー(TokyoTechnology)を備えたJSM−6500F 30keVのEB露光システム(JEOL、ビーム流:12.5 及び28pA、<1E−4 Pa)を使用して行われる。
EBパターンを照射したときのレジスト材料内の反応メカニズムは図21の式(a−1)〜(a−5)に従って進行すると考えられる。式(a−1)に示すように、EBパターンの照射により、レジスト材料をイオン化し、主に高分子ラジカルカチオン(RH+・)と電子(e-)を生成する。高分子ラジカルカチオン(RH+・)は、高分子(RH)と反応し、ラジカルP・とカチオン(RH(H+))に分離する。
式(a−2)に示すように、電子(e-)は酸発生剤(R2+-)と反応し、中性分子(RI)、ラジカル(R・)、及び、アニオン(X-)を生成する。
式(a−3)に示すように、カチオン(RH(H+))はアニオン(X-)と反応し、高分子(RH)および酸(HX)が生成される。
また、式(a−4)に示すように、ラジカル(R・)はDOMeBzHと反応すると、ラジカル(DOMeBzH・)が生成される。式(a−5)に示すように、このラジカルは酸発生剤(R2+-)と反応し、電子が移動し、カチオン(DOMeBzH+)が生成される。さらに、式(a−6)に示すように、このカチオン(DOMeBzH+)からアニオンへの陽子の移動により増感体(DOMeBzO)および酸(HX)が生成される。
次に、EBパターンを照射した後、フラッドUV(320及び365nm)を室温で照射する。フラッドUVを照射したときのレジスト材料内の反応メカニズムは図21の式(b−1)に従って進行すると考えられる。フラッドUVを照射すると、増感体(DOMeBzO)が励起される。励起状態の増感体(DOMeBzO)から酸発生剤(PAG)への電子の移動により、増感体のラジカルカチオン(DOMeBzO・+)、中性分子(RI)、ラジカル(R・)およびアニオン(X-)が生成される。また、フラッドUVを照射すると、EBパターンを照射した際の反応と同様の反応が進行し、連鎖反応により、酸が効率よく生成される。
原子間力顕微鏡(AFM、NanoNavi II/SPA−300HV,Hitachi High−Tech Science)を用いて感光度曲線とラインアンドスペースパターンを観察した結果を図22に示す。図22(a)〜図22(c)は、同様のRPGMのポジ型化学増幅型レジスト、同様のスピンコート及びプリベーク条件、同様のラインアンドスペースパターン(75nm)、同様の熱処理温度及び時間、及び同様の現像条件の測定結果であり、第1EB露光パターンのドーズ量と第2UVフラッド露光の有無、及びUV露光の波長が異なる。
レジストの感光度は、単独EBパターン露光で77μC/cm2、320nmでのPF組合せリソグラフィで8.8μC/cm2である。化学増幅型レジスト現像の初期段階では、化学増幅レジストの感光度と解像度とがトレードオフの関係であることが分かる。これは、酸濃縮を低減させると、必要な量の化学反応を生じさせるために必要な酸拡散長が伸びることによるものである。分解能を低減させない高感光の実験結果がこの新たなプロセスで説明できる。
図23は、DOMeBzHとDOMeBzOの吸収率を示すグラフである。図24は、UV露光時間と照射量との関係を示すグラフである。
ここで、図25を参照して、本実施形態の化学増幅型レジスト材料の酸生成プロセスを説明する。なお、ここでは、レジスト材料には、クエンチャーが添加されている。クエンチャーを添加することにより、酸の拡散が制限されるため、化学増幅型レジストにおいて高分解能パターンを好適に形成できる。
本実施形態では、まず、活性化エネルギービームをパターン形状に照射する。図25(a)に、活性化エネルギービームをパターン形状に照射した直後におけるレジスト内の酸、増感体、およびクエンチャーの濃度を示す。活性化エネルギービームをパターン形状に照射する前は、クエンチャーの濃度は各領域においてほぼ一定である。
活性化エネルギービームをパターン形状に照射することにより、活性化エネルギービームが照射された箇所に酸と増感体が生成される。例えば、活性化エネルギービームは、電子線またはEUVビームである。
活性化エネルギービームを照射した後、しばらく経過すると、図25(b)に示すように、酸とクエンチャーとは室温で再結合する。このため、クエンチャーの濃度は、活性化エネルギービームが照射された箇所において未照射の箇所と比べて低下する。一方、増感体はクエンチャーと反応しない。
次に、図25(c)に示すように、潜像形成エネルギービームをエリアにわたって照射する。例えば、潜像形成エネルギービームは、UVフラッドビームである。潜像形成エネルギービームの照射により、エリアにわたって酸および増感体の両方が生成される。
このような光誘導性酸増幅は、非熱拡散反応により室温で起こる。この新プロセスで単独EB露光より10倍高い感度を既に達成できている。酸発生剤がほぼなくなるまで、基本的に感光し続ける。したがって、さらに高濃度のクエンチャーを使用することが可能となり、フォトンのショットノイズやライン幅を低減できる。
以下、図26〜図55を参照して本発明に基づく実施例1〜実施例33を説明する。
[実施例1]
・レジスト層としてポリメタクリル酸メチル樹脂(Poly(methyl metha crylate、アルドリッチ製、以下「PMMA」と記載する。)を採用した。レジスト層(PMMA)の分子量は350kであり、レジスト層の膜厚は100nmであった。活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流30pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、インターバルとして大気中でレジスト層を5分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として浜松ホトニクス株式会社の電子線照射源(型番:EB−ENGINE)を用い、窒素ガス気流中(酸素濃度100ppm以下)で加速電圧100kV、電子電流200μA(20μC/cm2)の電子線を10回(ドーズ量200μC/cm2)、レジスト層に照射した。
現像ステップにおいて、メチルイソブチルケトン(MIBK)と2−プロパノール(IPA)とを1:3の割合で混ぜた現像液によってレジスト層を13℃で60秒間現像した。図26は、実施例1における現像結果を示す。図26(c)の左側部分には、設計サイズ200nmのライン及びスペースに対して加工した後に得られたサイズ200nmのライン及びスペースが示されている。図26(c)の中央部分には、設計サイズ100nmのライン及び200nmのスペースに対して加工した後に得られたサイズ120nmのライン(加工部)及び180nmのスペース(未加工部)が示されている。図26(c)の右側部分には、設計サイズ100nmのライン及び50nmのスペースに対して加工した後に得られたサイズ120nmのライン(加工部)及び30nmのスペース(未加工部)が示されている。図26(d)の左側部分には、設計サイズ200nmのライン及びスペースに対して加工した後に得られたサイズ260nmのライン(加工部)及び140nmのスペース(未加工部)が示されている。図26(d)の右側部分には、設計サイズ100nmのライン及び200nmのスペースに対して加工した後に得られたサイズ170nmのライン(加工部)及び130nmのスペース(未加工部)が示されている。
実施例1の結果によれば、複合照射(活性化ステップとパターン潜像形成ステップの実行)におけるレジスト感度へのトータルの吸収線量から評価D(0)=2190kGyとなり、パターニング露光のみの感度D(0)=2925kGyよりも総エネルギーで小さな値を示した。レジストの感度曲線の閾値前まで露光して、その後の露光量を減らすといった足し算ではなく、活性状態を維持した状態で再度露光することで、非線形の反応を利用し、解像度を維持した上で、高感度化できていることを示す。
[実施例2]
・レジスト層としてPMMAを採用した。レジスト層(PMMA)の分子量は350kであり、レジスト層の膜厚は100nmであった。活性化ステップにおいて、活性化装置として浜松ホトニクス株式会社の電子線照射源(型番:EB−ENGINE)を用い、窒素ガス気流中(酸素濃度50ppm)で加速電圧100kV、電子電流200μA(20μC/cm2)の電子線を10回(ドーズ量200μC/cm2)、レジスト層に照射した。
活性化ステップを実行し、インターバルとして大気中でレジスト層を5分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流30pA、加速電圧30keVの電子線をレジスト層に照射した。
現像ステップにおいて、MIBKとIPAとを1:3の割合で混ぜた現像液によってレジスト層を13℃で60秒間現像した。図27は、実施例2における現像結果を示す。
実施例2の結果によれば、複合照射(活性化ステップとパターン潜像形成ステップの実行)におけるレジスト感度へのトータルの吸収線量から評価D(0)=2300kGyとなり、パターニング露光のみの感度D(0)=2925kGyよりも総エネルギーで小さな値を示した。レジストの感度曲線の閾値前まで露光して、その後の露光量を減らすといった足し算ではなく、活性状態を維持した状態で再度露光することで、非線形の反応を利用し、解像度を維持した上で、高感度化できていることを示す。
[実施例3]
・レジスト層としてZEP520A(日本ゼオン株式会社製:α−メチルスチレンとα−クロロアクリル酸メチルとの共重合体)を採用した。レジスト層(ZEP520A)の膜厚は280nmであった。活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流30pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、インターバルとして大気中でレジスト層を5分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として浜松ホトニクス株式会社の電子線照射源(型番:EB−ENGINE)を用い、窒素ガス気流中(酸素濃度100ppm)、加速電圧100kV、電子電流100μA(10μC/cm2)の電子線を4回(ドーズ量40μC/cm2)、レジスト層に照射した。
現像ステップにおいて、現像液ZED‐N50(日本ゼオン(株)製)によってレジスト層を13℃で60秒間現像した。図28は、実施例3における現像結果を示す。図28(c)の左側部分には、設計サイズ100nmのライン及び200nmのスペースに対して加工した後に得られたサイズ110nmのライン(加工部)及び190nmのスペース(未加工部)が示されている。図28(c)の中央部分には、設計サイズ50nmのライン及び200nmのスペースに対して加工した後に得られたサイズ50nmのライン(加工部)及び200nmのスペース(未加工部)が示されている。図28(c)の右側部分には、設計50nmのライン及び100nmのスペースに対して加工した後に得られたサイズ50nmのライン(加工部)及び100nmのスペース(未加工部)が示されている。図28(d)の左側部分には、設計サイズ100nmのライン及び200nmのスペースに対して加工した後に得られたサイズ150nmのライン(加工部)及び150nmのスペース(未加工部)が示されている。図28(d)の中央部分には、設計サイズ50nmのライン及び200nmのスペースに対して加工した後に得られたサイズ55nmのライン(加工部)及び195nmのスペース(未加工部)が示されている。図28(d)の右側部分には、設計サイズ100nmのライン及び100nmのスペースに対して加工した後に得られたサイズ102nmのライン(加工部)及び98nmのスペース(未加工部)が示されている。
実施例3の結果によれば、複合照射(活性化ステップとパターン潜像形成ステップの実行)におけるレジスト感度へのトータルの吸収線量から評価D(0)=862kGyとなり、パターニング露光のみの感度D(0)=1050kGyよりも総エネルギーで小さな値を示した。レジストの感度曲線の閾値前まで露光して、その後の露光量を減らすといった足し算ではなく、活性状態を維持した状態で再度露光することで、非線形の反応を利用し、解像度を維持した上で、高感度化できていることを示す。
[実施例4]
・レジスト層としてPMMAを採用した。レジスト層(PMMA)の分子量は350kであり、レジスト層の膜厚は100nmであった。1回目の活性化ステップにおいて、活性化装置として浜松ホトニクス株式会社の電子線照射源(型番:EB−ENGINE)を用い、窒素ガス気流中(酸素濃度<50ppm)、加速電圧100kV、電子電流100μA(20μC/cm2)の電子線を5回(ドーズ量100μC/cm2)、レジスト層に照射した。
1回目の活性化ステップを実行し、インターバルとして窒素ガス中でレジスト層を5分間保持した後、2回目の活性化ステップを実行した。2回目の活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流16pA、加速電圧30keVの電子線をレジスト層に照射した。
実施例4においては、2回目の活性化ステップを実行し、インターバルとして窒素ガス中でレジスト層を5分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、1回目の活性化ステップの実行時に用いた活性化装置と同様の活性化装置を用い、窒素ガス気流中(酸素濃度<50ppm)、加速電圧100kV、電子電流100μA(20μC/cm2)の電子線を5回(ドーズ量100μC/cm2)、レジスト層に照射した。
現像ステップにおいて、MIBKとIPAとを1:3の割合で混ぜた現像液によってレジスト層を13℃で60秒間現像した。図29(a)は、実施例4における現像結果を示す。
実施例4の結果によれば、複合照射(活性化ステップとパターン潜像形成ステップの実行)におけるレジスト感度へのトータルの吸収線量から評価D(0)=2190kGyとなり、パターニング露光のみの感度D(0)=2925kGyよりも総エネルギーで小さな値を示した。レジストの感度曲線の閾値前まで露光して、その後の露光量を減らすといった足し算ではなく、活性状態を維持した状態で再度露光することで、非線形の反応を利用し、解像度を維持した上で、高感度化できていることを示す。
[実施例5]
・レジスト層として化学増幅型レジストUV3を採用した。レジスト層(UV3)の膜厚は200nmであった。活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流16pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、インターバルとして窒素ガス中でレジスト層を5分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として浜松ホトニクス株式会社の電子線照射源(型番:EB−ENGINE)を用い、加速電圧100kV、電子電流50μA(5μC/cm2)の電子線を2回(ドーズ量10μC/cm2)、レジスト層に照射した。
潜像形成ステップの後、130℃、60秒間熱処理(PEB)を実施し、現像ステップにおいて、現像液テトラメチルアンモニウムハイドロオキサイド(TetraMethyl Ammonium Hydroxide、以下「TMAH」と記載する。)2.38%によってレジスト層を25℃で60秒間現像した。図29(b)は、実施例5における現像結果を示す。
実施例5の結果によれば、複合照射(活性化ステップとパターン潜像形成ステップの実行)におけるレジスト感度へのトータルの吸収線量から評価D(0)=155kGyとなり、パターニング露光のみの感度D(0)=194kGyよりも総エネルギーで小さな値を示した。レジストの感度曲線の閾値前まで露光して、その後の露光量を減らすといった足し算ではなく、活性状態を維持した状態で再度露光することで、非線形の反応を利用し、解像度を維持した上で、高感度化できていることを示す。
[実施例6]
・レジスト層として化学増幅型レジストUV3を採用した。レジスト層(UV3)の膜厚は200nmであった。活性化ステップにおいて、活性化装置として浜松ホトニクス株式会社の電子線照射源(型番:EB−ENGINE)を用い、加速電圧100kV、電子電流50μA(5μC/cm2)の電子線を2回(ドーズ量10μC/cm2)、レジスト層に照射した。
活性化ステップを実行し、インターバルとして窒素ガス中でレジスト層を5分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流16pA、加速電圧30keVの電子線をレジスト層に照射した。
潜像形成ステップの後、130℃、60秒間熱処理(PEB)を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で60秒間現像した。図29(c)は、実施例6における現像結果を示す。
実施例6の結果によれば、複合照射(活性化ステップとパターン潜像形成ステップの実行)におけるレジスト感度へのトータルの吸収線量から評価D(0)=167kGyとなり、パターニング露光のみの感度D(0)=194kGyよりも総エネルギーで小さな値を示した。レジストの感度曲線の閾値前まで露光して、その後の露光量を減らすといった足し算ではなく、活性状態を維持した状態で再度露光することで、非線形の反応を利用し、解像度を維持した上で、高感度化できていることを示す。
[実施例7]
・レジスト層としてPMMAを採用した。レジスト層(PMMA)の分子量は350kであり、レジスト層の膜厚は100nmであった。活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流30pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、インターバルとして大気中でレジスト層を1分間保持した後、真空保管し、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として放射線医学総合研究所の重粒子線がん治療装置(Heavy Ion Medical Accelerator in Chiba、以下「HIMAC」と記載する。)を用い、エネルギー6MeV/u、重イオンXe54+、7nC/pulse、1E+10ion/cm2(真空度 5E−5Pa)、24℃でレジスト層に照射した。
現像ステップにおいて、MIBKとIPAとを1:3の割合で混ぜた現像液によってレジスト層を13℃で60秒間現像した。図30(a)は、実施例7における現像結果を示す。
実施例7の結果によれば、複合照射(活性化ステップとパターン潜像形成ステップの実行)におけるレジスト感度へのトータルの吸収線量から評価D(0)=2380kGyとなり、パターニング露光のみの感度D(0)=2925kGyよりも総エネルギーで小さな値を示した。レジストの感度曲線の閾値前まで露光して、その後の露光量を減らすといった足し算ではなく、活性状態を維持した状態で再度露光することで、非線形の反応を利用し、解像度を維持した上で、高感度化できていることを示す。なお、高LET放射線単独の感度評価では、飛跡の重なりが大きいため、レジスト感度は、必要以上のエネルギーが付与されているが、上記結果は、それでも総エネルギー量を削減していることになる。
[実施例8]
・レジスト層としてPMMAを採用した。レジスト層(PMMA)の分子量は350kであり、レジスト層の膜厚は100nmであった。活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流30pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、インターバルとして大気中でレジスト層を1分間保持した後、真空保管し、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてHIMACを用い、エネルギー6MeV/u、重イオンKr36+、7nC/pulse、1E+9ion/cm2(真空度 5E−5Pa)、24℃でレジスト層に照射した。
現像ステップにおいて、MIBKとIPAとを1:3の割合で混ぜた現像液によってレジスト層を13℃で60秒間現像した。図30(b)は、実施例8における現像結果を示す。
実施例8の結果によれば、複合照射(活性化ステップとパターン潜像形成ステップの実行)におけるレジスト感度へのトータルの吸収線量から評価D(0)=2518kGyとなり、パターニング露光のみの感度D(0)=2925kGyよりも総エネルギーで小さな値を示した。レジストの感度曲線の閾値前まで露光して、その後の露光量を減らすといった足し算ではなく、活性状態を維持した状態で再度露光することで、非線形の反応を利用し、解像度を維持した上で、高感度化できていることを示す。なお、高LET放射線単独の感度評価では、飛跡の重なりが大きいため、レジスト感度は、必要以上のエネルギーが付与されているが、上記結果は、それでも総エネルギー量を削減していることになる。
[実施例9]
・レジスト層としてZEP520A(日本ゼオン株式会社製)を採用した。レジスト層(ZEP520A)の膜厚は280nmであった。活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流30pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として高圧水銀灯を用い、フィルターによりレジストの吸収のない波長(365nm)の光のみ(ドーズ量2J/cm2)をレジスト層に大気中で照射した。
現像ステップにおいて、現像液ZED‐N50(日本ゼオン(株)製)によってレジスト層を22℃で60秒間現像した。図30(c)は、実施例9における現像結果を示す。
実施例9の結果によれば、従来反応を誘起しないUV光の波長であるにもかかわらず、パターニング露光のみのパターニングに比べ、E(0)は高感度(54uC/cm2)で露光が可能であることがわかった。
[比較例1]
・実施例9との比較のため、比較例1では、下記に示す工程(単露光工程)でレジスト露光を行った。レジスト層としてZEP520A(日本ゼオン株式会社製)を採用した。レジスト層(ZEP520A)の膜厚は280nmであった。高圧水銀灯を用い、フィルターにより365nmの光のみ(ドーズ量2J/cm2)をレジスト層に大気中で照射した。現像ステップにおいて、現像液ZED‐N50(日本ゼオン(株)製)によってレジスト層を22℃で60秒間現像を試みたが、レジスト層を現像できなかった。膜厚などの変化も観察されなかった。
[実施例10]
・レジスト層としてPMMAを採用した。レジスト層(PMMA)の分子量は350kであり、レジスト層の膜厚は100nmであった。活性化ステップにおいて、活性化装置として浜松ホトニクス株式会社の電子線照射源(型番:EB−ENGINE)を用い、窒素ガス気流中(酸素濃度 50ppm)で加速電圧100kV、電子電流200μA(20μC/cm2)の電子線を10回(ドーズ量200μC/cm2)、レジスト層に照射した。
活性化ステップを実行し、真空下で試料を24時間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてSPring−8を用い、BL27SUの分光用ビームラインにおいて、3.1nmの軟X線(水平、分解能30000、 Crミラー有)をフラックス:4.43E+9photon/sで、真空中(1E−4Pa)にレジスト層に照射した。
現像ステップにおいて、MIBKとIPAとを1:3の割合で混ぜた現像液によってレジスト層を13℃で60秒間現像した結果、その感度は、露光量50.8mJ/cm2であった。
[比較例2]
・実施例10との比較のため、比較例2では、下記に示す工程(単露光工程)でレジスト露光を行った。レジスト層としてPMMA(アルドリッチ製、分子量350k)を採用した。レジスト層(PMMA)の膜厚は100nmであった。SPring−8を用い、BL27SUの分光用ビームラインにおいて、3.1nmの軟X線(水平、分解能30000、Crミラー有)をフラックス:4.43E+9photon/sで、真空中(1E−4Pa)にレジスト層に照射した。現像ステップにおいて、MIBKとIPAとを1:3の割合で混ぜた現像液によってレジスト層を13℃で60秒間現像した結果、その感度は、露光量220mJ/cm2であった。
[実施例11]
・レジスト層としてPMMAを採用した。レジスト層(PMMA)の分子量は350kであり、レジスト層の膜厚は100nmであった。活性化ステップにおいて、活性化装置として浜松ホトニクス株式会社の電子線照射源(型番:EB−ENGINE)を用い、窒素ガス気流中(酸素濃度 50ppm)で加速電圧100kV、電子電流200μA(20μC/cm2)の電子線を10回(ドーズ量200μC/cm2)、レジスト層に照射した。
活性化ステップを実行し、真空下で試料を24時間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてSPring−8を用い、BL27SUの分光用ビームラインにおいて、6.7nmのEUV光(垂直、分解能10000、Crミラー有)をフラックス:1.09E+10photon/sで、真空中(1E−4Pa)にレジスト層に照射した。
現像ステップにおいて、MIBKとIPAとを1:3の割合で混ぜた現像液によってレジスト層を13℃で60秒間現像した結果、その感度は、露光量87.3mJ/cm2であった。
[実施例12]
・レジスト層としてPMMAを採用した。レジスト層(PMMA)の分子量は350kであり、レジスト層の膜厚は100nmであった。活性化ステップにおいて、活性化装置としてSPring−8を用い、BL27SUの分光用ビームラインにおいて、6.7nmのEUV光(垂直、分解能10000、Crミラー有)をフラックス:1.09E+10photon/sで、真空中(1E−4Pa)レジスト層に照射した。
活性化ステップを実行し、試料を大気中5時間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として浜松ホトニクス株式会社の電子線照射源(型番:EB−ENGINE)を用い、窒素ガス気流中(酸素濃度50ppm)で加速電圧100kV、電子電流200μA(20μC/cm2)の電子線を10回(ドーズ量200μC/cm2)、レジスト層に照射した。
現像ステップにおいて、MIBKとIPAとを1:3の割合で混ぜた現像液によってレジスト層を13℃で60秒間現像した結果、その感度は、露光量94.6mJ/cm2であった。
[比較例3]
・実施例11、実施例12との比較のため、比較例3では、下記に示す工程(単露光工程)でレジスト露光を行った。レジスト層としてPMMA(アルドリッチ製、分子量350k)を採用した。レジスト層(PMMA)の膜厚は100nmであった。SPring−8を用い、BL27SUの分光用ビームラインにおいて、6.7nmのEUV光(垂直、分解能10000、Crミラー有)をフラックス:1.09E+10photon/sで、真空中(1E−4Pa)にレジスト層に照射した。現像ステップにおいて、MIBKとIPAとを1:3の割合で混ぜた現像液によってレジスト層を13℃で60秒間現像した結果、その感度は、露光量430mJ/cm2であった。
本発明に係る実施形態においては、照射時又は照射後に環境制御(活性状態の維持又は促進)をした状態で、パターン形状照射と複数回のエリア照射とを組み合わせることにより、低線量のパターン形状照射でも精度の高いレジストパターンを作成できる。実施例9に示すように、パターン形状照射後に未照射レジストがまったく反応しない波長でのエリア照射によっても、活性状態を反応させることによりレジストパターンを形成できる。
[実施例13]
以下に実施例13を説明する。実施例13においては、シクロヘキサノンに溶解させたベース樹脂としてのメチルメタクリレート系高分子(以下「MMA」と記載)に、酸発生剤(以下、「PAG」と記載)としてのDPI−PFBS 0.05Mを添加し、さらに増感体前駆体としてDOMeBzHを0.1M添加した混合物を実施例13のレジスト材料として調製した。調製したレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、2000rpm、30秒でスピンコートした。スピンコート後、熱処理を100℃で1分間行った。スピンコート後の膜厚を原子間力顕微鏡(以下「AFM」と記載、日立ハイテクサイエンス社NanoNavi II/SPA−300HV)を用いて計測した結果、厚さは、450nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流12.5pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、インターバルとして大気中でレジスト層を1分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として東芝製のブラックライト(320nm)を用い、大気中で1mW/hの光源を用いて30秒、1分、2分、3分、5分、10分の紫外線を全面露光した。潜像形成ステップの後、100℃、60秒間熱処理(PEB)を実施し、現像ステップにおいて、現像液テトラメチルアンモニウムハイドロオキサイド(TetraMethyl Ammonium Hydroxide、以下「TMAH」と記載)2.38%によってレジスト層を25℃で1分間現像した。
なお、一部の試料については、活性化ステップの後、100℃、1分間 熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
図31は、実施例13における現像後の75nmで描画したライン&スペースパターンのAFM像を示している。本実施形態により、75nmのライン&スペースパターンが高解像度で描画されていることがわかる。
図32に、紫外線を全面露光した際の電子ビームの感度曲線を示す。電子ビームによるレジスト材料の感度E0は、紫外線の露光量の増加と共に小さくなっていることがわかる。
[実施例14]
実施例13のレジスト材料に対して、増感体前駆体を添加していないレジスト材料を調製し、同一条件にて現像までの工程を実施した。
調製したレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、2000rpm、30秒でスピンコートした。スピンコート後、熱処理を100℃で1分間行った。AFMを用いて、スピンコート後の膜厚を計測した結果、厚さは、450nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流12.5pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップの後、100℃、1分間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
図33に、現像後のレジスト材料の感度曲線を示す。感度E0は、約12mC/cm2であった。
図34は、実施例14における現像後の75nmで描画したライン&スペースパターンのAFM像を示している。電子ビーム描画によって、75nmのライン&スペースパターンが、高解像度で描画されていることがわかる。
また、活性化ステップを実行後、インターバルとして大気中でレジスト層を1分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として東芝製のブラックライト(320nm)を用い、大気中で1mW/hの光源を用いて1分、5分、10分、15分、30分の紫外線の全面露光を行った。
潜像形成ステップの後、100℃、60秒間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
波長320nmの紫外線露光後の電子ビームの感度E0を表に示す。紫外線を露光していない場合の感度E0とほぼ等価であり、実施例13の光酸発生剤を添加したレジスト材料とは異なり、紫外線露光による高感度化がなされていないことがわかった。
[実施例15]
実施例13と同じレジスト材料を調製し、紫外線露光を実施しない場合の感度曲線を求めた。紫外線露光以外のステップは実施例13と同一である。
図35に、感度曲線を示す。感度E0は、56.5mC/cm2であったことから、実施例13に示した紫外線光を照射する潜像形成ステップを行うことで、著しい高感度化が行われていることがわかる。
[実施例16]
実施例13で調製したレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、2000rpm、30秒でスピンコートし、コート後、熱処理を100℃で1分間行った。コート後の膜厚は、450nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流12.5pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、インターバルとして大気中でレジスト層を1分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として日立製のブラックライト(365nm)を用い、大気中で1mW/hの光源を用いて10分、15分、30分の紫外線を全面露光した。
潜像形成ステップの後、100℃、1分間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
なお、一部の試料については、活性化ステップの後、100℃、1分間 熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
図36は、実施例16における現像後の75nmで描画したライン&スペースパターンのAFM像を示している。本電子−光複合照射プロセスによって、75nmのライン&スペースパターンが、高解像度で描画されていることがわかる。
図37に、365nmの紫外線を全面露光した際の電子ビームの感度曲線を示す。電子ビームによるレジスト材料の感度E0は、紫外線の露光量の増加と共に、小さくなっていることがわかる。
[実施例17]
実施例16のレジスト材料と比べて増感体前駆体を添加していないレジスト材料を調製し、当該レジスト材料に対して実施例16の同一条件で現像までの工程を実施した。
調製したレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、2000rpm、30秒でスピンコートした。スピンコート後、熱処理を100℃で1分間行った。
AFMを用いてスピンコート後の膜厚を計測した結果、厚さは450nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流12.5pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行後、インターバルとして大気中でレジスト層を1分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として日立製のブラックライト(365nm)を用い、大気中で1mW/hの光源を用いて10分、15分、30分の紫外線の全面露光を行った。
潜像形成ステップの後、100℃、60秒間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
365nmの紫外線露光後の電子ビームの感度E0を表に示す。紫外線を露光していない場合の感度E0とほぼ等価であり、実施例13の光酸発生剤を添加したレジスト材料とは異なり、紫外線露光による高感度化がなされていないことがわかった。
[実施例18]
実施例13で調製したレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、2000rpm、30秒でスピンコートし、コート後、熱処理を100℃で1分間行った。コート後の膜厚は、450nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流20pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、直ちにパターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてLED光源(365nm、3Dライムライト)を用い、真空中で0.9mW/hの光源を用いて5分、10分、15分、30分の紫外線を全面露光した。
潜像形成ステップの後、100℃、60秒間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。
図38に、LED光源からの365nmの紫外線を真空中全面露光した際の電子ビームの感度曲線を示す。電子ビームによるレジスト材料の感度E0は、紫外線の露光量の増加と共に、小さくなっていることがわかる。
[実施例19]
実施例13で調製したレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、2000rpm、30秒でスピンコートし、コート後、熱処理を100℃で1分間行った。コート後の膜厚は、450nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流20pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行後、インターバルとして大気中でレジスト層を2分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてLED光源(365nm、3Dライムライト)を用い、大気中で0.7mW/hの光源を用いて15分、30分,45分の紫外線を全面露光した。
潜像形成ステップの後、100℃、60秒間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。
図39に、LED光源からの365nmの紫外線を大気中全面露光した際の電子ビームの感度曲線を示す。電子ビームによるレジスト材料の感度E0は、紫外線の露光量の増加と共に、小さくなっていることがわかる。
[実施例20]
シクロヘキサノンに溶解させたMMAにPAG(DPI−PFBS)、0.05Mを添加した系に、増感体前駆体としてTriOMeBzHを0.1M添加した混合物を実施例20のレジスト材料として調製した。スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、4000rpm、60秒でスピンコートし、コート後、熱処理を100℃で1分間行った。コート後の膜厚は、AFM測定の結果140nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流12.5pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、インターバルとして大気中でレジスト層を1分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部として東芝製のブラックライト(320nm)を用い、大気中で1mW/hの光源を用いて5分、10分、30分の紫外線を全面露光した。
潜像形成ステップの後、100℃、1分間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
なお、一部の試料については、活性化ステップの後、100℃、1分間 熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
図40に、紫外線を全面露光した際の電子ビームの感度曲線を示す。電子ビームによるレジスト材料の感度E0は、紫外線の露光量の増加と共に、小さくなっていることがわかる。
[実施例21]
レジスト材料について、紫外線露光を実施しない場合の、感度曲線を求めた。紫外線露光以外のステップは、実施例20と同一である。図41に、感度曲線を示す。感度E0は、13.8mC/cm2であったことから、実施例20に示した紫外線光を照射する潜像形成ステップを行うことで、高感度化が行われていることがわかる。
[実施例22]
実施例20のレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、4000rpm、60秒でスピンコートし、コート後、熱処理を100℃で1分間行った。コート後の膜厚は、140nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流12.5pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、直ちにパターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてLED光源(365nm、3Dライムライト)を用い、真空中で0.9mW/hの光源を用いて3分、5分、10分、15分の紫外線を全面露光した。
潜像形成ステップの後、100℃、60秒間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。
図42に、LED光源からの365nmの紫外線を真空中全面露光した際の電子ビームの感度曲線を示す。電子ビームによるレジスト材料の感度E0は、紫外線の露光量の増加と共に、小さくなっていることがわかる。
[実施例23]
実施例20のレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、4000rpm、60秒でスピンコートし、コート後、熱処理を100℃で1分間行った。コート後の膜厚は、140nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流12.5pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行後、インターバルとして大気中でレジスト層を1分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてLED光源(365nm、3Dライムライト)を用い、大気中で0.7mW/hの光源を用いて5分、10分,15分の紫外線を全面露光した。
潜像形成ステップの後、100℃、60秒間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。
図43に、LED光源からの365nmの紫外線を大気中全面露光した際の電子ビームの感度曲線を示す。電子ビームによるレジスト材料の感度E0は、紫外線の露光量の増加と共に、小さくなっていることがわかる。
[実施例24]
シクロヘキサノンに溶解させたメチルメタクリレート系高分子(以下「MMA」と記載)に酸発生剤(以下、「PAG」と記載、DPI−PFBS)、0.05Mを添加した系に、増感体前駆体としてDOBzMMを0.1M添加した混合物を実施例24のレジスト材料として調製した。
調製したレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、4000rpm、120秒でスピンコートした。スピンコート後、熱処理を100℃で1分間行った。
スピンコート後の膜厚をAFM(日立ハイテクサイエンス社NanoNavi II/SPA−300HV)を用いて計測した結果、厚さは、90nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流12.5pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップを実行し、インターバルとして大気中でレジスト層を1分間保持した後、パターン潜像形成ステップを真空中で実行した。
パターン潜像形成ステップにおいて、パターン潜像形成部としてLED光源(365nm、3Dライムライト)を用い、真空中で0.9mW/hの光源を用いて10分、15分の紫外線を全面露光した。紫外線露光をしていない系も準備した。
潜像形成ステップの後、100℃、60秒間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。
図44に、LED光源からの365nmの紫外線を真空中全面露光した際の電子ビームの感度曲線を示す。電子ビームによるレジスト材料の感度E0は、紫外線の露光量の増加と共に、小さくなっていることがわかる。
[実施例25]
実施例24のレジスト材料に、トリオクチルアミン(TOA)を0.005M添加し、シクロヘキサノンを用いて、5倍に希釈してレジスト材料を調製し、1000rpm、60秒でスピンコートし、コート後、熱処理を100℃で1分間行った。コート後の膜厚は、50nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流30pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップ実行後、インターバルとして大気中でレジスト層を1分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてアズワン製のSLUV−6(365nm)を用い、大気中で0.7mW/hの光源を用いて5分、10分、15分の紫外線を全面露光した。
潜像形成ステップの後、100℃、1分間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。
なお、一部の試料については、活性化ステップの後、100℃、1分間 熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。図45、図46および図47に、潜像形成ステップによるパターン形成後のSEM像を示す。
[実施例26]
実施例25のレジスト材料を使い、活性化ステップにおいて、活性化装置としてENERGETIQ社の感度評価装置(EQ−10M キセノンプラズマ,フレーム露光)を用い、波長13.5nm、0.01mJ/cm2/sの極端紫外線(EUV光)をレジスト層に照射した。
活性化ステップ実行後、インターバルとして真空デシケータ中でレジスト層を5分間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてアズワン製のSLUV−6(365nm)を用い、大気中で0.7mW/hの光源を用いて5分、10分、15分の紫外線を全面露光した。
潜像形成ステップの後、100℃、1分間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。
なお、一部の試料については、活性化ステップの後、100℃、1分間 熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。図48に、LED光源からの365nmの紫外線を真空中全面露光した際の電子ビームの感度曲線を示す。
[実施例27]
実施例25のレジスト材料を用いて、活性化ステップにおいて、活性化装置として株式会社エリオニクスのパターニング装置ELS−7700T(ベクタースキャン方式)を用い、照射電流20pA、加速電圧75kVの電子線をレジスト層に照射した。
活性化ステップ実行後、真空保管し、真空中でパターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてアズワン製のSLUV−6(365nm)を用い、石英窓を通して0.8mW/hの光源を用いて15分の紫外線を全面露光した。
潜像形成ステップの後、大気中に取り出し、100℃、1分間熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
なお、一部の試料については、活性化ステップの後、100℃、1分間 熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。図49、図50に、潜像形成ステップによるパターン形成後のSEM像を示す。
[実施例28]
シクロヘキサノンに溶解させたメチルメタクリレート系高分子(以下「MMA」と記載)にPAGとしてスルフォニウム塩系のPBpS−PFBSを0.05M添加した系に、増感体前駆体としてDOBzMMを0.1M添加した混合物を実施例28のレジスト材料として調製した。
調製したレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、4000rpm、120秒でスピンコートした。スピンコート後、熱処理を110℃で1分間行った。
スピンコート後の膜厚をAFM(日立ハイテクサイエンス社NanoNavi II/SPA−300HV)を用いて計測した結果、厚さは、49nmであった。
活性化ステップにおいて、活性化装置として株式会社エリオニクスのパターニング装置ELS−7700T(ベクタースキャン方式)を用い、照射電流10pA、加速電圧75kVの電子線をレジスト層に照射した。
活性化ステップ実行後、真空保管し、真空中でパターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてアズワン製のSLUV−6(365nm)を用い、石英窓を通して0.8mW/hの光源を用いて10分,30分の紫外線を全面露光した。
潜像形成ステップの後、100℃、1分間熱処理を窒素気流中で実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
なお、一部の試料については、活性化ステップの後、100℃、1分間 熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を25℃で1分間現像した。
図51に、紫外線を全面露光した際の電子ビームの感度曲線を示す。電子ビームによるレジスト材料の感度E0は、紫外線の露光量の増加と共に、小さくなっていることがわかる。
[実施例29]
シクロヘキサノンに溶解させたメチルメタクリレート系高分子(以下「MMA」と記載)にPAGとして、DPI−PFBSを0.05M添加した系に、増感体前駆体としてDOBzMMを0.05M、TetraMeBzHを0.05M添加した混合物を実施例29のレジスト材料として調製した。
調製したレジスト材料を、スピンコーター(ミカサ)を用いて、予めHMDS処理を行ったシリコン基板上に、4000rpm、120秒でスピンコートした。スピンコート後、熱処理を100℃で1分間行った。
スピンコート後の膜厚をAFM(日立ハイテクサイエンス社NanoNavi II/SPA−300HV)を用いて計測した結果、厚さは、60nmであった。
活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流20pA、加速電圧30keVの電子線をレジスト層に照射した。
活性化ステップ実行後、インターバルとして大気中でレジスト層を30秒間保持した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてアズワン製のSLUV−6(365nm)を用い、大気中で0.7mW/hの光源を用いて3分、5分、10分の紫外線を全面露光した。
潜像形成ステップの後、110℃、1分間熱処理を大気中で実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。
なお、一部の試料については、活性化ステップの後、100℃、1分間 熱処理を実施し、現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で1分間現像した。
図52に、紫外線を全面露光した際の電子ビームの感度曲線を示す。電子ビームによるレジスト材料の感度E0は、紫外線の露光量の増加と共に、小さくなっていることがわかる。
[実施例30]
レジスト層としてZEP520A(日本ゼオン株式会社製)を採用した。レジスト層(ZEP520A)の膜厚はAFM測定から300nmであった。活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流28pA、加速電圧30kVの電子線をレジスト層に照射した。
活性化ステップを実行した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてアズワン製SLUV−6ブラックライトを用い、フィルターによりレジストの吸収のない波長(365nm)の光のみ大気中で0.7mW/hの光源を用いて15分、20分、30分、60分のUVを全面露光した。なお、UV未露光の系についても同様の手順で実施した。
現像ステップにおいて、現像液ZED‐N50(日本ゼオン(株)製)によってレジスト層を24℃で60秒間現像した。
図53に、実施例30における感度曲線を示す。実施例30の結果によれば、従来反応を誘起しないUV光の波長であるにもかかわらず、パターニング露光のみのパターニングに比べ、15分程度のUV露光により、3割程度高感度化されることがわかった。
[実施例31]
レジスト層としてZEP520A(日本ゼオン株式会社製)を採用した。レジスト層(ZEP520A)の膜厚はAFM測定から50nmであった。活性化ステップにおいて、活性化装置として日本電子株式会社のパターニング装置JSM−6500F(ビームブランカー装着:ラスタースキャン方式)を用い、照射電流30pA、加速電圧30kVの電子線をレジスト層に照射した。
活性化ステップを実行した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてアズワン製SLUV−6ブラックライトを用い、フィルターによりレジストの吸収のない波長(365nm)の光のみ大気中で0.7mW/hの光源を用いて15分のUVを全面露光した。なお、UV未露光の系についても同様の手順で実施した。
現像ステップにおいて、現像液ZED‐N50(日本ゼオン(株)製)によってレジスト層を13℃で60秒間現像した。図54に得られた加工パターンのSEM像を示す。
[実施例32]
レジスト層としてZEP520A(日本ゼオン株式会社製)を採用した。レジスト層(ZEP520A)の膜厚はAFM測定から50nmであった。活性化ステップにおいて、活性化装置として株式会社エリオニクス社のパターニング装置ELS−7700T(ベクタースキャン方式)を用い、照射電流100pA、加速電圧75kVの電子線をレジスト層に照射した。
活性化ステップを実行した後、パターン潜像形成ステップを実行した。パターン潜像形成ステップにおいて、パターン潜像形成部としてアズワン製SLUV−6ブラックライトを用い、フィルターによりレジストの吸収のない波長(365nm)の光のみ大気中で0.7mW/hの光源を用いて15分のUVを全面露光した。なお、UV未露光の系についても同様の手順で実施した。
現像ステップにおいて、現像液ZED‐N50(日本ゼオン(株)製)によってレジスト層を13℃で60秒間現像した。図55に得られた加工パターンのSEM像を示す。
[実施例33]
メチルメタクリレート系高分子に酸発生剤0.05Mを添加した系を新規プロセス用のレジスト材料として調整した。レジスト層の膜厚はAFM測定から70nmであった。浜松ホトニクス(株)の電子線露光装置(EB−engine)内の真空/不活性ガス環境下照射室の中にLED光素子を組み込んで、365nm光と電子線の同時露光が可能な装置に改造した複合露光装置を製作した。
活性化ステップにおいて、活性化装置として当該電子線を用い、更にパターン潜像形成装置として365nmのLED光を用い、真空下でステンシルマスク(72μm角)を通して、照射電流5μA/cm2、加速電圧100kVの電子線をレジスト層に露光し、更に0.91mW/hの出力の365nmUV光をレジスト層に露光し、活性化ステップとパターン潜像形成ステップとを実行した。
現像ステップにおいて、現像液TMAH2.38%によってレジスト層を24℃で60秒間現像した。表に、実施例33における電子線の露光量と膜厚を示す。
比較として、365nmのUV光を露光していないものも行った。そのときの電子線の露光量と膜厚を示す。
実施例33の結果によれば、UVを同時照射していない系(比較例)に比べ、同時照射することで、感度が約4倍高感度化されている。
以上、図1〜図55を参照して本発明に係る実施形態を説明した。本発明に係る実施形態によれば、パターン解像度を維持しながら、露光工程のスループットの向上が実現され、露光システムの大幅な低コスト化が達成される。また、低出力の光源が適用可能なため、光源装置、露光装置内の消耗部品の寿命が長くなり、保守及び運転コストも大幅に低減できる。
本発明のレジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置およびレジスト材料は、基板上にレジストパターンを形成する露光工程に好適に用いられる。本発明のレジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置およびレジスト材料によれば、レジストの感度を向上させることができる。
11 基板
12 レジスト層
121 第1露光部位
122 第2露光部位
21 活性化エネルギー源
22 潜像形成エネルギー源

Claims (24)

  1. 第1エネルギービームの吸収に伴って、レジスト層内の増感体前駆体から増感体を生成する増感体生成ステップと、
    第2エネルギービームの吸収に伴って、前記レジスト層内の前記増感体が励起状態と励起前の状態に戻ることを繰り返すことにより、前記レジスト層に潜像を形成する潜像形成ステップと、
    を含有する、レジスト潜像形成方法。
  2. 前記第1エネルギービームを吸収することなく前記第2エネルギービームを吸収しても前記増感体は生成されない、請求項1に記載のレジスト潜像形成方法。
  3. 前記第1エネルギービームを吸収することなく前記第2エネルギービームを吸収しても前記増感体が生成される、請求項1に記載のレジスト潜像形成方法。
  4. 前記増感体前駆体は、ビス(4−メトキシフェニル)メタノール(DOMeBzH)、ジメトキシベンズヒドロール誘導体(DOBzMM)およびトリメトキシベンズヒドロール(TriOMeBzH)からなる群から選択された少なくとも1つを含む、請求項1から請求項3のいずれかに記載のレジスト潜像形成方法。
  5. 前記潜像形成ステップにおいて、前記第2エネルギービームの波長は、前記第1エネルギービームの波長よりも長い、請求項1から請求項4のいずれかに記載のレジスト潜像形成方法。
  6. 前記増感体生成ステップにおいて、前記第1エネルギービームは、紫外線、深紫外線、極端紫外線又はX線を含む電磁波、電子線、又はイオンビームであり、
    前記潜像形成ステップにおいて、前記第2エネルギービームは、可視光又は紫外光である、請求項1から請求項5のいずれかに記載のレジスト潜像形成方法。
  7. 前記増感体生成ステップにおいて、前記第1エネルギービームの吸収に伴って前記増感体とともに酸を生成する、請求項1から請求項6のいずれかに記載のレジスト潜像形成方法。
  8. 前記増感体生成ステップにおいて、前記第1エネルギービームの吸収に伴って、前記増感体と酸とのうち前記増感体のみを生成する、請求項1から請求項6のいずれかに記載のレジスト潜像形成方法。
  9. 前記潜像形成ステップにおいて、前記第2エネルギービームの吸収に伴って酸を生成する、請求項1から請求項7のいずれかに記載のレジスト潜像形成方法。
  10. 前記潜像形成ステップにおいて、前記第2エネルギービームの吸収に伴って酸とともに前記増感体を生成する、請求項1から請求項7のいずれかに記載のレジスト潜像形成方法。
  11. 前記潜像形成ステップにおいて、前記第2エネルギービームの吸収に伴って前記増感体を生成することなく酸を生成する、請求項1から請求項7のいずれかに記載のレジスト潜像形成方法。
  12. 前記増感体生成ステップは、前記レジスト層に活性種を生成するステップを含み、
    前記レジスト潜像形成方法は、前記レジスト層に生成した活性種の減衰を抑制する減衰抑制ステップをさらに含有する、請求項1から請求項11のいずれかに記載のレジスト潜像形成方法。
  13. 前記潜像形成ステップは、前記レジスト層に活性種の生成された状態で、前記第2エネルギービームの吸収に伴って、前記レジスト層に潜像を形成する、請求項1から請求項12のいずれかに記載のレジスト潜像形成方法。
  14. 前記増感体生成ステップにおいて、前記増感体前駆体が酸発生剤として機能する、請求項1から請求項13のいずれかに記載のレジスト潜像形成方法。
  15. 前記増感体前駆体が酸発生剤として機能し、
    前記第1エネルギービームの吸収に伴って、電子が生成し、
    前記増感体前駆体は前記生成した電子と反応して、前記増感体と酸とを生成する、請求項1から請求項7のいずれかに記載のレジスト潜像形成方法。
  16. 前記レジスト層は、酸発生剤をさらに含有し、
    前記第1エネルギービームの吸収に伴って、電子が生成し、
    前記酸発生剤と前記生成した電子との反応で、中間活性種が生成し、
    前記生成した中間活性種と前記増感体前駆体との反応で前記増感体が生成する、請求項1から請求項14のいずれかに記載のレジスト潜像形成方法。
  17. 前記レジスト層は、酸発生剤をさらに含有し、
    前記第1エネルギービームの吸収に伴って、前記酸発生剤から酸が生成し、
    前記生成した酸と前記増感体前駆体とが反応して、前記増感体が生成する、請求項1から請求項7のいずれかに記載のレジスト潜像形成方法。
  18. 前記増感体生成ステップは、前記潜像形成ステップと同時に実行される、請求項1から請求項17のいずれかに記載のレジスト潜像形成方法。
  19. 前記増感体生成ステップにおいて前記第1エネルギービームを出射する第1エネルギー源は、前記潜像形成ステップにおいて前記第2エネルギービームを出射する第2エネルギー源と同一である、請求項1から請求項18のいずれかに記載のレジスト潜像形成方法。
  20. 前記増感体生成ステップにおいて前記第1エネルギービームを出射する第1エネルギー源は、前記潜像形成ステップにおいて前記第2エネルギービームを出射する第2エネルギー源と異なる、請求項1から請求項18のいずれかに記載のレジスト潜像形成方法。
  21. 前記増感体生成ステップにおいて前記増感体はラジカル、イオンまたはイオンラジカルを含む中間体を有する、請求項1から請求項20のいずれかに記載のレジスト潜像形成方法。
  22. 前記潜像形成ステップにおいて、前記潜像は前記増感体とは異なる物質によって形成される、請求項1から請求項21のいずれかに記載のレジスト潜像形成方法。
  23. 前記潜像形成ステップにおいて、前記増感体は前記第2エネルギービームによる前記潜像の形成を促進する、請求項1から請求項22のいずれかに記載のレジスト潜像形成方法。
  24. 前記レジスト層は、酸発生剤をさらに含有し、
    前記潜像形成ステップにおいて、前記増感体が前記第2エネルギービームの吸収によって励起し、前記励起した増感体から前記酸発生剤への電子移動により、前記増感体は励起前の状態に戻り前記酸発生剤から酸が生成する、請求項1から請求項23のいずれかに記載のレジスト潜像形成方法。
JP2016147432A 2013-02-20 2016-07-27 レジスト潜像形成方法 Active JP6309580B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2013031125 2013-02-20
JP2013031125 2013-02-20
JP2013211479 2013-10-08
JP2013211479 2013-10-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015501504A Division JP5988115B2 (ja) 2013-02-20 2014-02-20 レジストパターン形成方法

Publications (3)

Publication Number Publication Date
JP2016206680A JP2016206680A (ja) 2016-12-08
JP2016206680A5 JP2016206680A5 (ja) 2017-03-23
JP6309580B2 true JP6309580B2 (ja) 2018-04-11

Family

ID=51391338

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2015501504A Active JP5988115B2 (ja) 2013-02-20 2014-02-20 レジストパターン形成方法
JP2015199430A Active JP5881093B1 (ja) 2013-02-20 2015-10-07 レジスト材料
JP2016147432A Active JP6309580B2 (ja) 2013-02-20 2016-07-27 レジスト潜像形成方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2015501504A Active JP5988115B2 (ja) 2013-02-20 2014-02-20 レジストパターン形成方法
JP2015199430A Active JP5881093B1 (ja) 2013-02-20 2015-10-07 レジスト材料

Country Status (7)

Country Link
US (2) US9977332B2 (ja)
EP (1) EP2960926B1 (ja)
JP (3) JP5988115B2 (ja)
KR (2) KR101779683B1 (ja)
CN (1) CN105164789B (ja)
TW (1) TWI567788B (ja)
WO (1) WO2014129556A1 (ja)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105308146A (zh) 2013-05-13 2016-02-03 东洋合成工业株式会社 试剂和抗蚀剂组合物
WO2014208076A1 (en) * 2013-06-24 2014-12-31 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species
US9790241B2 (en) 2013-06-27 2017-10-17 Toyo Goesi Co., Ltd. Reagent for enhancing generation of chemical species
WO2014208102A1 (en) * 2013-06-27 2014-12-31 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
US10031416B2 (en) 2013-08-07 2018-07-24 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species
EP3109703B1 (en) * 2014-02-21 2020-12-30 Tokyo Electron Limited Photosensitization chemical-amplification type resist material, and method for forming pattern using same
WO2015125788A1 (ja) * 2014-02-21 2015-08-27 東京エレクトロン株式会社 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、半導体デバイス、リソグラフィ用マスク、並びにナノインプリント用テンプレート
WO2015125495A1 (en) * 2014-02-24 2015-08-27 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
JP6524388B2 (ja) * 2014-02-24 2019-06-05 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
KR101846109B1 (ko) * 2014-02-24 2018-04-05 도쿄엘렉트론가부시키가이샤 감광성 화학적 증폭 레지스트 내에서의 감광제 농도의 측정을 위한 계측
JP6895600B2 (ja) * 2014-02-25 2021-06-30 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
WO2015129275A1 (en) * 2014-02-26 2015-09-03 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
WO2015129284A1 (en) * 2014-02-28 2015-09-03 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
US9713264B2 (en) 2014-12-18 2017-07-18 Intel Corporation Zero-misalignment via-pad structures
JP6575141B2 (ja) * 2015-05-22 2019-09-18 日本ゼオン株式会社 レジストパターン形成方法および現像条件の決定方法
JP6512994B2 (ja) * 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6809843B2 (ja) 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
JP6774814B2 (ja) 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
US20170059992A1 (en) * 2015-08-26 2017-03-02 Jsr Corporation Resist pattern-forming method and chemically amplified radiation-sensitive resin composition
JP2017045044A (ja) * 2015-08-26 2017-03-02 Jsr株式会社 レジストパターン形成方法及び化学増幅型感放射線性樹脂組成物
JP6507958B2 (ja) 2015-09-10 2019-05-08 Jsr株式会社 化学増幅型レジスト材料及びレジストパターン形成方法
JP2017054116A (ja) 2015-09-10 2017-03-16 Jsr株式会社 レジストパターン形成方法
US10018911B2 (en) 2015-11-09 2018-07-10 Jsr Corporation Chemically amplified resist material and resist pattern-forming method
US9989849B2 (en) * 2015-11-09 2018-06-05 Jsr Corporation Chemically amplified resist material and resist pattern-forming method
JP6552070B2 (ja) * 2015-11-25 2019-07-31 国立大学法人大阪大学 レジストパターン形成方法およびレジスト材料
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
CN109313394B (zh) 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
TWI662360B (zh) 2016-05-13 2019-06-11 東京威力科創股份有限公司 藉由使用光劑之臨界尺寸控制
JP6726558B2 (ja) * 2016-08-03 2020-07-22 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記録媒体
JP2019168475A (ja) * 2016-08-08 2019-10-03 Jsr株式会社 化学増幅型レジスト材料及びレジストパターン形成方法
KR102278416B1 (ko) 2016-10-17 2021-07-15 도요 고세이 고교 가부시키가이샤 조성물 및 이를 이용한 디바이스의 제조 방법
US10042252B2 (en) * 2016-11-30 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US10545408B2 (en) * 2017-08-18 2020-01-28 Varian Semiconductor Equipment Associates, Inc. Performance improvement of EUV photoresist by ion implantation
US11061332B2 (en) * 2017-09-22 2021-07-13 Tokyo Electron Limited Methods for sensitizing photoresist using flood exposures
WO2019240279A1 (ja) 2018-06-14 2019-12-19 国立大学法人大阪大学 レジストパターン形成方法
US10790251B2 (en) 2018-06-20 2020-09-29 Micron Technology, Inc. Methods for enhancing adhesion of three-dimensional structures to substrates
JP7203958B2 (ja) * 2019-03-29 2023-01-13 富士フイルム株式会社 光照射装置、光照射方法、光照射装置の動作方法、及びプログラム
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
WO2022255119A1 (ja) * 2021-06-01 2022-12-08 東京エレクトロン株式会社 フォトレジスト組成物、レジストパターンを形成する方法、半導体装置を製造する方法、及び基板処理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04278955A (ja) 1990-06-05 1992-10-05 Nippon Paint Co Ltd 感光性樹脂組成物の露光方法
EP0460919A3 (en) 1990-06-05 1993-04-28 Nippon Paint Co., Ltd. Process for exposing a photosensitive resin composition to light
JP3081655B2 (ja) * 1991-03-12 2000-08-28 株式会社東芝 レジストパターンの形成方法
JPH0521331A (ja) 1991-07-15 1993-01-29 Toshiba Corp 半導体装置の製造方法
JPH05144693A (ja) 1991-11-18 1993-06-11 Mitsubishi Electric Corp パターン形成方法
JPH0653106A (ja) 1992-07-29 1994-02-25 Nec Corp 微細レジストパターンの形成方法
US5286612A (en) 1992-10-23 1994-02-15 Polaroid Corporation Process for generation of free superacid and for imaging, and imaging medium for use therein
JPH08222501A (ja) 1995-02-13 1996-08-30 Sony Corp 露光方法
JPH09251210A (ja) 1996-03-15 1997-09-22 Toshiba Corp レジストパターンの形成方法
JPH10198036A (ja) 1997-01-09 1998-07-31 Konica Corp 画像形成材料及び画像形成方法
JP3011684B2 (ja) 1997-03-18 2000-02-21 株式会社東芝 近接効果補正方法及び近接効果補正装置
JP2002174894A (ja) 2000-12-07 2002-06-21 Fuji Photo Film Co Ltd 電子線又はx線用ポジ型レジスト組成物
JP4142313B2 (ja) 2002-02-28 2008-09-03 コダックグラフィックコミュニケーションズ株式会社 光重合性組成物、光重合性平版印刷版及びそれを用いた画像形成方法
JP2003330168A (ja) 2002-05-14 2003-11-19 Fujitsu Ltd レジスト組成物、レジストパターンの製造方法、及び半導体装置の製造方法
JP4515385B2 (ja) * 2003-07-09 2010-07-28 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
JP2005150182A (ja) 2003-11-12 2005-06-09 Matsushita Electric Ind Co Ltd パターン形成方法
US7117685B2 (en) * 2004-08-07 2006-10-10 On Course Solutions, Llc Drinking water cooler
US20060269879A1 (en) * 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
JP2007093866A (ja) * 2005-09-28 2007-04-12 Fujifilm Corp 感光性組成物および平版印刷版原版
JP2007248843A (ja) 2006-03-16 2007-09-27 Fujifilm Corp 感光性組成物、感光性フィルム、感光性積層体、永久パターン形成方法、及びプリント基板
JP5246489B2 (ja) 2008-03-28 2013-07-24 富士フイルム株式会社 平版印刷版の製版方法及び平版印刷方法
JP2010079270A (ja) 2008-08-29 2010-04-08 Fujifilm Corp パターン形成方法及びそれに用いる感光性組成物
KR101653427B1 (ko) 2008-10-20 2016-09-01 바스프 에스이 술포늄 유도체 및 잠재성 산으로서의 그의 용도
JP5421585B2 (ja) * 2008-12-24 2014-02-19 旭化成イーマテリアルズ株式会社 感光性樹脂組成物
JP5611652B2 (ja) 2010-05-06 2014-10-22 信越化学工業株式会社 ネガ型レジスト材料、パターン形成方法及びフォトマスクブランク

Also Published As

Publication number Publication date
JP5988115B2 (ja) 2016-09-07
US10670967B2 (en) 2020-06-02
KR20170106653A (ko) 2017-09-21
US9977332B2 (en) 2018-05-22
WO2014129556A1 (ja) 2014-08-28
JP5881093B1 (ja) 2016-03-09
JPWO2014129556A1 (ja) 2017-02-02
CN105164789A (zh) 2015-12-16
TW201438059A (zh) 2014-10-01
KR102062966B1 (ko) 2020-01-06
JP2016206680A (ja) 2016-12-08
CN105164789B (zh) 2018-04-20
EP2960926A1 (en) 2015-12-30
JP2016035582A (ja) 2016-03-17
US20160004160A1 (en) 2016-01-07
US20180231892A1 (en) 2018-08-16
KR101779683B1 (ko) 2017-09-18
TWI567788B (zh) 2017-01-21
EP2960926B1 (en) 2019-05-29
EP2960926A4 (en) 2016-11-02
KR20150125964A (ko) 2015-11-10

Similar Documents

Publication Publication Date Title
JP6309580B2 (ja) レジスト潜像形成方法
KR102170659B1 (ko) 레지스트패턴 형성방법 및 레지스트재료
JP5705103B2 (ja) パターン形成方法
JP6386546B2 (ja) レジストパターン形成方法およびレジスト材料
JP2018502327A (ja) レジスト組成物、レジストパターン形成方法、レジスト組成物の製造方法、ペロブスカイト材料のリソグラフィプロセスへの使用およびレジスト組成物で被覆された基板
KR102590254B1 (ko) 레지스트패턴 형성방법
Deguchi et al. Phantom exposures of chemically amplified resists caused by acids generated in environmental air in synchrotron radiation lithography
Kozawa et al. Effects of flare on latent image formation in chemically amplified extreme ultraviolet resists
JPH10268520A (ja) パターン形成方法
KR20240072962A (ko) 극자외선 리소그래피에 적용 가능한 무탄소 무기 금속 산화물 나노 클러스터 기반 포토레지스트 조성물 및 패터닝 방법
JPH05109697A (ja) レジストパターン形成方法
JPH07219239A (ja) レジストパターンの形成方法
JPH07106228A (ja) パターン形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170220

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180314

R150 Certificate of patent or registration of utility model

Ref document number: 6309580

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250