TW201438059A - 阻劑圖案形成方法、阻劑潛像形成裝置、阻劑圖案形成裝置以及阻劑材料 - Google Patents

阻劑圖案形成方法、阻劑潛像形成裝置、阻劑圖案形成裝置以及阻劑材料 Download PDF

Info

Publication number
TW201438059A
TW201438059A TW103105690A TW103105690A TW201438059A TW 201438059 A TW201438059 A TW 201438059A TW 103105690 A TW103105690 A TW 103105690A TW 103105690 A TW103105690 A TW 103105690A TW 201438059 A TW201438059 A TW 201438059A
Authority
TW
Taiwan
Prior art keywords
latent image
resist layer
resist
pattern
image forming
Prior art date
Application number
TW103105690A
Other languages
English (en)
Other versions
TWI567788B (zh
Inventor
Seiichi Tagawa
Akihiro Oshima
Original Assignee
Univ Osaka
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Univ Osaka filed Critical Univ Osaka
Publication of TW201438059A publication Critical patent/TW201438059A/zh
Application granted granted Critical
Publication of TWI567788B publication Critical patent/TWI567788B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2032Simultaneous exposure of the front side and the backside
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Electromagnetism (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

提供一種解決權衡取捨關係的問題,並能夠提高阻劑感度的阻劑圖案形成方法。本發明的阻劑圖案形成方法,包括:阻劑層形成步驟S101,其形成阻劑層12於基板11上;活化步驟S103,其藉由活化能量光束的照射活化該阻劑層;衰減抑制步驟S105,其抑制該阻劑層的活性的衰減;圖案潛像形成步驟S107,其藉由潛像形成能量光束的照射而形成圖案潛像於該被活化的阻劑層;顯影步驟S110,其顯影該阻劑層。

Description

阻劑圖案形成方法、阻劑潛像形成裝置、阻劑圖案形成裝置以及阻劑 材料
本發明係關於阻劑圖案形成方法、阻劑潛像形成裝置以及阻劑圖案形成裝置。
隨著電路的高積體化與高速化,在半導體元件的曝光步驟中有被要求進一步的微細圖案。圖案微細化的方法,主要係要求曝光源的短波長化,例如,對於製造下一代半導體元件,極紫外線(EUV,波長:13.5nm)係具前景的技術而被積極開發。然而,由於難以開發具有適用於大量生產所需要之高輸出(100W)的光源裝置,目前停留在10W的階段,且在形成圖案潛像時所需要的曝光則費時。另,在使用電子束(EB)的電子束直接描畫之方法時,雖然光束徑小而能夠以高尺寸精度形成微細圖案,但反過來,圖案複雜的情況下,則面積越大描畫越費時間。因此,雖然使用極紫外線和電子束的曝光技術能夠形成微細圖案,但同時有輸出率低的問題。
為了解決此問題,將進行阻劑材料的高感度化以儘可能減少曝光時間。例如,專利文獻1揭示的阻劑組成物,藉由含有特定的樹脂以及化合物的組成,以實現提高感度及解析度。
【專利文獻1】日本特開2002-174894號公報
然而,阻劑的三個重要性能,所謂感度、解析度、線寬粗糙 度(LWR)之間有權衡取捨的關係,若在進行阻劑的高感度化的情況下,會產生減低解析度和LWR的問題。因此,不使解析度和線寬粗糙度產生劣化並使阻劑具高感度是有限,且沒有完全解決輸出率低的問題。
本發明係鑒於上述問題而完成者,其目的在於提供一種能夠 解決權衡取捨關係的問題並提高阻劑感度的阻劑圖案形成方法、阻劑潛像形成裝置以及阻劑圖案形成裝置。另,本發明的目的在於提供一種高感度的阻劑材料。
本發明的阻劑圖案形成方法,包括:阻劑層形成步驟,其形 成阻劑層於基板上;活化步驟,其藉由活化能量光束的照射活化該阻劑層;衰減抑制步驟,其抑制該阻劑層之活性的衰減;圖案潛像形成步驟,其藉由潛像形成能量光束的照射而形成圖案潛像於該被活化的阻劑層;顯影步驟,其顯影該阻劑層。
本發明的阻劑圖案形成方法,包括:阻劑層形成步驟,其形 成阻劑層於基板上;活化步驟,其藉由活化能量光束的照射活化該阻劑層;圖案潛像形成步驟,其為該阻劑層在活化的狀態下,藉由潛像形成能量光束的照射而形成圖案潛像於該被活化的阻劑層;顯影步驟,其顯影該阻劑層。
於一實施方式中,在該衰減抑制步驟,該被活化的阻劑層的 氛圍為惰性氣體氛圍、活性氣體氛圍或真空氛圍。
於一實施方式中,本發明的阻劑圖案形成方法進一步包含搬 運步驟,其將該基板從進行該活化步驟的位置搬運到進行該圖案潛像形成步驟的位置。
於一實施方式中,該活化步驟及該圖案潛像形成步驟為同時 執行。
於一實施方式中,該活化步驟包含區域照射步驟以及/或者 圖案形狀照射步驟,該區域照射步驟對該阻劑層內的整個區域照射該活化能量光束,該圖案形狀照射步驟於該阻劑層內將該活化能量光束照射圖案形狀;該圖案潛像形成步驟包含區域照射步驟以及/或者圖案形狀照射步驟,該區域照射步驟對該阻劑層內的整個區域照射該潛像形成能量光束, 該圖案形狀照射步驟為於該阻劑層內將該潛像形成能量光束照射圖案形狀;在該活化步驟包含該區域照射步驟的情況下,該潛像形成步驟至少包含該區域照射步驟以及該圖案形狀照射步驟之中的該圖案形狀照射步驟;而在該活化步驟包含圖案形狀照射步驟的情況下,該圖案潛像形成步驟至少包含該區域照射步驟以及該圖案形狀照射步驟之中的該區域照射步驟
本發明的阻劑圖案形成方法,包括:阻劑層形成步驟,其形成阻劑層於基板上;安定物質生成步驟,其藉由活化能量光束的照射生成安定物質於該阻劑層上;圖案潛像形成步驟,其藉由潛像形成能量光束的照射而形成圖案潛像於有生成該安定物質的該阻劑層上;顯影步驟,其顯影該阻劑層。
於一實施方式中,本發明的阻劑圖案形成方法進一步包含轉換該阻劑層內的安定物質的轉換步驟。
本發明的阻劑潛像形成裝置具備活化裝置及圖案潛像形成部,其中該活化裝置具有:活化腔室,其能夠收容阻劑層;活化能量源,其射出為了活化該活化腔室內的該阻劑層的能量光束,該圖案潛像形成部具有:潛像形成腔室,其能夠收容該阻劑層;潛像形成能量源,其射出為了形成圖案潛像於該潛像形成腔室內的該阻劑層上的能量光束。
於一實施方式中,該活化能量源及該潛像形成能量源中之其中之一所射出的該能量光束照射該阻劑層內的整個區域,該活化能量源及該潛像形成能量源中之另一個所射出的該能量光束在該阻劑層的該區域內照射圖案形狀。
於一實施方式中,該潛像形成能量源與該活化能量源為相同,或者該潛像形成能量源與該活化能量源為不同。
於一實施方式中,該活化腔室及該潛像形成腔室的至少一者,以抑制該阻劑層的活性的衰減的方式來調整該阻劑層的周圍環境。
於一實施方式中,本發明的阻劑潛像形成裝置進一步具備,將該基板從該活化腔室搬運到該潛像形成腔室的搬運裝置。
於一實施方式中,該潛像形成腔室與該活化腔室為相同。
於一實施方式中,該活化能量源與該潛像形成能量源中的至 少其中之一包含離子束照射部、電子束照射部或電磁波照射部。
本發明的阻劑圖案形成裝置具備:如上所述的阻劑潛像形成裝置與顯影裝置,而該顯影裝置將由該阻劑潛像形成裝置所形成有該圖案潛像的阻劑層加以顯影。
本發明的阻劑材料包含含有基材樹脂及增感劑前驅物的阻劑組成物。該阻劑組成物藉由第一能量光束的照射生成增感劑,且即使照射促進藉由該增感劑的阻劑反應的第二能量光束也不會生成增感劑。
於一實施方式中,該基材樹脂含有甲基丙烯酸甲酯樹脂。
於一實施方式中,該阻劑組成物進一步含有光酸產生劑。
於一實施方式中,光酸產生劑與增感劑前驅物有時會相同。
於一實施方式中,該阻劑組成物進一步含有猝滅劑。
於一實施方式中,該猝滅劑不會與該增感劑產生反應。
11‧‧‧基板
12‧‧‧阻劑層
121‧‧‧第一曝光部位
122‧‧‧第二曝光部位
21‧‧‧活化能量源
22‧‧‧潛像形成能量源
S101‧‧‧阻劑層形成步驟
S103‧‧‧活化步驟
S104‧‧‧搬運步驟
S105‧‧‧衰減抑制步驟
S107‧‧‧圖案潛像形成步驟
S110‧‧‧顯影步驟
第1圖(a)~(e)為表示本實施方式之阻劑圖案形成方法的步驟的示意圖;第2圖為表示本實施方式之阻劑圖案形成方法的能量照射量-殘膜率曲線的圖;第3圖為表示本實施方式之阻劑圖案形成方法的能量照射量-時間曲線的圖;第4圖為說明本發明另一實施方式之阻劑圖案形成方法的步驟的圖;第5圖為說明本發明又一實施方式之阻劑圖案形成方法的步驟的圖;第6圖(a)~(d)為說明本發明又一實施方式之阻劑圖案形成方法的步驟的圖;第7圖(a)~(c)為說明本發明之阻劑圖案形成方法的具體例子1的圖;第8圖(a)~(d)為說明本發明之阻劑圖案形成方法的具體例子2的圖; 第9圖(a)~(d)為說明本發明之阻劑圖案形成方法的具體例子3的圖;第10圖(a)~(e)為說明本發明之阻劑圖案形成方法的具體例子4的圖;第11圖為表示本發明之阻劑潛像形成裝置的實施方式的示意圖;第12圖為表示本發明之阻劑潛像形成裝置的實施方式的示意圖;第13圖為表示本發明之阻劑潛像形成裝置的實施方式的示意圖;第14圖為表示本發明之阻劑潛像形成裝置的實施方式的示意圖;第15圖為表示在一般阻劑材料的酸以及猝滅劑的濃度變化的示意圖;第16圖為表示本發明的阻劑材料的實施方式的酸、增感劑、猝滅劑的濃度變化的示意圖,而(a)表示剛照射活化能量光束之後的濃度,(b)表示藉由活化能量光束的照射所生成的酸與猝滅劑中和之後的濃度,(c)表示照射潛像形成能量光束之後的濃度;第17圖為表示本發明的阻劑材料的實施方式的酸、增感劑、猝滅劑的濃度變化的示意圖,而(a)表示剛照射活化能量光束之後的濃度,(b)表示藉由活化能量光束的照射所生成的酸與猝滅劑中和之後的濃度,(c)表示照射潛像形成能量光束之後的濃度;第18圖為表示本發明的阻劑材料的實施方式的酸、增感劑、猝滅劑的濃度變化的示意圖,而(a)表示剛照射活化能量光束之後的濃度,(b)表示藉由活化能量光束的照射所生成的酸與猝滅劑中和之後的濃度,(c)表示照射潛像形成能量光束之後的濃度;第19圖為表示本發明的阻劑材料的實施方式的酸、增感劑、猝滅劑的濃度變化的示意圖;第20圖為表示本發明的阻劑材料的實施方式的酸、增感劑、猝滅劑的濃度變化的示意圖,而(a)表示剛照射活化能量光束之後的濃度,(b)表示藉由活化能量光束的照射所生成的酸與猝滅劑中和之後的濃度,(c)表示照射潛像形成能量光束之後的濃度;第21圖為本實施方式的化學反應式;第22圖為表示本實施方式的顯影結果的示意圖; 第23圖為表示DOMeBzH與DOMeBzO的吸收率的圖表;第24圖為表示UV曝光時間與照射量之關係的圖表;第25圖為表示本實施方式的酸、增感劑、猝滅劑的濃度變化的示意圖,而(a)表示剛照射活化能量光束之後的濃度,(b)表示藉由活化能量光束的照射所生成的酸與猝滅劑中和之後的濃度,(c)表示照射潛像形成能量光束之後的濃度;第26圖為表示實施例1之顯影結果的圖;第27圖為表示實施例2之顯影結果的圖;第28圖為表示實施例3之顯影結果的圖;第29圖為表示實施例4~實施例6之顯影結果的圖;第30圖為表示實施例7~實施例9之顯影結果的圖;第31圖為表示實施例13之顯影結果的圖;第32圖為表示實施例13之感度曲線的圖表;第33圖為表示實施例14之顯影結果的圖;第34圖為表示實施例14之感度曲線的圖表;第35圖為表示實施例15之感度曲線的圖表;第36圖為表示實施例15之顯影結果的圖;第37圖為表示實施例16之感度曲線的圖表;第38圖為表示實施例17之感度曲線的圖表;第39圖為表示實施例18之感度曲線的圖表;第40圖為表示實施例19之感度曲線的圖表;第41圖為表示實施例20之感度曲線的圖表;第42圖為表示實施例21之感度曲線的圖表;第43圖為表示實施例22之感度曲線的圖表;第44圖為表示實施例23之感度曲線的圖表;第45圖為表示實施例24之顯影結果的圖;第46圖為表示實施例24之顯影結果的圖;第47圖為表示實施例24之顯影結果的圖;第48圖為表示實施例25之感度曲線的圖表; 第49圖為表示實施例26之顯影結果的圖;第50圖為表示實施例26之顯影結果的圖;第51圖為表示實施例27之感度曲線的圖表;第52圖為表示實施例28之感度曲線的圖表;第53圖為表示實施例29之感度曲線的圖表;第54圖為表示實施例30之顯影結果的圖;第55圖為表示實施例31之顯影結果的圖。
以下、參照圖對本發明的阻劑圖案形成方法、阻劑潛像形成裝置、阻劑圖案形成裝置以及阻劑材料的實施方式進行說明。但是,本發明並不侷限於以下的實施方式。
參照第1圖~第3圖,對本發明實施方式的阻劑圖案形成方法進行說明。第1圖為表示本實施方式之阻劑圖案形成方法的步驟的示意圖。第2圖為表示本實施方式之阻劑圖案形成方法的能量照射量-殘膜率曲線的圖。第3圖為表示本實施方式之阻劑圖案形成方法的能量照射量-時間曲線的圖。本實施方式的阻劑圖案形成方法係藉由步驟S101~步驟S110執行。
首先,如第1圖(a)所示,在阻劑層形成步驟(S101),形成阻劑層12於基板11上。具體而言,先準備基板11(例如晶片),在基板11上塗抹阻劑液並進行預烤來形成阻劑層12。阻劑有曝光部分會溶解於顯影液的正型與曝光部分不會溶解於顯影液的負型,在本實施方式將以正型阻劑為例進行說明。另,對於阻劑的組成,亦可為化學放大型,其含有藉由曝光發生酸的光酸產生劑、藉由酸的作用而改變在顯影液中的溶解性的基材以及抑制酸的擴散的猝滅劑,也可以為不含有光酸產生劑的非化學放大型。
如第2圖所示,在正型化學放大阻劑的情況下,當照射在阻劑的能量超過閾值Ea(以下,記載為潛像形成能量)時,阻劑層12上形成有潛像,並形成有潛像的部分在顯影液中開始溶解。當能量進一步增加至超過閾值Et(以下,記載為必要能量)時,形成有潛像的部分在顯影液中完全溶 解而被除去。
其次,如第1圖(b)所示,在活化步驟(S103),藉由活化能量光束的照射來活化阻劑層12。藉由活化能量光束的照射,阻劑層12內的組成會激發或游離化,生成活性狀態。在阻劑層12中,生成有活性狀態A和活性狀態B兩者。或者,阻劑層12中只生成活性狀態B。活性狀態A係為酸或者酸的前驅物之活性狀態,活性狀態B係為如增感劑等酸之前驅物的活性狀態以外的活性狀態。依照阻劑的種類(正型或負型),此活性狀態A對阻劑的基材會發生極性變換、交聯或分解反應等,以改變顯影液中的溶解性。當顯影時,形成阻劑圖案需要一定量的活性狀態A。活性狀態A例如為陽離子、陰離子或酸,活性狀態B例如為自由基或者分解生成物。
活化步驟,例如在真空或惰性氛圍下進行。活化能量光束,以從上方照射阻劑層12的方式,從活化能量源21射出。在此,活化能量光束照射阻劑層12的整個區域。如第1圖所示,活化能量光束照射阻劑層12內的整個區域。但是,相對於整個阻劑層12內,活化能量光束亦可只照射一部分的區域。活化能量光束例如為可見光、UV(紫外線)、DUV(深紫外線)、EUV、X光線之類的電磁波。另,活化能量光束也可以為電子束或離子束。
如第2圖所示,在活化步驟(S103),活化能量光束的照射量Ef係不超過潛像形成能量Ea的照射量。也就是說,在活化步驟(S103),所生成的活性狀態A比在顯影時形成阻劑圖案所需要的量還少。因此,在執行活化步驟(103S)的階段,顯影液中的阻劑層12不會溶解,而不會形成阻劑圖案。
活化步驟之後,如第1圖(c)所示,在衰減抑制步驟(S105),抑制阻劑層12的活性之衰減。具體而言,不進行預烤而控制環境,抑制在活化步驟(S103)中所活化的阻劑層12內的活性狀態A、B之衰減,直到執行後述的圖案潛像形成步驟(S107)之前。
例如,阻劑層12的周邊環境,係能夠控制活性狀態A、B的衰減的氛圍。能夠控制活性狀態A、B的衰減的氛圍,可以為不含鹼性物質的惰性氣體氛圍或真空氛圍,也可以設有切斷鹼性物質及/或氧氣的塗 膜。在惰性氣體氛圍的情況下,例如使用氮氣、氦氣、氬氣作為惰性氣體,可以在減壓、加壓下使用。在真空的情況下,只要阻劑層12的周邊是真空即可,較佳的是,使阻劑層12的周邊處在1Pa以下的真空。在惰性氣體氛圍或真空氛圍的環境中,會抑制阻劑層12上所生成的活性狀態B之衰減。
此外,阻劑層12的周邊環境,亦可以為能夠促進阻劑層12 的活性之氛圍或液體。使用活性氣體氛圍作為能夠促進活性的氛圍。例如,在使用正型化學放大阻劑的情況下,使用如用於吸收波長位移的反應性氣體作為活性氣體氛圍。作為能夠促進活性的活性液體,例如使用用於吸收波長位移的反應性液體。阻劑層12上所生成的活性狀態B與活性氣體或活性液體反應之後,在後述的圖案潛像形成步驟(S107)轉換成活性狀態α或安定物質α1。活性狀態α或安定物質α1與活性狀態B同樣能夠作為增感劑發揮作用。活性狀態α例如為芳香族化合物自由基、碘化合物自由基,而安定物質α1例如為芳香族化合物、碘化合物。另,在使用活性液體來促進活性的情況下,亦可以執行圖案潛像形成步驟(S107)之前從阻劑層12除去活性液體,亦可以不除去活性液體而執行圖案潛像形成步驟(S107)。
此外,作為環境控制的方法,可以利用控制阻劑層12的溫度 的方法。因阻劑層12的溫度若超過某一閾值溫度時活性狀態會衰減,故藉由將阻劑層12的溫度保持在閾值溫度以下,能夠抑制阻劑層12的活性的衰減。例如,活化步驟(S103)之後,在衰減抑制步驟(S105)進行淬火以使阻劑層12的溫度下降至閾值溫度以下。閾值溫度例如為30℃。另,亦可以在規定的溫度以下進行活化步驟(S103),而在衰減抑制步驟(S105)中將阻劑層12的溫度一直保持在閾值溫度以下。
此外,至圖案潛像形成步驟(S107)執行之間,阻劑層12若被 預想不到的能量光束照射,會造成活性狀態發生變化且活性衰減。因此,在衰減抑制步驟(S105)使阻劑層12位於能量光束照射不到的環境。
另,因活性狀態隨著時間的經過而衰減,故能夠以控制活化 步驟(S103)與後述的圖案潛像形成步驟(S107)之間的所經過時間,來抑制阻劑層12的活性之衰減。從活化步驟到後述的圖案潛像形成步驟之間的時間係60分以內為較佳。此外,溫度、照度或時間的控制,亦可以與阻劑層12 的周邊環境之控制同時進行。
在衰減抑制步驟(S105)之後,如第1圖(d)所示,執行圖案潛像 形成步驟(S107)。圖案潛像形成步驟中,藉由潛像形成能量光束的照射,在被活化的阻劑層12上形成圖案潛像。具體而言,潛像形成能量光束係將活性狀態B以及活性狀態α/安定物質α1轉換成活性狀態A的光束。在阻劑層12藉由潛像形成能量光束照射之後的部位,活性狀態B以及活性狀態α/安定物質α1被轉換成活性狀態B以及活性狀態A或活性狀態A’(其結構不同於活性狀態A的活性狀態)。此外,潛像形成能量光束亦可以為將活性狀態B以及活性狀態α/安定物質α1轉換成活性狀態A、並於阻劑層12上生成活性狀態A或活性狀態A’的光束。此情況下,在阻劑層12藉由潛像形成能量光束照射之後的部位,生成活性狀態A或活性狀態A’,並且活性狀態B以及活性狀態α/安定物質α1被轉換成活性狀態B以及活性狀態A或活性狀態A’。如圖2所示,在圖案潛像形成步驟(S107),潛像形成能量光束的照射量Ep係不超過潛像形成能量光束Ea的照射量,並且,潛像形成能量光束的照射量Ep與活化能量光束的照射量Ef的總和,會超過必要能量Et。換言之,圖案潛像形成步驟(S107)中,從活性狀態B及活性狀態α/安定物質α1的變換所得到的活性狀態A的量,除了在活化步驟(S103)沒有生成活性狀態A的情況之外,雖然比顯影時形成阻劑圖案所需要的量還少,但是在活化步驟(S103)所生成的活性狀態A的量與在圖案潛像形成步驟(S107)所得到的活性狀態A的量之總和,超過顯影時形成阻劑圖案所需要的量。
潛像形成能量光束以從上方照射阻劑層12的方式從潛像形成 能量源22射出。潛像形成能量源22可以與活化能量源21相同,也可以與活化能量源21不同。在此,在有照射活化能量光束的阻劑層12之區域內,對圖案形狀照射潛像形成能量光束。此外,潛像形成能量光束可以依照所要形成的圖案的解析度而選擇,例如可以為UV、DUV、EUV、X線之類的電磁波,也可以為電子束或離子束。圖案潛像形成步驟,例如在真空氛圍,活性氣體氛圍或惰性氛圍下所進行。由此,在阻劑層12,具備第一曝光部位121,該第一曝光部位121僅被活化能量光束所照射;以及具備第二曝光 部分122,該第二曝光部位122被活化能量光束和潛像形成能量光束的兩者所照射(參照第1圖)。
圖案潛像形成步驟之後,如第1圖(e)所示,執行顯影步驟 (S110)。在顯影步驟,顯影阻劑層12。阻劑層12的顯影,例如,進行預烤之後,藉由放入基板11於顯影液槽而執行。本實施方式中,阻劑層12的第一曝光部位121所受到的照射量Ef,係沒有超過潛像形成能量Ea。因在第一曝光部位121所生成的活性狀態A的量比形成阻劑圖案所需要的量還少,所以在顯影液中第一曝光部位121係不會溶解。另一方面,阻劑層12的第二曝光部位122所受到的能量Es(即Ef+Ep)有超過必要能量Et。在第一曝光部位121,由於所生成的活性狀態A與藉由轉換所得到的活性狀態A之總和係超過形成阻劑圖案所需要的量,故藉由顯影液第二曝光部位122會溶解。因此,在基板11上會形成規定的阻劑圖案。
參照第1圖及第2圖對本實施方式的阻劑圖案形成方法進行 說明。本實施方式中,以照射潛像形成能量光束形成圖案潛像之前,藉由活化能量光束的照射於阻劑層12上而生成活性狀態A。因能夠減少在圖案潛像形成步驟所生成的活性狀態A的量,故能夠縮短潛像形成能量光束的照射時間,還有能夠以廉價又低輸出的光源作為潛像形成能量光束的光源來使用。例如,在使用EUV作為潛像形成能量光束,並在阻劑層12上對圖案形狀照射EUV來形成圖案潛像的情況下,根據本實施方式,因能夠縮短EUV的照射時間,故使用低輸出的光源也能得到高輸出率。因此,根據本實施方式,能夠解決權衡取捨關係的問題,並一邊維持圖案解析度一邊提高阻劑層12的感度。此外,會實現提高曝光步驟的輸出率,並可大幅降低曝光系統上的成本。另,因可使用低輸出的光源,故能夠延長光源裝置、曝光裝置內的消耗性元件的壽命、大幅降低維護及營運成本。
本實施方式中,活化步驟與圖案潛像形成步驟之間,執行抑 制阻劑層12的活性之衰減的衰減抑制步驟。在沒有抑制活性衰減的情況下,活性步驟之後,隨著時間的經過能量將逸散,阻劑層12的活性狀態之量會衰減。因此,在圖案潛像形成步驟中,有必要再次對阻劑層供給用於生成所衰減的量的活性狀態之能量。另一方面,本實施方式中,因有抑制 阻劑層12的活性的衰減,故維持了阻劑層12的活性狀態,並在圖案潛像形成步驟中對阻劑層12供給比較少的能量即可。結果,能夠提高阻劑層12的感度,縮短曝光時間,並更提高曝光步驟的輸出率。
另,在本實施方式的活化步驟中,將阻劑層12的整個區域內 照射活化能量光束,在潛像形成步驟中,在阻劑層12內對圖案形狀照射潛像形成能量光束,但本發明並不侷限於此。只要活化能量光束的照射量Ef和潛像形成能量光束的照射量Ep之總和有超過必要能量Et,也可以活化步驟中在阻劑層12內對圖案形狀照射活化能量光束,之後,在潛像形成步驟對阻劑層12的整個區域內照射潛像形成能量光束。在此情況下,活化能量光束可以配合形成圖案的解析度而選擇,例如可以為UV、DUV、EUV、X光線之類的電磁波,也可以為電子束。潛像形成能量光束例如可以為可見光、UV、DUV、EUV之類的電磁波,也可以為電子束或離子束。
第4圖係對本發明其他實施方式中之阻劑圖案形成方法的步 驟進行說明的圖。以下,參照第4圖以及第1圖對本實施方式的阻劑圖案形成方法進行說明。本實施方式中,除了進一步包含搬運步驟(S104)之外,與參照第1圖~第3圖所說明的實施方式具有同樣的步驟,故只圖示有必要說明的部分而進行說明。
搬運步驟在活化步驟與圖案潛像形成步驟之間所執行。搬運 步驟時,從進行活化步驟的位置搬運基板11到進行圖案潛像形成步驟的位置。搬運步驟例如,藉由如進行活化步驟的位置與進行圖案潛像形成步驟的位置之間移動的台之搬運方式所執行。此外,如第4圖所示,搬運步驟可以與衰減抑制步驟同時執行。在此情況下,在搬運途中也能夠抑制阻劑層12的活性衰減,故很合適。
參照第1圖以及第4圖已對本實施方式的阻劑圖案形成方法 進行說明。因本實施方式進一步包含搬運步驟,故在進行活化步驟的位置與進行圖案潛像形成步驟的位置為不同的情況下,能夠將基板11搬運到適當的位置。
第5圖為對本發明又一實施方式之阻劑圖案形成方法的步驟 進行說明的圖。以下,參照第5圖以及第1圖對本實施方式的阻劑圖案形 成方法進行說明。本實施方式的阻劑圖案形成方法包含,阻劑層形成步驟(S101),活化步驟(S103),衰減抑制步驟(S105),圖案潛像形成步驟(S107)以及顯影步驟(S110)。本實施方式中,活化步驟與潛像形成步驟係同時執行。因阻劑層形成步驟和顯影步驟以與參照第1圖~第3圖所說明的實施方式同樣的方式執行,故只圖示有必要說明的部分並進行說明。
本實施方式中,活化能量光束以穿透基板11從下方照射阻劑 層12的方式,由活化能量源21射出。潛像形成能量光束以從上方照射阻劑層12的方式,由潛像形成能量源22射出。使用能夠穿透基板11的光束作為活化能量光束。在基板具有透光性的情況下,活化能量光束可以為如可見光、UV、DUV、EUV、X光線之類的電磁波,其中X光線的穿透力強又容易到達阻劑層12,故很合適。
藉由本實施方式,可以省略從活化步驟結束之後至執行潛像 形成步驟之間的時間。結果,能夠進一步提高曝光步驟的輸出率,並能夠有效地抑制時間經過而產生的阻劑層12的活性衰減。另,在同時執行活化步驟與潛像形成步驟的情況下,因活化能量光束到達阻劑層12之後潛像形成能量光束才會到達,故能夠以確實抑制阻劑層12的活性衰減的方式,可將活化步驟以及潛像形成步驟同時與衰減抑制步驟執行。
另,在第5圖中,活化能量光束從下方照射阻劑層12,潛像 形成能量光束從上方照射阻劑層12,但本發明並不侷限於此。也可以為活化能量光束從上方照射阻劑層12,而潛像形成能量光束從下方照射阻劑層12。此外,活化能量光束或潛像形成能量光束均可以斜斜地照射阻劑層12。
第6圖為對本發明又一實施方式之阻劑圖案形成方法的步驟 進行說明之圖。以下,參照第6圖、第1圖以及第2圖對本實施方式的阻劑圖案形成方法進行說明。本實施方式的阻劑圖案形成方法包含,阻劑層形成步驟(S101)、活化步驟(S103)、衰減抑制步驟(S105)、圖案潛像形成步驟(S107)、以及顯影步驟(S110)。除了活化步驟係藉由2個照射步驟所執行和圖案潛像形成步驟藉由1個照射步驟所執行以外,其他步驟同樣以參照第1圖~第3圖所說明的實施方式執行,故只圖示有必要說明的部分並進行說明。
本實施方式中,如第6圖(a)及第6圖(b)所示,活化步驟包含 圖案形狀照射步驟(S103a)與區域照射步驟(S103b)。如第6圖(a)所示,在圖案潛像形成步驟,在阻劑層12內對圖案形狀照射活化能量光束。如第6圖(b)所示,在區域照射步驟,對阻劑層12的整個區域內照射活化能量光束。 圖案形狀照射步驟與區域照射步驟的活化能量光束之總照射量Ef,係不超過潛像形成能量光束Ea的照射量。因此,執行活化步驟之後,阻劑層12具有只照射一次活化能量光束的第一曝光部位123、以及照射2次活化能量光束的第二曝光部位124。
活化步驟結束,且如第6圖(c)所示執行衰減抑制步驟之後, 執行圖案潛像形成步驟。如第6圖(d)所示,圖案潛像形成步驟包含圖案形狀照射步驟(S107a)。在圖案形狀照射步驟,在阻劑層12內對圖案形狀照射潛像形成能量光束。具體而言,對阻劑層12的第二曝光部位124照射潛像形成能量光束。潛像形成能量光束的照射量,係為照射之後的第二曝光部位124的活化能量光束的照射量Ef與潛像形成能量光束的照射量Ep的總和超過必要能量Et之量。
本實施方式中,阻劑層12的第一曝光部位123所受到的照射 量Ef,因沒有超過潛像形成能量Ea,故在顯影步驟中第一曝光部位123不會溶解。另一方面,因為阻劑層12的第二曝光部位124所受到的能量Es超過必要能量Et,故在顯影步驟中第二曝光部位124會溶解。由此,基板11上會形成規定的阻劑圖案。
另外,在第6圖中活化步驟藉由2個照射步驟所執行,而圖 案潛像形成步驟藉由1個照射步驟所執行,但本發明並不侷限於此。活化步驟也可以藉由1個照射步驟所執行,而圖案潛像形成步驟也可以藉由2個照射步驟所執行,也可以活化步驟以及圖案潛像形成步驟均藉由2個以上的照射步驟所執行。例如,活化步驟也可以包含區域照射步驟,而圖案潛像形成步驟也可以包含區域照射步驟與圖案形狀照射步驟。
另,在活化步驟或圖案潛像形成步驟藉由2個照射步驟所執 行的情況下,2個照射步驟可以為同樣的步驟(區域照射步驟與圖案潛像形成步驟的任一者),也可以為不同的步驟。在執行不同的照射步驟的情況下, 也可以區域照射步驟與圖案潛像形成步驟的任一者優先執行。
進一步,第6圖中,活化步驟與圖案潛像形成步驟均有包含 圖案形狀照射步驟,但本發明並不侷限於此。只要能夠在阻劑層12上形成圖案潛像,可以為活化步驟與圖案潛像形成步驟中之其中之一包含圖案形狀照射步驟。
此外,雖然沒有圖示,本發明的阻劑圖案形成方法在曝光步 驟進一步可以包含一般常執行的處理步驟。例如可以進一步包含圖案潛像形成步驟之後所執行的熱處理(PEB。例如脈衝熱處理)步驟,或者將阻劑層反轉在正型與負型之間的變質處理步驟。
以下,參照第7圖~第10圖用具體例子對本實施方式的阻劑 圖案形成方法進行說明。第7圖為對本發明的阻劑圖案形成方法的具體例子1進行說明的圖;第8圖為對本發明的阻劑圖案形成方法的具體例子2進行說明的圖;第9圖為對本發明的阻劑圖案形成方法的具體例子3進行說明的圖;第10圖為對本發明的阻劑圖案形成方法的具體例子4進行說明的圖。另,在以下的具體例子中,使用正型化學增幅阻劑作為阻劑層12。
[具體例子1]
如第7圖(a)所示,執行活化步驟。在活化步驟,對圖案形狀照射活化能量光束。執行活化步驟時,藉由活化能量光束所照射的圖案形狀部分有生成活性狀態A與活性狀態B的兩者,或者只有活性狀態B。此時,因圖案形狀的照射量為較低,故執行顯影步驟也不會於阻劑層12上形成阻劑圖案。
其次,如第7圖(b)所示,執行衰減抑制步驟。在衰減抑制步 驟,使阻劑層12位在惰性氣體氛圍或真空氛圍的環境下。阻劑層12內的活性狀態A及活性狀態B的衰減因此而被抑制。
圖案潛像形成步驟係與衰減抑制步驟同時執行。在圖案潛像 形成步驟,對整個區域照射潛像形成能量光束。如第7圖(b)所示,要適當地選擇在未照射的阻劑層12不會產生阻劑反應,並只活化活性狀態B的能量光束作為潛像形成能量光束。藉由潛像形成能量光束的照射,生成活性狀態B以及/或活性狀態A或活性狀態A’(其構造不同於活性狀態A的酸或 者酸的前驅物)。
因此,在圖案潛像形成步驟,即使對整個區域照射潛像形成 能量光束,也只有在最初照射圖案形狀的部分生成有酸前驅物,並且,活性狀態B只有在最初照射圖案形狀的部分藉由區域照射而再生。故大量的酸只生成於最初照射圖案形狀的部分,鹼性的猝滅劑與酸中和之後也只有在最初照射圖案形狀的部分會生成酸的潛像。
之後,執行加熱步驟、顯影步驟,且如第7圖(c)所示形成阻 劑圖案。
[具體實例2]
如第8圖(a)所示,執行活化步驟。在活化步驟,使活化能量光束照射圖案形狀。當執行活化步驟時,藉由活化能量光束照射圖案形狀的部分,有生成活性狀態A及活性狀態B的兩者,或者只有活性狀態B。此時,因圖案形狀的照射量為比較低,故執行顯影步驟也不會在阻劑層12上形成阻劑圖案。
其次,如第8圖(b)所示,執行衰減抑制步驟。在衰減抑制步 驟,將阻劑層12位在活性氣體氛圍或活性液體的環境下,並使活性狀態B產生反應。活性狀態B在後續的圖案潛像形成步驟轉換成反應效率高的活性狀態α/安定物質α1。
其次,如第8圖(c)所示,在活性氛圍或者活性液體的環境下 執行圖案潛像形成步驟。在圖案潛像形成步驟,對整個區域照射潛像形成能量光束。作為潛像形成能量光束,要適當地選擇在未照射的阻劑層12不起阻劑反應,並只對活性狀態α/安定物質α1進行活化的能量光束。藉由潛像形成能量光束的照射,生成活性狀態B以及/或者活性狀態A或者活性狀態A’。活性狀態B與活性氛圍或者活性液體反應,而再轉換成活性狀態α/安定物質α1。
因此,在圖案潛像形成步驟,即使對整個區域照射潛像形成 能量光束,也只有在最初照射圖案形狀的部分生成有酸前驅物,並且,活性狀態α/安定物質α1只有在最初照射圖案形狀的部分藉由區域照射而再生。因此,大量的酸只有生成於第一次照射圖案形狀的部分,猝滅劑和酸 的中和後也只有在最初照射圖案形狀的部分生成酸的潛像。
之後,執行加熱步驟、顯影步驟而如第8圖(d)所示將形成阻劑圖案。
〔具體實例3〕
如第9圖(a)所示,執行第一次的活化步驟。在活化步驟,對整個區域照射活化能量光束。
如第9圖(b)所示,執行第二次的活化步驟。在第二次的活化步驟,照射活化能量光束在圖案形狀上。藉由活化能量光束照射圖案形狀的部分,會生成活性狀態A及活性狀態B的兩者,或者只有活性狀態B。此時,因圖案形狀的照射量為比較低,故執行顯影步驟也不會形成阻劑圖案於阻劑層12上。此外,在執行第二次活化步驟之前執行第一次活化步驟,則第二次活化步驟會有效生成活性狀態A與活性狀態B。
其次,如第9圖(c)所示,執行衰減抑制步驟。在衰減抑制步驟,將阻劑層12位在惰性氣體氛圍或者真空氛圍的環境下。阻劑層12內的活性狀態A及活性狀態B的衰減被抑制。
圖案潛像形成步驟與衰減抑制步驟同時執行。在潛像形成步驟,對整個區域照射潛像形成能量光束。作為潛像形成能量光束,要適當地選擇在未照射的阻劑層12不會產生阻劑反應,並只對活性狀態B進行活化的能量光束。藉由潛像形成能量光束的照射,生成有活性狀態B以及活性狀態A或者活性狀態A’(其構造與活性狀態A不同的酸的前驅物)。
因此,在圖案潛像形成步驟,即使對整個區域照射潛像形成能量光束,也只有在最初照射圖案形狀的部分生成有酸前驅物,並且,活性狀態B只有在最初照射圖案形狀的部分藉由區域照射而再生。因此,大量的酸只生成於最初照射圖案形狀的部分,猝滅劑和酸的中和後也只有在最初照射圖案形狀的部分會生成酸的潛像。
之後,執行加熱步驟、顯影步驟,如第9圖(d)所示將形成阻劑圖案。
[具體實例4]
如第10圖(a)所示,執行第一次活化步驟。在活化步驟,對整個區域照 射活化能量光束。
如第10圖(b)所示,執行第二次活化步驟。在第二次活化步驟, 對圖案形狀照射活化能量光束。藉由活化能量光束照射圖案形狀的部分,生成有活性狀態A及活性狀態B的兩者,或者只有活性狀態B。此時,因圖案形狀的照射量為比較低,故執行顯影步驟也不會形成阻劑圖案於阻劑層12上。此外,在執行第二次活化步驟之前執行第一次活化步驟,則第二次活化步驟會有效生成活性狀態A與活性狀態B。
其次,如第10圖(c)所示,執行衰減抑制步驟。在衰減抑制步 驟,將阻劑層12位在活性氣體氛圍或活性液體的環境下,並使活性狀態B產生反應。活性狀態B在後續的圖案潛像形成步驟轉換成反應效率高的活性狀態α/安定物質α1。
其次,如第10圖(d)所示,在活性氛圍或者活性液體的環境下 執行圖案潛像形成步驟。在潛像形成步驟,對整個區域照射潛像形成能量光束。作為潛像形成能量光束,要適當地選擇在未照射的阻劑層12不會產生阻劑反應,並只對活性狀態α/安定物質α1進行活化的能量光束。藉由潛像形成能量光束的照射,將生成活性狀態B以及/或者活性狀態A或者活性狀態A’。活性狀態B與活性氛圍或者活性液體產生反應,而再轉換成活性狀態α/安定物質α1。
因此,在圖案潛像形成步驟,即使對整個區域照射潛像形成 能量光束,也只有在最初照射圖案形狀的部分生成有酸前驅物,並且,活性狀態α/安定物質α1只有在最初照射圖案形狀的部分藉由區域照射而再生。因此,大量的酸只有生成於第一次照射圖案形狀的部分,猝滅劑和酸的中和後也只有在最初照射圖案形狀的部分生成酸的潛像。
之後,執行加熱步驟、顯影步驟,如第10圖所示將形成阻劑 圖案。
如具體實例1~具體實例4的說明,藉由本發明的阻劑圖案形 成方法,即使通過比一般更低強度輻射照射圖案形狀,不管化學增幅阻劑或非化學增幅阻劑,或者,正型阻劑或負型阻劑,藉由選擇適當的阻劑設計、適當的能量光束源就能形成高解析度的阻劑圖案。
此外,所述具體實例中,在圖案潛像形成步驟,雖然選擇與 未照射的阻劑層12不會產生反應的能量光束作為潛像形成能量光束,但本發明並不侷限於此。在圖案潛像形成步驟,潛像形成能量光束也可以選擇與未照射的阻劑層12會產生負型反應的能量光束。
在參照第1圖~第10圖所說明的實施方式的阻劑圖案形成方法中,雖然包含衰減抑制步驟以抑制活性的衰減,但本發明並不侷限於此。以下,對本發明的其他實施方式的阻劑圖案形成方法進行說明。本實施方式的阻劑圖案形成方法包含阻劑層形成步驟、活化步驟、圖案潛像形成步驟與顯影步驟。關於阻劑層形成步驟、活化步驟與顯影步驟,參照第1圖~第10圖因與所述的實施方式的阻劑層形成步驟(S101)、活化步驟(S105)以及顯影步驟(S110)同樣地進行,故省略其說明。
在圖案潛像形成步驟,阻劑層在活化的狀態下,藉由潛像形成能量光束的照射,在阻劑層形成圖案潛像。具體而言,阻劑層內存在活性狀態B的狀態下,在阻劑層形成圖案潛像。圖案潛像形成步驟係有存在很多活性狀態B的狀態下進行為較佳。若阻劑層在活化的狀態下形成圖案潛像,則能夠藉由照射從活性狀態B生成活性狀態A。另,本實施方式的阻劑圖案形成方法,也可以進一步具備抑制阻劑層的活性衰減的衰減抑制步驟。衰減抑制步驟,參照第1圖~第10圖因與所說明的實施方式的衰減抑制步驟(S105)同樣地進行,故在此省略其說明。
參照第1圖~第10圖所說明的實施方式的阻劑圖案形成方法,在阻劑層12生成活性狀態B,並且從活性狀態B直接生成活性狀態A,或者活性狀態B轉換成活性狀態α/安定物質α1之後利用活性狀態α/安定物質α1生成活性狀態A,但本發明並不侷限於此。也可以在阻劑層生成安定物質B1,同時從安定物質B1直接生成活性狀態A,或者安定物質B1轉換成活性狀態α/安定物質α1之後利用活性狀態α/安定物質α1生成活性狀態A。以下,對本發明的其他實施方式的阻劑圖案形成方法進行說明。
本實施方式的阻劑圖案形成方法,包含阻劑層形成步驟、安定物質形成步驟、轉換步驟、圖案潛像形成步驟以及顯影步驟。關於阻劑層形成步驟以及顯影步驟,參照第1圖~第10圖因與所說明的實施方式的 阻劑層形成步驟(S101)及顯影步驟(S110)同樣地進行,故省略其說明。
在安定物質生成步驟,藉由活化能量光束的照射,在阻劑層生成安定物質。具體而言,藉由活化能量光束的照射,在阻劑層12生成活性狀態A及安定物質B1的兩者。或者,在阻劑層12只生成安定物質B1。此外,安定物質B1例如為芳香族碘化合物、芳香族硫黃化合物。
在轉換步驟,轉換在阻劑層12內的安定物質B1。具體而言,直到後述的圖案潛像形成步驟(S107)開始執行之前,藉由環境的控制,以安定物質生成步驟所生成的阻劑層12內的安定物質B1轉換成活性狀態α/安定物質α1。轉換的方法如在上述的實施方式已說明,可以使用活性氣體氛圍或者活性液體。
在圖案潛像形成步驟,藉由潛像形成能量光束的照射,形成圖案潛像在生成有安定物質B1的阻劑層。具體而言,潛像形成能量光束係為安定物質B1及活性狀態α/安定物質α1轉換成活性狀態A的光束。在藉由潛像形成能量光束所照射的阻劑層12的部位,安定物質B1以及活性狀態α/安定物質α1轉換成安定物質B1以及活性狀態A或者活性狀態A’。
以下,參照第11圖對本發明的阻劑潛像形成裝置200的實施方式進行說明。阻劑潛像形成裝置200包含活化裝置210及圖案潛像形成部220。活化裝置210活化形成在基板11上的阻劑層12之後,圖案潛像形成部220形成圖案潛像於阻劑層12。另,阻劑層12也可以直接形成在基板11上,或者也可以經由別的層形成在基板11上。
活化裝置210具備活化腔室212及活化能量源214。活化腔室212可收容形成於基板11上的阻劑層12。活化腔室212的內部係惰性氣體氛圍、活性氣體氛圍或者真空氛圍為較佳。活性氣體氛圍例如,包含有分壓被控制氫氣。活化腔室212能夠將收容的基板11的溫度控制在-10℃至100℃的範圍為較佳。
活化能量源214係射出用以活化腔室212內的阻劑層12的活化能量光束。從活化能量源214所照射的活化能量光束,如可見光、UV、DUV、EUV之類的電磁波。或者,活化能量光束可以為電子束或離子束。例如,活化能量源214包含離子束照射部、電子束照射部或電磁波照射部。
在此,活化裝置210活化形成於基板11上的阻劑層12之後, 基板11從活化裝置210被搬運到圖案潛像形成部220。基板11從活化裝置210被搬運到圖案潛像形成部220之間,阻劑潛像形成裝置200的內部係惰性氣體氛圍、活性氣體氛圍或真空氛圍為較佳。因此,能夠抑制活化裝置210對阻劑層12的活性之衰減。潛像形成腔室222能夠將收容的基板11的溫度控制在-10℃至100℃的範圍為較佳。
圖案潛像形成部220具備潛像形成腔室222及潛像形成能量 源224。潛像形成腔室222可收容形成於基板11上的阻劑層12。潛像形成腔室222的內部係惰性氣體氛圍、活性氣體氛圍或真空氛圍為較佳。
潛像形成能量源224將射出潛像形成能量光束,該潛像形成 能量光束用以形成圖案潛像於潛像形成腔室222內的阻劑層12。例如,潛像形成能量光束如可見光、DV、DUV、EUV之類的電磁波。第11圖中,潛像形成能量光束藉由鏡子反射,導入於潛像形成腔室222內。但是,潛像形成能量光束可以為離子束或電子束。例如,潛像形成能量源224包含離子束照射部、電子束照射部或電磁波照射部。
此外,潛像形成能量源224可以與活化能量源214為同一種 類,也可以為不同種類。在使用EUV作為活化能量光束及/或潛像形成能量光束的情況下,EUV的波長在於1nm以上13.5nm以下為較佳,較佳的是,在於6nm以上13.5nm以下。或者,在以電子束作為活化能量光束及/或潛像形成能量光束的情況下,電子束的加速能量在於10keV以上300keV以下為較佳,較佳的是,在於40keV以上130keV以下。
當圖案潛像形成於阻劑層12之後,阻劑層12可以藉由沒有 圖示的顯影裝置進行顯影。藉由顯影,會出現所定圖案的阻劑層12。
如上所述,從活化能量源214及潛像形成能量源224中之其 中之一所射出的能量光束將照射阻劑層12內的整個區域。再者,活化能量源214及潛像形成能量源224中的另一個所射出的能量光束,在阻劑層12內的區域被照射圖案形狀上。即,活化能量源214係能量光束照射圖案形狀的圖案照射源與對規定的整個區域照射能量光束的區域照射源中之其中之一,而潛像形成能量源224係圖案照射源及區域照射源中的另一個。
例如,也可以係活化能量源214在阻劑層12內的整個區域照 射能量光束並進行活化阻劑層12之後,潛像形成能量源224在上述區域內照射能量光束在圖案形狀上,而形成規定圖案的潛像於阻劑層12上。或者,也可以係活化能量源214在阻劑層12的區域內照射能量光束在圖案形狀上並進行活化阻劑層12之後,潛像形成能量源224在整個上述區域照射能量光束,而形成規定圖案的潛像於阻劑層12上。
此外,在活化能量源214係為對整個規定區域照射能量光束 的區域照射源的情況下,活化裝置210可以進一步具有使能量光束作成區域形狀的機構。例如,活化裝置210具有投影透鏡系列及遮罩。另,活化裝置210也可以不具有投影透鏡系列而只具有遮罩。只具有遮罩的情況下,活化裝置210的結構會簡化而變得合適。另,在潛像形成能量源224係為對整個規定區域照射能量光束的區域能量源的情況下,圖案潛像形成部220也同樣可以具有投影透鏡系列及遮罩,也可以只具有遮罩。
阻劑潛像形成裝置200,例如,除具備活化能量源214的活化 裝置210,以及具備潛像形成能量源224的圖案潛像形成部220外,再具備塗佈器/顯影器為較佳。
具備有塗佈器/顯影器的阻劑潛像形成裝置200,對阻劑層12 的圖案形成以如下方式進行。首先,塗佈器/顯影器以旋塗法在基板11上形成底層,並燒烤底層。
其次,塗佈器/顯影器在底層上塗佈阻劑層12,並預烤阻劑層 12。另,也可以配合需要,用旋塗法進一步在阻劑層12形成其他的層,並燒烤該層。
其次,活化裝置210的活化能量源214對阻劑層12照射能量 光束。由此阻劑層12將活化。
其次,圖案潛像形成部220的潛像形成能量源224對阻劑層 12照射能量光束。由此將圖案潛像形成於阻劑層12。
其次,塗佈器/顯影器進行後燒烤。之後,塗佈器/顯影器顯影 阻劑層12。由此,將形成規定的圖案形狀之阻劑層12。再者,塗佈器/顯影器以純水清洗阻劑層12,並進行後燒烤(乾燥)。如上所述,能夠形成圖案 於阻劑層12。
此外,基板11在塗佈器/顯影器、活化阻劑層12處與在阻劑層12形成圖案潛像處之間被搬運的情況下,在規定的惰性氣體氛圍、活性氣體氛圍或真空氛圍下進行搬運為較佳。作為搬運部件,適合使用具有溫度調節機能的台。
另外,塗佈器/顯影器可以配置在活化裝置210的活化腔室212內,或者,圖案潛像形成部220的潛像形成腔室222內。進一步,塗佈器/顯影器也可以配置在活化裝置210及圖案潛像形成部220共同的腔室內。
參照第11圖所述的說明中,將來自活化能量源214所射出的能量光束照射活化腔室212,將來自與活化能量源214不同的潛像形成能量源224所射出的能量光束照射潛像形成腔室222,但本發明並不侷限於此。如參照第12圖的說明,可以將來自同一個能量源的能量光束照射活化腔室212及潛像形成腔室222。
以下,參照第12圖對本發明的阻劑潛像形成裝置200的實施方式進行說明。第12圖所示的阻劑潛像形成裝置200中,能量源234係為活化裝置210及圖案潛像形成部220兩者的能量源。本實施方式的阻劑潛像形成裝置200,除了活化裝置210及圖案潛像形成部220藉由同一個能量源234所射出的能量光束進行阻劑層12的活化及圖案潛像形成以外,與參照第11圖所述的阻劑潛像形成裝置200具有同樣的結構,為了避免冗長,省略重複的記載。
在形成於基板11上的阻劑層12收容在活化腔室212內的情況下,來自能量源234的能量光束將照射活化腔室212內的阻劑層12。其次,形成於基板11上的阻劑層12從活化腔室212搬運到潛像形成腔室222。在阻劑層12收容在潛像形成腔室222內的情況下,來自能量源234的能量光束照射在潛像形成腔室222內的阻劑層12。來自能量源234所射出的能量光束之路徑,能量光束的行進方向可以按照阻劑層12的位置而轉換。能量光束的行進方向的轉換,藉由開關手段(例如切換鏡)來實現。
此外,因利用同一個能量源234進行阻劑層12的活化及潛像形成,對阻劑層12照射圖案形狀的電磁波光束的情況下,利用遮罩為較佳。 另,在能量源234的強度為比較高的情況下,也可以對活化腔室212及潛像形成腔室222內的不同基板11上的阻劑層12,同時進行阻劑層12的活化及潛像形成。
此外,參照第11圖以及第12圖所述的說明中,雖然形成於 基板11上的阻劑層12被活化之後,基板11暫時從活化腔室212提取,並搬運到潛像形成腔室222,但本發明並不侷限於此。如參照第13圖的說明,基板11也可以通過聯絡活化腔室212與潛像形成腔室222的聯絡路徑,從活化腔室212搬運到潛像形成腔室222。
以下,參照第13圖,對本發明的阻劑潛像形成裝置200的實 施方式進行說明。第13圖所示的阻劑潛像形成裝置200中,除了活化腔室212藉由聯絡路徑231與潛像形成腔室222聯絡之點以外,與參照第11圖所述的阻劑潛像形成裝置200具有同樣的結構,為了避免冗長,省略重複的記載。
活化裝置210對形成於基板11上的阻劑層12照射能量光束 並活化之後,基板11從活化腔室212藉由聯絡路徑231搬運到潛像形成腔室222。基板11搬運到潛像形成腔室222之後,阻劑潛像形成裝置200對阻劑層12照射能量光束形成圖案潛像。由於藉由聯絡路徑231聯絡活化腔室212及潛像形成腔室222,能夠容易使活化腔室212、聯絡路徑231以及潛像形成腔室222的氛圍均勻,並能夠適宜地抑制阻劑層12的活性衰減。 另,聯絡路徑231也可以利用於差動排氣系統的一部分,或者,也可以連接於負載鎖定腔室。
如參照第11圖~第13圖所述說明中,雖然活化裝置210以及 圖案潛像形成部220分別具備活化腔室212和潛像形成腔室222,但本發明並不侷限於此。如參照第14圖的說明,活化裝置210及圖案潛像形成部220的腔室可以為相同。
以下,參照第14圖對本發明的阻劑潛像形成裝置200的實施 方式進行說明。如第14圖所示之本實施方式的阻劑潛像形成裝置200,除了阻劑層12的活化及圖案潛像形成在同一個腔室232內所進行以外,與參照第11圖所述的阻劑潛像形成裝置200具有同樣的結構,故避免冗長省略 重複的記載。
活化裝置210對形成於基板11上的阻劑層12照射能量光束 並活化之後,基板11被搬運到腔室232內。基板11被搬運之後,阻劑潛像形成裝置200對阻劑層12照射能量光束並形成圖案潛像。因使阻劑層12活化的活化腔室與在阻劑層12上形成潛像的潛像形成腔室形成於一個腔室232,故能夠使腔室232內的氛圍大致均勻,並且不需要分別控制腔室內的氛圍就能夠適當地抑制阻劑層12的活性衰減。
另,參照第11圖~第14圖所述的說明中,雖然阻劑層12的 活化則與到阻劑層12的圖案潛像形成於不同處所進行,但本發明並不侷限於此。到阻劑層12的圖案潛像形成也可以與阻劑層12的活化於同一個地方進行。另,也可以對阻劑層12同時照射活化能量光束以及潛像形成能量光束,並且對阻劑層12的圖案潛像形成與阻劑層12的活化也可以幾乎同時進行。
此外,如上所述,也可以將活化能量光束照射圖案形狀上, 並對整個區域照射潛像形成能量光束。以下,對在將活化能量光束照射圖案形狀上,並對整個區域照射潛像形成能量光束的情況下,適合利用的阻劑材料進行說明。
本實施方式的阻劑材料,係包含具有基材樹脂以及增感劑前 驅物的阻劑組成物。本實施方式的阻劑材料中,阻劑組成物藉由第一能量光束(活化能量光束)的照射生成增感劑,且即使照射促進藉由此增感劑的阻劑反應的第二能量光束(潛像形成能量光束)酸也並不會生成增感劑。較佳的是,本實施方式的阻劑材料(基材樹脂及增感劑前驅物)對第二能量光束(潛像形成能量光束)呈為透明。因此,對增感劑前驅物照射第一能量光束(活化能量光束)之後,將生成以不同的波長顯示其強大吸收的增感劑。
本實施方式的阻劑材料中,對藉由第一能量光束的照射而由增感劑前驅物所生成的增感劑照射第二能量光束時,則增感劑會吸收潛像形成能量光束並促進阻劑反應。另外,沒有被第一能量光束被照射的阻劑材料即使照射第二能量光束,也不會生成酸、也不會生成增感劑。
對本實施方式的阻劑材料將活化能量光束照射圖案形狀上 時,在圖案形狀上會生成增感劑。之後,潛像形成能量光束照射阻劑組成物的所規定的區域時,由增感劑而導致阻劑反應的進行。因此,能夠簡便形成規定的阻劑圖案。
基材樹脂含有甲基丙烯酸甲酯樹脂(MMA樹脂)為較佳。第一 能量光束以及第二能量光束的至少一者的照射所導致的化學反應中,與中間體、自由基以及離子(陽離子或陰離子)的至少一者有關,係因MMA樹脂難以使中間體、自由基以及離子消失。但是,基材樹脂也可以含有聚羥基苯乙烯樹脂(PHS樹脂)。或者,基材樹脂也可以為MMA樹脂及PHS樹脂的混合型。另外,基材樹脂除了高分子化合物之外,也可以含有低分子化合物。
另,基材樹脂也可以藉由第一能量光束及第二能量光束的至 少其中之一而分解,並生成中間體、自由基以及離子。特別是,在使用電子束或EUV光束作為第一能量光束或第二能量光束的情況下,基材樹脂比較容易被分解。
增感劑前驅物為,例如,含有雙(4-甲氧苯基)甲醇 (DOMeBzH)、二甲氧基二苯甲醇衍生物(DOBzMM)以及三甲氧二苯甲醇(TriOMeBzH)中的至少一者。
增感劑前驅物也可以混合於基材樹脂。例如,增感劑前驅物 與基材樹脂的比例在後述的實施例中有記載。或者,增感劑前驅物也可以結合在基材樹脂內。例如,增感劑前驅物係結合於基材樹脂。
對阻劑材料照射活化能量光束之後,由增感劑前驅物生成增 感劑。例如,活化能量光束為電子束或EUV光束。或者,活化能量光束也可以為ArF雷射光束。
對增感劑照射潛像形成能量光束之後,在阻劑材料形成潛像。 如上所述,潛像形成能量光束的照射也可以在大氣中進行,或者,也可以在真空中進行。例如,潛像形成能量光束為UV光束。
阻劑組成物係不會吸收潛像形成能量光束。具有代表性的是, 使用波長比活化能量光束長的能量光束作為潛像形成能量光束。但是,本發明並不侷限於此,也可以使用波長比活化能量光束短的能量光束作為潛 像形成能量光束。
阻劑組成物含有光酸產生劑(Photo Acid Generator:PAG)為較 佳。光酸產生劑吸收第一能量光束,而不吸收第二能量光束。在阻劑材料為化學增幅型的情況下,阻劑組成物具有基材樹脂以及增感劑前驅物更加上光酸產生劑。另,也可以將同一個化合物以增感劑前驅物及光酸產生劑的兩者發揮作用。
此外,阻劑組成物也可以含有猝滅劑。例如,猝滅劑也可以 為與酸中和的東西。另,猝滅劑也可以為使作為增感劑前驅物的反應中間體失活的物質。
阻劑材料也可以為化學增幅系列,也可以為非化學增幅系列。 在阻劑材料為化學增幅系列的情況下,增感劑將吸收潛像形成能量光束而發生酸及增感劑,由此,進行阻劑反應。例如,藉由潛像形成能量光束的照射,會生成增感劑的激發狀態。藉由增感劑的激發狀態的電子移動,光酸產生劑引起游離電子加成反應而分解,並重新生成酸與激發前的增感劑。在增感劑存在的區域持續曝光潛像形成能量光束時,酸與增感劑持續被生成直到光酸產生劑幾乎消失為止。
在此,參照第15圖對一般化學增幅型的阻劑材料中的酸及猝 滅劑的濃度變化進行說明。第15圖表示一般阻劑材料的酸及猝滅劑的濃度變化。此阻劑材料具有光酸產生劑及猝滅劑,在照射紫外光之前,各區域的光發生劑及猝滅劑的濃度為大致一定。
對阻劑材料以強度比較高的紫外光來照射規定的圖案時,酸 會發生如濃度分佈A1所示。之後,酸與猝滅劑中和,並阻劑材料內的酸的濃度分佈為從濃度分佈A1變成濃度分佈A2,而阻劑材料內的猝滅劑的濃度分佈為從濃度分佈Q1變成濃度分佈Q2。
參照第16圖,對本發明阻劑材料的實施方式的酸、增感劑、 猝滅劑的濃度變化進行說明。第16圖(a)表示剛照射活化能量光束之後的濃度,第16圖(b)表示藉由活化能量光束的照射所生成的酸與猝滅劑中和之後的濃度,第16圖(c)表示照射潛像形成能量光束之後的濃度。
如第16圖(a)所示,剛照射活化能量光束之後,活化能量光束 所照射的區域會生成酸及增感劑。
之後,如第16圖(a)所示,所生成的酸與猝滅劑中和,而被活 化能量光束照射的區域中幾乎沒有生成酸。此外,被活化能量光束照射的區域的猝滅劑與未照射區域的猝滅劑相比有減少。另,在此,增感劑不會與猝滅劑產生反應。
如第16圖(c)所示,照射潛像形成能量光束時,增感劑變成激 發狀態,藉由增感劑的激發狀態的電子移動,光酸產生劑引起游離電子加成反應而分解,並重新生成酸與激發前的增感劑。如上所述,增感劑不會與猝滅劑產生反應。如上,能夠生成酸一直到光酸產生劑消失。因藉由照射潛像形成能量光束的大量的酸生成機制沒有伴隨著熱擴散反應,與先前有伴隨著熱擴散的酸增生反應不同,故能夠在不產生解析度的劣化的情況下實現高感度化。
此外,參照第16圖所述的說明中,阻劑材料含有適當的分量 之猝滅劑,但本發明並不侷限於此。阻劑材料也可以含有高濃度的猝滅劑。
第17圖表示本發明阻劑材料的實施方式的酸、增感劑、猝滅 劑的濃度變化。本實施方式的阻劑材料含有高濃度的猝滅劑。第17圖(a)表示剛活化能量光束照射之後的濃度,第17圖(b)表示藉由活化能量光束照射所生成的酸與猝滅劑中和之後的濃度,第17圖(c)表示潛像形成能量光束照射之後的濃度。
如第17圖(a)所示,活化能量光束剛照射之後,活化能量光束 所照射的區域將生成酸及增感劑。
之後,如第17圖(b)所示,所生成的酸與猝滅劑中和,而活化 能量光束所照射的區域中幾乎沒有生成酸。另,活化能量光束所照射的區域的猝滅劑與未照射區域的猝滅劑相比有減少。
如第17圖(c)所示,照射潛像形成能量光束時,增感劑變成激 發狀態,藉由增感劑的激發狀態的電子移動,光酸產生劑引起游離電子加成反應而分解,並重新生成酸與激發前的增感劑。因此,能夠生成酸一直到光酸產生劑的消失。如上所述,增感劑不會與猝滅劑產生反應。第17圖(c)的濃度分佈A0表示在假定不使用猝滅劑的情況下的酸的濃度分佈,而濃 度分佈AX表示酸與猝滅劑中和之後的濃度。因第17圖有存在高濃度的猝滅劑,故酸與猝滅劑中和之後酸分佈變得狹窄。另,藉由調整猝滅劑濃度,能夠在酸分佈的傾斜較大之處調整溶解的閾值,故能夠實現阻劑圖案的高解析度化與低LER化。
此外,如上所述的說明中,猝滅劑係為與酸中和,並使酸失 活的物質,但本發明並不侷限於此。猝滅劑也可以為使增感劑或增感劑的前驅物失活的物質。或者,阻劑組成物也可以含有與酸中和的物質以及使增感劑或增感劑的前驅物失活的兩者作為猝滅劑。
參照第18圖,對本發明阻劑材料的實施方式的酸、增感劑、 猝滅劑的濃度變化進行說明。在此,阻劑材料含有與酸中和的猝滅劑,以及使增感劑或增感劑的前驅物失活的猝滅劑。第18圖(a)表示活化能量光束剛照射之後的濃度,第18圖(b)表示藉由活化能量光束的照射所生成的酸與猝滅劑中和之後的酸濃度以及增感劑或增感劑與增感劑的前驅物的猝滅劑反應之後的增感劑之濃度分佈,第18圖(c)表示潛像形成能量光束照射之後的濃度。
如第18圖(a)所示,活化能量光束剛照射之後,活化能量光束 所照射的區域會生成酸及增感劑。在此,濃度分佈Q1為表示與酸中和的猝滅劑之濃度分佈,濃度分佈Q2為表示使增感劑失活的猝滅劑之濃度分佈。
之後,如第18圖(b)所示,酸藉由猝滅劑中和,且活化能量光 束所照射的區域中幾乎沒有生成的酸。此情況下,如濃度分佈Q1所示,活化能量光束所照射的區域的猝滅劑與未照射區域的猝滅劑相比有減少。
此外,增感劑藉由與增感劑或增感劑的前驅物的猝滅劑產生 反應而減少。但是,因使增感劑或增感劑的前驅物失活的猝滅劑之濃度為比較低,故增感劑的濃度分佈比與猝滅劑反應之前變得狹窄。
如第18圖(c)所示,照射潛像形成能量光束之後,因增感劑的 濃度分佈變成狹窄,故藉由增感劑的激發狀態的反應,生成具有濃度分佈A1的酸,並且重新生成具有濃度分佈P1的激發前的增感劑。如上所述,持續照射潛像形成能量光束能夠生成酸一直到光酸產生劑的消失。此外,為了參考,在第18圖(c)的濃度分佈A0為表示在假定不使用使增感劑或增 感劑的前驅物失活的猝滅劑的情況下的酸的濃度分佈,而濃度分佈P0為表示在假定不使用使增感劑或增感劑的前驅物失活的猝滅劑的情況下的激發前的增感劑的濃度分佈。如上所述,藉由實現酸的狹窄的濃度分佈,同時控制使增感劑或增感劑的前驅物失活的猝滅劑的濃度,使溶解的閾值處於酸濃度的銳陡處,能夠實現阻劑圖案的高解析度化與低LER化。
此外,參照第16圖~第18圖所述的說明中,雖然利用遮罩將 活化能量光束照射圖案形狀上,但本發明並不侷限於此。也可以沒有藉由遮罩而得到圖案形狀的活化能量光束。
參照第19圖,對本發明的阻劑材料的實施方式的酸、增感劑、 猝滅劑的濃度變化進行說明。本實施方式中,活化能量光束照射圖案形狀。 活化能量光束所照射的區域將生成酸及增感劑。生成的酸與猝滅劑中和,而活化能量光束所照射的區域中幾乎沒有生成的酸。此外,活化能量光束所照射的區域的猝滅劑與未照射區域的猝滅劑相比有減少。在此,增感劑不會與猝滅劑產生反應。
接著,照射潛像形成能量光束之後,增感劑變成激發狀態, 藉由增感劑的激發狀態的電子移動,光酸產生劑引起游離電子加成反應而分解,並重新生成酸與激發前的增感劑。如上所述,增感劑不會與猝滅劑產生反應。如上,能夠生成酸一直到光酸產生劑消失。因此,如持續照射潛像形成能量光束,實際的酸濃度並不是假定為具有無限的光酸產生劑的濃度分佈A0,而是在圖案中央部分光酸產生劑的濃度會變低,藉由潛像形成能量光束的酸生成反應會變慢,而酸濃度開始飽和。因此在酸分佈的中央的酸濃度會大致一定,而在酸濃度的邊緣則會銳陡地下降,酸以具有酸分佈的邊緣之傾斜的急遽變化濃度分佈的方式所形成。因此,依存於酸分佈邊緣的傾斜的LER會急劇變成低LER化,並也會抑制圖案散射雜訊的問題。
藉由組合利用第16圖到第19圖的4個圖所說明的方法,能 夠同時達到解決目前在EUV微影、EB微影、Ar微影等在所有微影中所發生的高感度化、高解析度化、低LER化、光子散射雜訊的問題,以完成傳統上認為不可能的技術。參照第20圖對本發明的阻劑材料的實施方式中的 酸、增感劑、猝滅劑的濃度變化進行說明。第20圖(a)表示剛照射活化能量光束之後的濃度,第20圖(b)表示藉由活化能量光束的照射所生成的酸與猝滅劑中和之後的濃度,第20圖(c)表示照射潛像形成能量光束之後的濃度。
如第20圖(a)所示,活化能量光束剛照射之後,活化能量光束 所照射的區域會生成酸及增感劑。在此,濃度分佈Q1為表示與酸中和的猝滅劑的濃度分佈,而濃度分佈Q2為表示使增感劑或增感劑的前驅物失活的猝滅劑的濃度分佈。
之後,如第20圖(b)所示,酸藉由猝滅劑中和,而在活化能量 光束所照射的區域中幾乎沒有生成的酸。在此情況下,如濃度分佈Q1所示,活化能量光束所照射的區域的猝滅劑與未照射區域的猝滅劑相比有減少。
另,增感劑藉由猝滅劑而減少。但是,使增感劑減少的猝滅 劑之濃度為比較低,故增感劑的濃度分佈比增感劑與猝滅劑反應之前還狹窄。
如第20圖(c)所示,照射潛像形成能量光束之後,藉由具有狹 窄的濃度分佈的增感劑之激發狀態的反應,生成具有濃度分佈A1的酸,並且重新生成激發前的增感劑。因此,持續照射潛像形成能量光束則能夠生成酸一直到光酸產生劑的消失。此外,為了參考,在第20圖(c)中,濃度分佈A0為表示,在假定光酸產生劑為無限存在的情況下的酸的濃度分佈。
該阻劑材料,在活化步驟中藉由減少增感劑的猝滅劑來進行 泛光燈曝光於濃度分佈變窄的增感劑,會生成激發狀態的增感劑。從激發狀態的增感劑產生的電子移動反應來分解光酸產生劑,並重新生成酸與激發前的增感劑。酸一直被生成直到增感劑存在的區域中光酸產生劑大致消失。另,在光酸產生劑的殘餘量為減少的部分則酸的生成反應會變慢,並飽和。酸與猝滅劑中和之後的酸的濃度分佈,在活化能量光束所照射的區域的大致中央位置為一定,而邊緣會非常銳陡地下降。酸以具有在邊緣的傾斜急劇變化的濃度分佈的方式所形成。如上所述,能夠同時達到解決高感度化、高解析度化、低LER化、光子散射雜訊的問題。
以下,參照具體實例5及具體實例6對本實施方式的阻劑材 料的合適的使用例子進行說明。
〔具體實例5〕
準備阻劑材料。阻劑材料包含具有基材樹脂及增感劑前驅物的阻劑組成物。本實施方式的阻劑材料中,阻劑組成物為藉由第一能量光束(活化能量光束)的照射生成增感劑,且即使照射促進該增感劑的阻劑反應的第二能量光束(活化能量光束)也不會生成增感劑。
使用阻劑材料來形成阻劑層。阻劑層,例如,藉由旋塗法形成於基板上。
執行活化步驟。在活化步驟,將活化能量光束照射圖案形狀。執行活化步驟之後,藉由活化能量光束照射圖案形狀的部分將生成增感劑。並且,在此,也可以與增感劑同時生成酸。該活化步驟中,因圖案形狀的照射量為低,故執行顯影步驟也不會形成阻劑圖案於阻劑層。另,在具體實例5,如上所述可以抑制阻劑層的活性的衰減,但也可以不抑制。
執行活化步驟的同時,或者,執行活化步驟之後,執行圖案潛像形成步驟。在潛像形成步驟,對整個區域照射潛像形成能量光束。如第7圖所示,作為潛像形成能量光束,要適當地選擇在未照射的阻劑層不產生阻劑反應而活化增感劑的能量光束。藉由潛像形成能量光束的照射,經過增感劑與酸發生的反應而發生酸,或者,發生阻劑反應。
如此,在圖案潛像形成步驟,對整個區域照射潛像形成能量光束,只有在最初照射圖案形狀的部分會生成增感劑,並且,增感劑只有在最初照射圖案形狀的部分藉由區域照射而活化。因此,只有在最初照射圖案形狀的部分生成大量的酸,而猝滅劑與酸中和之後也只有在最初照射圖案形狀的部分生成酸的潛像。之後,執行加熱步驟、顯影步驟形成阻劑圖案。
〔具體實例6〕
準備阻劑材料。阻劑材料包含具有基材樹脂及增感劑前驅物的阻劑組成物。本實施方式的阻劑材料中,阻劑組成物為藉由第1能量光束(活化能量光束)的照射生成增感劑,且即使照射促進該增感劑的阻劑反應的第2能量光束(活化能量光束)也不會生成增感劑。
使用阻劑材料來形成阻劑層。阻劑層,例如,藉由旋塗法形 成於基板上。
執行活化步驟。在活化步驟,將活化能量光束照射圖案形狀。 執行活化步驟時,藉由活化能量光束照射圖案形狀的部分至少會生成增感劑。此時,因圖案形狀的照射量為低,故執行顯影步驟也不會形成阻劑圖案於阻劑層。另,在具體實例6,如上所述可以抑制阻劑層的活性的衰減,但也可以不抑制。
執行活化步驟的同時,或者,執行活化步驟之後,執行圖案 潛像形成步驟。在潛像形成步驟,對整個區域照射潛像形成能量光束。作為潛像形成能量光束,要適當地選擇在未照射的阻劑層不產生阻劑反應,而只活化活性狀態α/安定物質α1的能量光束。藉由潛像形成能量光束的照射,將生成活性狀態B及/或活性狀態A或活性狀態A’。活性狀態B,與活性氛圍或者活性液體產生反應並再次轉換成活性狀態α/安定物質α1。
如此,在圖案潛像形成步驟,對整個區域照射潛像形成能量 光束,只有在最初照射圖案形狀的部分會生成酸前驅物,並且,活性狀態α/安定物質α1只有在最初照射圖案形狀的部分藉由區域照射而再生。因此,只有在最初照射圖案形狀的部分會生成大量的酸,而猝滅劑與酸中和之後也只有在最初照射圖案形狀的部分生成酸的潛像。之後,執行加熱步驟、顯影步驟形成阻劑圖案。
[具體實例7]
以下,參照第21圖~第25圖對具體實例7進行說明。首先,調製阻劑材料。阻劑材料係包含群組γ-丁內酯-α-丙烯酸酯、2-(1-金剛烷)丙酸-2-甲基丙烯酸酯、3--金剛烷醇-1-甲基丙烯酸酯、1-乙基環戊(ethylcyclopentyl)丙烯酸酯共聚合體作為是基材樹脂(RX)的高分子,包含(4-甲氧苯基)甲醇(DOMeBzH)作為增感劑前驅物(B0),包含錪鹽(R2IX)作為光酸產生劑(PAG)(對樹脂1,以重量比率,增感劑前驅物4.6wt%(3~30wt%,較佳為4~10wt%)PAG4.6wt%(3~30wt%,較佳為4~10wt%))。在此,阻劑材料為正型且化學增幅型。
接著,將阻劑材料旋塗於矽基板,並進行預烤處理。依阻劑 的規定濃度改變旋轉條件,但本實施例中的旋塗條件為,1500rpm、30秒,預烤100℃、60秒。另,猝滅劑添加量以PAG添加量的約1/10為基準,例如,0.1~3.0wt%,較佳為0.3~1.2wt%。
第21圖為表示,在本實施方式中所進行的化學反應式。將EB 圖案照射於阻劑材料。EB圖案曝光例如,以使用具備光束描畫(TokyoTechnology)的JSM-6500F 30keV的EB曝光系統(JEOL、光流:12.5以及28pA、<1E-4Pa)而進行。
照射EB圖案時的阻劑材料內的反應機制可以考慮為依照如第 21圖所示的化學式(a-1)~(a-5)而進行。如式(a-1)所示,藉由EB圖案的照射,使阻劑材料離子化,而主要生成高分子自由基陽離子(RH+.)與電子(e-)。高分子自由基陽離子(RH+.)與高分子(RH)產生反應,而分離為自由基P與陽離子(RH(H+))。
如式(a-2)所示,電子(e-)與光酸產生劑(R2I+X-)產生反應,並生 成中性分子(RI)、自由基(R)、以及陰離子(X-)。
如式(a-3)所示,陽離子(RH(H+))與陰離子(X-)產生反應,並生 成高分子(RH)及酸(HX)。
另,如式(a-4)所示,自由基(R)與DOMeBzH產生反應,並生 成自由基(DOMeBzH)。如式(a-5)所示,此自由基與光酸產生劑(R2I+X-)產生反應,並電子移動而生成陽離子(DOMeBzH+)。進一步,如式(a-6)所示,藉由從此陽離子(DOMeBzH+)到陰離子的質子之移動生成增感劑(DOMeBzH)及酸(HX)。
接著,照射EB圖案之後,在室溫下照射泛光燈UV(320及 365nm)。照射泛光燈UV時的阻劑材料內的反應機制為可以考慮依照第21圖的式(b-1)而進行。將照射泛光燈UV,則增感劑(DOMeBzH)會激發。藉由從激發狀態的增感劑(DOMeBzH)到光酸產生劑(PAG)的電子之移動,將生成增感劑的自由基陽離子(DOMeBzH+.)、中性分子(RI)、自由基(R)及陰離子(X-)。另,照射泛光燈UV之後,將會進行與照射EB圖案時的反應相同的反應,並藉由連鎖反應,酸被有效率地生成。
第22圖為表示,使用原子力顯微鏡(AFM、NanoNavi II/ SPA-300HV,Hitachi High-Tech Science)所觀察的感光度曲線與線和間隙圖案的結果。第22圖(a)~第22圖(c)係為同樣的RPGM的正型化學增幅型、同樣的旋塗及預烤條件,同樣的線和間隙圖案(75nm)、同樣的熱處理溫度及時間、以及同樣的顯影條件的測定結果,以及顯示第一EB曝光圖案的劑量、與是否有第二UV泛光燈曝光、以及UV曝光的波長係為不相同。
阻劑的感光度為,單獨EB曝光則77μC/cm2、以320nm的 PF組合微影法則8.8μC/cm2。在化學增幅型阻劑顯影的初期階段,能夠了解化學增幅阻劑的感光度與解析度為權衡取捨的關係。此為,在減低酸濃縮時,為了產生需要分量的化學反應而將延伸所需要的酸擴散長度所引起的。能夠在此新的步驟中對不減低分解能的高感光的實驗結果進行說明。
第23圖為表示DOMeBzH與DOMeBzO的吸收率之圖表。第 24圖為表示,UV曝光時間與照射量的關係之圖表。
在此,參照第25圖對本實施方式的化學增幅型阻劑材料的酸 生成步驟進行說明。另,在此,阻劑材料有添加猝滅劑。藉由添加猝滅劑能限制酸的擴散,故在化學增幅型阻劑能夠適合地形成高分解能圖案。
本實施方式中,首先,將活化能量光束照射圖案形狀。第25 圖(a)為表示活化能量光束剛照射圖案形狀之後的阻劑內的酸、增感劑、以及猝滅劑的濃度。在活化能量光束照射圖案形狀之前,猝滅劑的濃度在各區域中大致為一定。
藉由照射活化能量光束於圖案形狀,照射活化能量光束處將 會生成酸與增感劑。例如,活化能量光束係為電子束或者EUV光束。
將照射活化能量光束之後,經過一小段時間,如第25圖(b) 所示,酸與猝滅劑在室溫下再度結合。因此,在照射活化能量光束處,猝滅劑的濃度比未照射處會降低。另一方面,增感劑不會與猝滅劑產生反應。
接著,如第25圖(c)所示,對整個區域照射潛像形成能量光束。 例如,潛像形成能量光束係為UV泛光光束。藉由潛像形成能量光束的照射,在整個區域將會生成酸及增感劑的兩者。
這樣的光引導性酸增幅,藉由非熱擴散反應在室溫下產生。 在此新的過程已經達到了比單獨EB曝光高10倍的感度。直到光酸產生劑 幾乎用完,基本上一直持續感光。因此,能夠使用更高濃度的猝滅劑,能夠減低光子的散射雜訊和線條寬度。
以下,參照第26圖~第55圖對基於本發明的實施例1~實施例33進行說明。
[實施例1]
作為阻劑層,採用聚甲基丙烯酸甲酯樹脂(Poly(methyl methacrylate,Aldrich製作,以下記載為[PMMA]。)。阻劑層(PMMA)的分子量係為350K,而阻劑層的膜厚為100nm。在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流30pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟,並作為間隔將阻劑層保持在大氣中5分鐘之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用Hamamatsu Photonics股份有限公司的電子束照射源(型號:EB-ENGINE)作為圖案潛像形成部,並於氮氣氣流中(氧氣濃度100ppm以下)將加速電壓100kV、電子電流200μA(20μC/cm2)的電子束照射於阻劑層十次(劑量200μC/cm2)。
在顯影步驟,藉由將甲基異丁基酮(MIBK)與2-丙醇(IPA)以1:3的比率混合的顯影液,在13℃下顯影阻劑層60秒。第26圖為表示,實施例1中的顯影結果。第26圖(c)的左側部份為表示,對設計規格為200nm的線條及間隙加工之後所得到的規格為200nm的線條以及間隙。第26圖(c)的中央部份為表示,對設計規格為100nm的線條及200nm的間隙加工之後所得到的規格120nm的線條(加工部份)以及180nm的間隙(未加工部份)。第26圖(c)的右側部份為表示,對設計規格為100nm的線條及50nm的間隙加工之後所得到的規格120nm的線條(加工部份)以及30nm的間隙(未加工部份)。第26圖(d)的左側部份為表示,對設計規格為200nm的線條及間隙加工之後所得到的規格為260nm的線條(加工部份)以及140nm的間隙(未加工部份)。第26圖(d)的右側部份為表示,對設計規格100nm的線條及200nm的間隙加工之後所得到的規格170nm的線條(加工部份)以及130nm的間隙(未加工部份)。
根據實施例1的結果,在複合照射(活化步驟與圖案潛像形成 步驟的執行)中,由對阻劑感度的總吸收線量得到評價D(0)=2190kGy,在總能量上表示為比只有圖案曝光的感度D(0)=2925kGy還小的值。曝光至阻劑的感度曲線的閾值之前,不是以加上其後曝光量的減少量的方式,而是以在維持活性狀態的狀態下再度進行曝光,利用非線性的反應,在維持解析度的基礎上顯示可達到高感度化。
[實施例2]
採用PMMA作為阻劑層。阻劑層(PMMA)的分子量為350k,阻劑層的膜厚為100nm。在活化步驟,利用Hamamatsu Photonics股份有限公司的電子束照射源(型號:EB-ENGINE)作為活化裝置,並於氮氣氣流中(氧氣濃度50ppm)將加速電壓100kV、電子電流200μA(20μC/cm2)的電子束照射於阻劑層十次(劑量200μC/cm2)。
執行活化步驟,並作為間隔將阻劑層保持在大氣中5分鐘之 後,執行活化圖案潛像形成步驟。在圖案潛像形成步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為圖案潛像形成部,將照射電流30pA、加速電壓30keV的電子束照射於阻劑層。
在顯影步驟,將MIBK與IPA以1:3的比率混合的顯影液, 在13℃下顯影阻劑層60秒。第27圖為表示實施例2的顯影結果。
根據實施例2的結果,在複合照射(活化步驟與圖案潛像形成 步驟的執行)中,由對阻劑感度的總吸收線量得到評價D(0)=2300kGy,在總能量上表示為比只有圖案曝光的感度D(0)=2925kGy還小的值。曝光至阻劑的感度曲線的閾值之前,不是以加上此後曝光量的減少量的方式,而是以在維持活性狀態的狀態下再度進行曝光,來利用非線性的反應,在維持解析度的基礎上顯然可達到高感度化。
[實施例3]
採用ZEP520A(日本Zeon股份有限公司製作:甲基苯乙烯與甲基氯代丙酸酯的共聚合物)作為阻劑層。阻劑層(ZEP520A)的膜厚為280nm。在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流30pA、加速電壓 30keV的電子束照射於阻劑層。
執行活化步驟,並作為間隔將阻劑層保持在大氣中5分鐘之 後,執行圖案潛像形成步驟。在圖案潛像形成步驟,利用Hamamatsu Photonics股份有限公司的電子束照射源(型號:EB-ENGINE)作為圖案潛像形成部,並於氮氣氣流中(氧氣濃度100ppm)將加速電壓100kV、電子電流100μA(10μC/cm2)的電子束照射於阻劑層四次(劑量40μC/cm2)。
在顯影步驟,藉由顯影液ZED-N50(日本Zeon股份有限公司 製作)在13℃下顯影阻劑層60秒鐘。第28圖為表示實施例3的顯影結果。 第28圖(c)的左側部份為表示,對設計規格100nm的線條及200nm的間隙加工之後所得到的規格110nm的線條(加工部份)以及190nm的間隙(未加工部份)。第28圖(c)的中央部份為表示對設計規格50nm的線條及200nm的間隙加工之後所得到的規格50nm的線條(加工部份)以及200nm的間隙(未加工部份)。第28圖(c)的右側部份為表示對設計50nm的線條及100nm的間隙加工之後所得到的規格50nm的線條(加工部份)以及間隙100nm(未加工部份)。第28圖(d)的左側部份為表示,對設計規格100nm的線條及200nm的間隙加工之後所得到的規格150nm的線條(加工部份)以及150nm的間隙(未加工部份)。第28圖(d)的中央部份為表示,對設計規格50nm的線條及200nm的間隙加工之後所得到的規格55nm的線條(加工部份)以及195nm的間隙(未加工部份)。第28圖(d)的右側部份為表示,對設計規格100nm的線條及100nm的間隙加工之後所得到的規格102nm的線條(加工部份)以及98nm的間隙(未加工部份)。
根據實施例3的結果,在複合照射(活化步驟與圖案潛像形成 步驟的執行)中,由對阻劑感度的總吸收線量得到評價D(0)=862kGy,在總能量上表示為比只有圖案曝光的感度D(0)=1050kGy還小的值。曝光至阻劑的感度曲線的閾值之前,不是以加上此後曝光量的減少量的方式,而是以在維持活性狀態的狀態下再度進行曝光,來利用非線性的反應,在維持解析度的基礎上顯然可達到高感度化。
[實施例4]
採用PMMA作為阻劑層。阻劑層(PMMA)的分子量為350k,阻劑層的膜厚 為100nm。在第一次的活化步驟,利用Hamamatsu Photonics股份有限公司的電子束照射源(型號:EB-ENGINE)作為活化裝置,並於氮氣氣流中(氧氣濃度<50ppm)將加速電壓100kV、電子電流100μA(20μC/cm2)的電子束照射於阻劑層五次(劑量100μC/cm2)。
執行第一次的活化步驟,並作為間隔將阻劑層保持在氮氣中5 分鐘之後,執行第二次活化步驟。在第二次活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流16pA、加速電壓30keV的電子束照射於阻劑層。
在實施例4,執行第二次的活化步驟,並作為間隔將阻劑層保 持在氮氣中5分鐘之後,執行圖案潛像形成步驟。圖案潛像形成步驟中,使用與執行第一次活化步驟時所使用的活化裝置相同的活化裝置,並於氮氣氣流中(氧氣濃度<50ppm)將加速電壓100kV、電子電流100μA(20μC/cm2)的電子束照射於阻劑層五次(劑量100μC/cm2)。
在顯影步驟,將MIBK與IPA以1:3的比率混合的顯影液, 在13℃下顯影阻劑層60秒。第29圖(a)為表示實施例4的顯影結果。
根據實施例4的結果,在複合照射(活化步驟與圖案潛像形成 步驟的執行)中,由對阻劑感度的總吸收線量得到評價D(0)=2190kGy,在總能量上表示為比只有圖案曝光的感度D(0)=2925kGy還小的值。曝光至阻劑的感度曲線的閾值之前,不是以加上此後曝光量的減少量的方式,而是以在維持活性狀態的狀態下再度進行曝光,來利用非線性的反應,在維持解析度的基礎上顯然可達到高感度化。
[實施例5]
採用化學增幅型阻劑UV3作為阻劑層。阻劑層(UV3)的膜厚為200nm。 在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流16pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟,並作為間隔將阻劑層保持在氮氣中5分鐘之 後,執行圖案潛像形成步驟。圖案潛像形成步驟中,使用Hamamatsu Photonics股份有限公司的電子束照射源(型號:EB-ENGINE)作為圖案潛像 形成部,將加速電壓100kV、電子電流50μA(5μC/cm2)的電子束照射於阻劑層二次(劑量10μC/cm2)。
潛像形成步驟之後,在130℃下執行熱處理(PEB)60秒,而在 顯影步驟,藉由2.38%的顯影液氫氧化四甲胺(TetraMethyl Ammonium Hydroxide、以下記載為[TMAH])在25℃下顯影阻劑層60秒鐘。第29圖(b)為表示實施例5的顯影結果。
根據實施例5的結果,在複合照射(活化步驟與圖案潛像形成 步驟的執行)中,由對阻劑感度的總吸收線量得到評價D(0)=155kGy,在總能量上表示為比只有圖案曝光的感度D(0)=194kGy還小的值。曝光至阻劑的感度曲線的閾值之前,不是以加上此後曝光量的減少量的方式,而是以在維持活性狀態的狀態下再度進行曝光,來利用非線性的反應,在維持解析度的基礎上顯然可達到高感度化。
[實施例6]
採用化學增幅型阻劑UV3作為阻劑層。阻劑層(UV3)的膜厚為200nm。 在活化步驟,使用Hamamatsu Photonics股份有限公司的電子束照射源(型號:EB-ENGINE)作為活化裝置,將加速電壓100kV、電子電流50μA(5μC/cm2)的電子束照射於阻劑層二次(劑量10μC/cm2)。
執行活化步驟,並作為間隔將阻劑層保持在氮氣中5分鐘之 後,執行圖案潛像形成步驟。使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為圖案潛像形成部,將照射電流16pA、加速電壓30keV的電子束照射於阻劑層。
潛像形成步驟之後,在130℃下執行熱處理(PEB)60秒,而在 顯影步驟,藉由2.38%的顯影液TMAH在25℃下顯影阻劑層60秒。第29圖(c)為表示實施例6的顯影結果。
根據實施例6的結果,在複合照射(活化步驟與圖案潛像形成 步驟的執行)中,由對阻劑感度的總吸收線量得到評價D(0)=167kGy,在總能量上表示為比只有圖案曝光的感度D(0)=194kGy還小的值。曝光至阻劑的感度曲線的閾值之前,不是以加上此後曝光量的減少量的方式,而是以在維持活性狀態的狀態下再度進行曝光,來利用非線性的反應,在維持解 析度的基礎上顯然可達到高感度化。
[實施例7]
採用PMMA作為阻劑層。阻劑層(PMMA)的分子量為350k,阻劑層的膜厚為100nm。在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流30pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟,並作為間隔將阻劑層保持在大氣中1分鐘之 後,真空保管,並執行圖案潛像形成步驟。在圖案潛像形成步驟,以放射線醫學綜合研究所的重粒子癌症治療裝置(Heavy ion Medical Accelerator in Chiba,以下記載為[HIMAC]。)作為圖案潛像形成部,並在24℃下照射能量6MeV/u、重離子Xe54+、7nC/pulse、1E+10ion/cm2(真空度5E-5Pa)於阻劑層。
在顯影步驟,將MIBK與IPA以1:3的比率混合的顯影液, 在13℃下顯影阻劑層60秒。第30圖(a)為表示實施例7的顯影結果。
根據實施例7的結果,在複合照射(活化步驟與圖案潛像形成 步驟的執行)中,由對阻劑感度的總吸收線量得到評價D(0)=2380kGy,在總能量上表示為比只有圖案曝光的感度D(0)=2925kGy還小的值。曝光至阻劑的感度曲線的閾值之前,不是以加上此後曝光量的減少量的方式,而是以在維持活性狀態的狀態下再度進行曝光,來利用非線性的反應,在維持解析度的基礎上顯然可達到高感度化。另,高LET放射線單獨的感度評價,因飛行路徑的重疊為較大,故阻劑感度有被賦予超過需要的能量,但是上述的結果,還是有刪減總能量。
[實施例8]
採用PMMA作為阻劑層。阻劑層(PMMA)的分子量350k,而阻劑層的膜厚為100nm。在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流30pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟,並作為間隔將阻劑層保持在大氣中1分鐘之後,真空保管,並執行圖案潛像形成步驟。在圖案潛像形成步驟,使用 HIMAC作為圖案潛像形成部,並在24℃下照射能量6MeV/u、重離子Kr36+、7nC/pulse、1E+9ion/cm2(真空度5E-5Pa)於阻劑層。
在顯影步驟,由MIBK與IPA以1:3的比率混合的顯影液,在13℃下顯影阻劑層60秒。第30圖(b)為表示實施例8的顯影結果。
根據實施例8的結果,在複合照射(活化步驟與圖案潛像形成步驟的執行)中,由對阻劑感度的總吸收線量得到評價D(0)=2518kGy,在總能量上表示為比只有圖案曝光的感度D(0)=2925kGy還小的值。曝光至阻劑的感度曲線的閾值之前,不是以加上此後曝光量的減少量的方式,而以在維持活性狀態的狀態下再度進行曝光,來利用非線性的反應,在維持解析度的基礎上顯然可達到高感度化。另,高LET放射線單獨的感度評價,因飛行路徑的重疊為較大,故阻劑感度有被賦予超過需要的能量,但上述的結果,還是有刪減總能量。
[實施例9]
採用ZEP520A作為阻劑層(日本Zeon股份有限公司製作)。阻劑層(ZEP520A)的膜厚為280nm。在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流30pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,以使用高壓水銀燈作為圖案潛像形成部,而藉由濾波器在大氣中對阻劑層只照射阻劑不吸收的波長(365nm)之光(劑量2J/cm2)。
在顯影步驟,藉由顯影液ZED-N50(日本Zeon股份有限公司製作)在22℃下顯影阻劑層60秒。第30圖(c)為表示實施例9的顯影結果。
根據實施例9的結果,雖然係不誘發傳統反應的UV光的波長,但了解到與只有圖案化曝光的圖案化比較,E(0)能夠以高感度(54uC/cm2)曝光。
[比較例1]
為了與實施例9比較,在比較例1依如下所示的步驟(單曝光步驟)進行阻劑曝光。以採用ZEP520A(日本Zeon股份有限公司製作)作為阻劑層。阻劑層(ZEP520A)的膜厚為280nm。利用高壓水銀燈,在大氣中藉由濾波器只 照射365nm的光(劑量2J/cm2)於阻劑層。在顯影步驟,試著藉由顯影液ZED-N50(日本Zeon股份有限公司製作)在22℃下顯影阻劑層60秒,但無法顯影阻劑層。膜厚等變化也沒有被觀察到。
[實施例10]
採用PMMA作為阻劑層。阻劑層(PMMA)的分子量為350k,而阻劑層的膜厚為100nm。在活化步驟,使用Hamamatsu Photonics股份有限公司的電子束照射源(型號:EB-ENGINE)作為活化裝置,並於氮氣氣流中(氧氣濃度50ppm)將加速電壓100kV、電子電流200μA(20μC/cm2)的電子束照射於阻劑層十次(劑量200μC/cm2)。
執行活化步驟,並於真空下保持樣本24小時之後,執行圖案 潛像形成步驟。在圖案潛像形成步驟,使用SPring-8作為圖案潛像形成部,在BL27SU的光譜光束線上,將3.1nm的軟X線(水平,分解能30000,具有Cr鏡)以光通量:4.43E+9photon/s在真空中(1E-4Pa)照射於阻劑層。
在顯影步驟,藉由將MIBK與IPA以1:3的比率混合的顯影液在13℃下顯影阻劑層60秒的結果,其感度為曝光量50.8mJ/cm2
[比較例2]
為了與實施例10比較,在比較例2依如下所示的步驟(單曝光步驟)進行阻劑曝光。採用PMMA(Aldrich製作,分子量為350k)作為阻劑層。阻劑層(PMMA)的膜厚為100nm。使用SPring-8,在BL27SU的光譜光束線,將3.1nm的軟X線(水平,分解能30000,具有Cr鏡)以光通量:4.43E+9photon/s在真空中(1E-4Pa)照射於阻劑層。在顯影步驟,藉由將MIBK與IPA以1:3的比率混合的顯影液在13℃下顯影阻劑層60秒的結果,其感度為曝光量220mJ/cm2
[實施例11]
採用PMMA作為阻劑層。阻劑層(PMMA)的分子量為350k,而阻劑層的膜厚為100nm。在活化步驟,使用Hamamatsu Photonics股份有限公司的電子束照射源(型號:EB-ENGINE)作為活化裝置,並於氮氣氣流中(氧氣濃度50ppm)將加速電壓100kV、電子電流200μA(20μC/cm2)的電子束照射於阻劑層十次(劑量200μC/cm2)。
執行活化步驟,並於真空下保持樣本24小時之後,執行圖案 潛像形成步驟。在圖案潛像形成步驟,使用SPring-8作為圖案潛像形成部,在BL27SU的光譜光束線上,將6.7nm的EUV光(垂直,分解能10000,具有Cr鏡)以光通量:1.09E+10photon/s在真空中(1E-4Pa)照射於阻劑層。
在顯影步驟,藉由將MIBK與IPA以1:3的比率混合的顯影液在13℃下顯影阻劑層60秒的結果,其感度為曝光量87.3mJ/cm2
[實施例12]
採用PMMA作為阻劑層。阻劑層(PMMA)的分子量為350k,而阻劑層的膜厚為100nm。在活化步驟,使用SPring-8作為圖案潛像形成部,在BL27SU的光譜光束線上,將6.7nm的EUV光(垂直,分解能10000,具有Cr鏡)以光通量:1.09E+10photon/s在真空中(1E-4Pa)照射於阻劑層。
執行活化步驟,並於大氣中保持樣本5個小時之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用Hamamatsu Photonics股份有限公司的電子束照射源(型號:EB-ENGINE)作為圖案潛像形成部,並於氮氣氣流中(氧氣濃度50ppm)將加速電壓100kV、電子電流200μA(20μC/cm2)的電子束照射於阻劑層十次(劑量200μC/cm2)。
在顯影步驟,藉由將MIBK與IPA以1:3的比率混合的顯影液在13℃下顯影阻劑層60秒的結果,其感度為曝光量94.6mJ/cm2
[比較例3]
為了與實施例11、實施例12比較,在比較例3依如下所示的步驟(單曝光步驟)進行阻劑曝光。採用PMMA(Aldrich製作,分子量為350k)作為阻劑層。阻劑層(PMMA)的膜厚為100nm。使用SPring-8,在BL27SU的光譜光束線上,將6.7nm的EUV光(垂直,分解能10000,具有Cr鏡)以光通量:1.09E+10photon/s在真空中(1E-4Pa)照射於阻劑層。在顯影步驟,藉由將MIBK與IPA以1:3的比率混合的顯影液在13℃下顯影阻劑層60秒的結果,其感度為曝光量430mJ/cm2
本發明的實施方式中,照射時或者照射後進行環境控制(活性狀態的維持或促進)的狀態下,藉由組合圖案形狀照射與多次的區域照射,在低強度輻射的照射圖案形狀下也能夠製作精度高的阻劑圖案。如實施例9 所示,即使藉由圖案形狀照射之後以未照射阻劑完全不產生反應的波長進行區域照射,也能夠藉由使活性狀態反應而形成阻劑圖案。
[實施例13]
以下對實施例13進行說明。在實施例13,混合物作為實施例13的阻劑材料而調製,該混合物係為在作為溶解於環己酮的基材樹脂之甲基丙烯酸甲酯聚合物(以下記載為[MMA])中,添加0.05M作為光酸產生劑(以下記載為[PAG])的DPI-PFBS,且進一步添加0.1M作為增感劑前驅物的DOMeBzH。將調製的阻劑材料,使用旋轉塗佈機(MIKASA)以2000rpm、30秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。旋塗之後的膜厚以使用原子力顯微鏡(以下記載為[AFM]、Hitachi High-Tech Science社NanoNavi II/SPA-300HV)測定的結果,厚度為450nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置 JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流12.5pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟,並作為間隔將阻劑層保持在大氣中1分鐘之 後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用日本東芝股份有限公司製作的黑光(320nm)作為圖案潛像形成部,並於大氣中使用1mW/h的光源,將以30秒、1分、2分、3分、5分、10分的紫外線進行全面曝光。 潛像形成步驟之後,實施在100℃下60秒的熱處理(PEB),而在顯影步驟,藉由顯影液氫氧化四甲銨(TetraMethyl Ammonium Hydroxide、以下記載為[TMHA])2.38%在25℃下顯影阻劑層1分鐘。
另,關於一部分的樣本,在活化步驟之後,實施在100℃下1 分鐘的熱處理,而在顯影步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
第31圖為表示實施例13的顯影後以75nm所描畫的線條和間 隙圖案的AFM像。根據本實施方式,可以了解以高解析度來描畫75nm的線條和間隙圖案。
第32圖表示,全面曝光紫外線時的電子光束之感度曲線。可 以了解藉由電子光束的阻劑材料的感度E0,隨著紫外線曝光量的增加而變小。
[實施例14]
針對實施例13的阻劑材料,調製沒有添加增感劑前軀體的阻劑材料,並在同一條件下實施直到顯影的步驟。
將調製的阻劑材料,使用旋轉塗佈機(MIKASA)以2000rpm、30秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。使用AFM來測量旋塗之後的膜厚的結果,厚度係為450nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流12.5pA、加速電壓30keV的電子束照射於阻劑層。
在活化步驟之後,實施100℃下1分鐘的熱處理,而在顯影步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
第33圖為表示顯影之後的阻劑材料之感度曲線。感度E0為約12mC/cm2
第34圖為表示,在實施例14中以顯影之後的75nm所描畫的線條和間隙圖案的AFM像。可以了解藉由電子光束描畫來描畫高解析度的75nm的線條和間隙圖案。
另,執行活化步驟之後,並作為間隔將阻劑層保持在大氣中1分鐘之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用日本東芝股份有限公司製作的黑光(320nm)作為圖案潛像形成部,並於大氣中使用1mW/h的光源,將以1分、5分、10分、15分、30分的紫外線進行全面曝光。
潛像形成步驟之後,實施100℃下的熱處理60秒,而在顯影步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
列表為表示波長320nm的紫外線曝光後的電子束之感度E0。該感度E0大致等於沒有曝光紫外線時的感度E0,並可以了解與實施例13中有添加光酸產生劑的阻劑材料不同,紫外線曝光沒有使之高感度化。
[實施例15]
將調製與實施例13相同的阻劑材料,求出在沒有實施紫外線曝光的情況下的感度曲線。紫外線曝光以外的步驟與實施例13相同。
第35圖為表示感度曲線。由於感度E0為56.5mC/cm2,可以 了解藉由進行如實施例13所示的照射紫外線光的潛像形成步驟則有進行明顯的高感度化。
[實施例16]
將於實施例13所調製的阻劑材料,使用旋轉塗佈機(MIKASA)以2000rpm、30秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。旋塗之後的膜厚為450nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置 JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流12.5pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,並作為間隔將阻劑層保持在大氣中1分 鐘之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用日本東芝股份有限公司製作的黑光(365nm)作為圖案潛像形成部,並於大氣中使用1mW/h的光源,將以10分、15分、30分的紫外線進行全面曝光。
潛像形成步驟之後,實施100℃下的熱處理1分鐘,而在顯影 步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
另,對於一部分的樣本,活化步驟之後,實施100℃下的熱處 理1分鐘,而在顯影步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
第36圖為表示在實施例16中以顯影之後的75nm所描畫的線 條和間隙圖案的AFM像。可以了解藉由本電子-光複合照射過程,將75nm 的線條和間隙圖案係以高解析度來描畫。
第37圖為表示以365nm的紫外線進行全面曝光時的電子光束 之感度曲線。可以了解藉由電子光束的阻劑材料的感度E0隨著紫外線曝光量的增加而變小。
[實施例17]
將調製與實施例16的阻劑材料相較之下沒有添加增感劑前驅物的阻劑材料,並與實施例16同一條件下將該阻劑材料實施到顯影步驟。
將調製的阻劑材料,使用旋轉塗佈機(MIKASA)以2000rpm、 30秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。
使用AFM來測量旋塗之後的膜厚的結果,厚度係為450nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置 JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流12.5pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,並作為間隔將阻劑層保持在大氣中1分 鐘之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用日本日立股份有限公司製作的黑光(365nm)作為圖案潛像形成部,並於大氣中使用1mW/h的光源,將以10分、15分、30分的紫外線進行全面曝光。
潛像形成步驟之後,在100℃下實施熱處理60秒,而在顯影 步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
列表為表示365nm的紫外線曝光後的電子束之感度E0。該感 度E0大致等於在沒有曝光紫外線時的感度E0,並可以了解與實施例13中有添加光酸產生劑的阻劑材料不同,紫外線曝光沒有使之高感度化。
[實施例18]
將於實施例13所調製的阻劑材料,使用旋轉塗佈機(MIKASA)以2000rpm、30秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。旋塗之後的膜厚為450nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置 JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流20pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後馬上執行圖案潛像形成步驟。在潛像形成 步驟,以使用LED光源(365nm、3D聚光燈),並於真空中使用0.9mW/h的光源,將以5分、10分、15分、30分的紫外線進行全面曝光。
潛像形成步驟之後,在100℃下實施熱處理60秒,而在顯影 步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。
第38圖為表示來自LED光源的365nm的紫外線在真空中進 行全面曝光時的電子光束之感度曲線。可以了解藉由電子光束的阻劑材料之感度E0隨著紫外線曝光量的增加而變小。
[實施例19]
將於實施例13所調製的阻劑材料,使用旋轉塗佈機(MIKASA)以2000rpm、30秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。旋塗之後的膜厚為450nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置 JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流20pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,作為間隔將阻劑層保持在大氣中2分鐘 之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用LED光源(365nm、3D聚光燈)作為圖案潛像形成部,並於大氣中使用0.7mW/h的光源,將以15分、30分、45分的紫外線進行全面曝光。
潛像形成步驟之後,在100℃下實施熱處理60秒,而在顯影 步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。
第39圖為表示來自LED光源的365nm的紫外線在大氣中進 行全面曝光時的電子光束之感度曲線。可以了解藉由電子光束的阻劑材料之感度E0隨著紫外線曝光量的增加而變小。
[實施例20]
將混合物作為實施例20的阻劑材料而調製,該混合物係為在添加0.05M PAG(DPI-PFBS)至溶解於環己酮之MMA的系列中,添加0.1M作為增感劑前驅物的TriOMeBzH。使用旋轉塗佈機(MIKASA)以4000rpm、60秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。旋塗之後的膜厚以AFM測定的結果為140nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置 JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流12.5pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,作為間隔將阻劑層保持在大氣中1分鐘 之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用日本東芝股份有限公司製作的黑光(320nm)作為圖案潛像形成部,並於大氣中使用1mW/h的光源,將以5分、10分、30分的紫外線進行全面曝光。
潛像形成步驟之後,在100℃下實施熱處理1分鐘,而在顯影 步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
另,對於一部分的樣本,活化步驟之後,實施100℃下的熱處 理1分鐘,而在顯影步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
第40圖為表示,以紫外線進行全面曝光時的電子光束之感度 曲線。可以了解藉由電子光束的阻劑材料的感度E0隨著紫外線曝光量的增加而變小。
[實施例21]
針對阻劑材料,求出在沒有實施紫外線曝光的情況下的感度曲線。紫外線曝光以外的步驟與實施例20相同。第41圖為表示感度曲線。從感度E0為13.8mC/cm2來看,可以了解藉由進行實施例20所示的照射紫外線光的潛像形成步驟可進行高感度化。
[實施例22]
將實施例20的阻劑材料,使用旋轉塗佈機(MIKASA)以4000rpm、60秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。旋塗之後的膜厚為140nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流12.5pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後馬上執行圖案潛像形成步驟。在潛像形成步驟,使用LED光源(365nm、3D聚光燈),並於真空中使用0.9mW/h的光源,將以3分、5分、10分、15分的紫外線進行全面曝光。
潛像形成步驟之後,在100℃下實施熱處理60秒,而在顯影步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。
第42圖為表示來自LED光源的365nm的紫外線在真空中進行全面曝光時的電子光束之感度曲線。可以了解藉由電子光束的阻劑材料之感度E0隨著紫外線曝光量的增加而變小。
[實施例23]
將實施例20的阻劑材料,使用旋轉塗佈機(MIKASA)以4000rpm、60秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。旋塗之後的膜厚為140nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流12.5pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,作為間隔將阻劑層保持在大氣中1分鐘之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用LED光源(365nm、3D聚光燈)作為圖案潛像形成部,並於大氣中使用0.7mW/h的光源,將以5分、10分、15分的紫外線進行全面曝光。
潛像形成步驟之後,在100℃下實施熱處理60秒,而在顯影步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。
第43圖為表示來自LED光源的365nm的紫外線在大氣中進行全面曝光時的電子光束之感度曲線。可以了解藉由電子光束的阻劑材料 之感度E0隨著紫外線曝光量的增加而變小。
[實施例24]
將混合物作為實施例24的阻劑材料而調製,該混合物係為在添加0.05M光酸產生劑(以下記載為[PAG]、DPI-PFBS)至溶解於環己酮之甲基丙烯酸甲酯系列高分子(以下記載為[MMA])的系列中,添加0.1M作為增感劑前驅物的DOBzHMM。
將調製的阻劑材料,使用旋轉塗佈機(MIKASA)以4000rpm、120秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。
將旋塗之後的膜厚使用AFM(日立High-Tech Science社NanoNavi II/SPA-300HV)來測量的結果,厚度係為90nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流12.5pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,作為間隔將阻劑層保持在大氣中1分鐘之後,在真空中執行圖案潛像形成步驟。
在圖案潛像形成步驟,使用LED光源(365nm、3D聚光燈)作為圖案潛像形成部,並於真空中使用0.9mW/h的光源,將以10分、15分的紫外線進行全面曝光。也準備了沒有紫外線曝光的系列。
潛像形成步驟之後,在100℃下實施熱處理60秒鐘,而在顯影步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。
第44圖為表示來自LED光源的365nm的紫外線在真空中進行全面曝光時的電子光束之感度曲線。可以了解藉由電子光束的阻劑材料之感度E0隨著紫外線曝光量的增加而變小。
[實施例25]
對實施例24阻劑材料添加0.005的三辛基胺(TOA),而使用環己酮稀釋5倍來調製阻劑材料,並以1000rpm、60秒旋塗,旋塗之後,在100℃下進行熱處理1分鐘。旋塗之後的膜厚為50nm。
在活化步驟,使用日本電子股份有限公司的圖案成型裝置 JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流30pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,作為間隔將阻劑層保持在大氣中1分鐘 之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用AS ONE製作的SLUV-6(365nm)作為圖案潛像形成部,並於大氣中使用0.7mW/h的光源,將以5分、10分、15分的紫外線進行全面曝光。
潛像形成步驟之後,在100℃下實施熱處理1分鐘,而在顯影 步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。
另,對於一部分的樣本,活化步驟之後,實施100℃下的熱處 理1分鐘,而在顯影步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。第45圖、第46圖及第47圖為表示藉由潛像形成步驟形成圖案之後的SEM像。
[實施例26]
使用實施例25的阻劑材料,且在活化步驟使用ENERGETIQ社的感度評價裝置(EQ-10M氙電漿體、幀曝光),將照射波長13.5nm、0.01mJ/cm2/s的極紫外線(EUV光)於阻劑層。
執行活化步驟之後,作為間隔在真空乾燥器中保持阻劑層5 分鐘之後,執行圖案潛像形成步驟。在圖案潛像形成步驟,使用AS ONE製作的SLUV-6(365nm)作為圖案潛像形成部,並於大氣中使用0.7mW/h的光源,將以5分、10分、15分的紫外線進行全面曝光。
潛像形成步驟之後,在100℃下實施熱處理1分鐘,而在顯影 步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。
另,對於一部分的樣本,活化步驟之後,實施100℃下的熱處 理1分鐘,而在顯影步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。第48圖為表示來自LED光源的365nm的紫外線在真空中進行全面曝光時的電子光束之感度曲線。
[實施例27]
使用實施例25的阻劑材料,在活化步驟,使用Elionix股份有限公司的圖案成型裝置ELS-7700T(向量掃描方式),將照射電流20pA、加速電壓 75kV的電子束照射於阻劑層。
執行活化步驟之後,真空保管,並於真空中執行圖案潛像形 成步驟。在圖案潛像形成步驟,使用AS ONE製作的SLUV-6(365nm)作為圖案潛像形成部,並藉由石英窗使用0.8mW/h的光源,將以15分的紫外線進行全面曝光。
潛像形成步驟之後,提取到大氣中,在100℃下實施熱處理1 分鐘,並在顯影步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
另,對於一部分的樣本,活化步驟之後,實施100℃下的熱處 理1分鐘,而在顯影步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。第49圖、第50圖為表示藉由潛像形成步驟形成圖案之後的SEM像。
[實施例28]
將混合物作為實施例28的阻劑材料而調製,該混合物係為在添加0.05M鋶鹽系列PBpS-PFBS作為PAG至溶解於環己酮之甲基丙烯酸甲酯系列高分子(以下記載為[MMA])的系列中,添加0.1M DOBzMM作為增感劑前驅物。
將所調製的阻劑材料,使用旋轉塗佈機(MIKASA)以 4000rpm、120秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在110℃下進行熱處理1分鐘。
將旋塗之後的膜厚使用AFM(日立High-Tech Science社 NanoNavi II/SPA-300HV)來測量的結果,厚度係為49nm。
在活化步驟,使用Elionix股份有限公司的圖案成型裝置 ELS-7700T(向量掃描方式),將照射電流10pA、加速電壓75kV的電子束照射於阻劑層。
執行活化步驟之後,真空保管,並於真空中執行圖案潛像形 成步驟。在圖案潛像形成步驟,使用AS ONE製作的SLUV-6(365nm)作為圖案潛像形成部,並藉由石英窗使用0.8mW/h的光源,將以10分、30分的紫外線進行全面曝光。
潛像形成步驟之後,在100℃下於氮氣氣流中實施熱處理1分 鐘,並在顯影步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
另,對於一部分的樣本,活化步驟之後,實施100℃下的熱處 理1分鐘,而在顯影步驟,藉由顯影液TMAH2.38%在25℃下顯影阻劑層1分鐘。
第51圖為表示紫外線進行全面曝光時的電子光束之感度曲 線。可以了解藉由電子光束的阻劑材料之感度E0隨著紫外線曝光量的增加而變小。
[實施例29]
將混合物作為實施例29的阻劑材料而調製,該混合物係為在添加0.05MDPI-PFBS作為PAG至溶解於環己酮之甲基丙烯酸甲酯系列高分子(以下記載為[MMA])的系列中,添加0.05M DOBzMM、0.05M TetraMeBzH作為增感劑前驅物。
將所調製的阻劑材料,使用旋轉塗佈機(MIKASA)以 4000rpm、120秒旋塗於預先進行HMDS處理的矽基板上。旋塗之後,在100℃下進行熱處理1分鐘。
將旋塗之後的膜厚使用AFM(日立High-Tech Science社 NanoNavi II/SPA-300HV)來測量的結果,厚度係為60nm。
在活化步驟,以使用日本電子股份有限公司的圖案成型裝置 JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流20pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,作為間隔在大氣中保持阻劑層30秒之後, 執行圖案潛像形成步驟。在圖案潛像形成步驟,使用AS ONE製作的SLUV-6(365nm)作為圖案潛像形成部,並於大氣中使用0.7mW/h的光源,將以3分、5分、10分的紫外線進行全面曝光。
潛像形成步驟之後,在110℃下於大氣中實施熱處理1分鐘, 並在顯影步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。
另,對一部分的樣本,在100℃下實施熱處理1分鐘,並在顯 影步驟,藉由顯影液TMAH2.38%在24℃下顯影阻劑層1分鐘。
第52圖為表示以紫外線進行全面曝光時的電子光束之感度曲 線。可以了解藉由電子光束的阻劑材料之感度E0隨著紫外線曝光量的增加而變小。
[實施例30]
採用ZEP520A(日本Zeon股份有限公司製作)作為阻劑層。由AFM測定阻劑層(ZEP520A)的膜厚為300nm。在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流28pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,執行圖案潛像形成步驟。在圖案潛像形 成步驟,使用AS ONE製作的SLUV-6黑光作為圖案潛像形成部,藉由濾波器只以阻劑不吸收的波長(365nm)的光,於大氣中使用0.7mW/h的光源,將以15分、20分、30分、60分的UV進行全面曝光。另,對UV未曝光的系列也以同樣的順序實施。
在顯影步驟,藉由顯影液ZED-N50(日本Zeon股份有限公司 製作),在24℃下顯影阻劑層60秒。
第53圖為表示實施例30中的感度曲線。根據實施例30的結 果,可以了解雖然該波長係不誘發傳統反應的UV光,但相較於只有圖案成型曝光的圖案成型,藉由15分左右的UV曝光能夠高感度化3成左右。
[實施例31]
採用ZEP520A(日本Zeon股份有限公司製作)作為阻劑層。由AFM測定阻劑層(ZEP520A)的膜厚為50nm。在活化步驟,使用日本電子股份有限公司的圖案成型裝置JSM-6500F(裝備電子束熄滅裝置:光柵掃描方式)作為活化裝置,將照射電流30pA、加速電壓30keV的電子束照射於阻劑層。
執行活化步驟之後,執行圖案潛像形成步驟。圖案潛像形成 步驟,以使用AS ONE製作的SLUV-6黑光作為圖案潛像形成部,藉由濾波器只以阻劑不吸收的波長(365nm)的光,於大氣中使用0.7mW/h的光源、以UV進行全面曝光15分鐘。另,對UV未曝光的系列也以同樣的順序實施。
在顯影步驟,藉由顯影液ZED-N50(日本Zeon(股)製)在13℃ 下顯影阻劑層60秒。第54圖為表示所得到的加工圖案之SEM像。
[實施例32]
以採用ZEP520A(日本Zeon股份有限公司製作)作為阻劑層。由AFM測定阻劑層(ZEP520A)的膜厚為50nm。在活化步驟,使用Elionix股份有限公司的圖案成型裝置ELS-7700T(向量掃描方式),將照射電流100pA、加速電壓75kV的電子束照射於阻劑層。
執行活化步驟之後,執行圖案潛像形成步驟。圖案潛像形成 步驟,使用AS ONE製作的SLUV-6黑光作為圖案潛像形成部,藉由濾波器只以阻劑不吸收的波長(365nm)的光,於大氣中使用0.7mW/h的光源將以15分的UV進行全面曝光。另,對UV未曝光的系列也以同樣的順序實施。
在顯影步驟,藉由顯影液ZED-N50(日本Zeon(股)製)在13℃ 下顯影阻劑層60秒。第55圖為表示所得到的加工圖案之SEM像。
[實施例33]
將於甲基丙烯酸甲酯系列高分子添加光酸產生劑0.05M之系列作為新製程用的阻劑材料而做調整。由AFM測定阻劑層的膜厚為70nm。將在Hamamatsu Photonics股份有限公司的電子束曝光裝置(EB-ENGINE)內的真空/惰性氣體環境下照射室中結合LED光元件,從而製作改造為365nm光與電子束能夠同時曝光的裝置之複合曝光裝置。
在活化步驟,使用該電子束作為活化裝置,進一步使用365nm 的LED光作為圖案潛像形成裝置,在真空下使用模板光罩(72μm角),將曝光照射電流5μA/cm2、加速電壓100kV的電子束於阻劑層,進一步曝光輸出0.91mW/h的365nmUV光於阻劑層,並執行活化步驟與圖案潛像形成步驟。
在顯影步驟,在藉由顯影液TMAH2.38%在24℃下顯影阻劑 層60秒。列表顯示實施例33的電子束的曝光量與膜厚。
為了比較,也進行了沒有曝光365nm的UV光的實施。列表顯示這種情況的電子束的曝光量與膜厚。
根據實施例33的結果,與沒有同時照射UV的系列(比較例)相比,藉由同時照射,感度則變成約4倍的高感度化。
以上,參照第1圖~第55圖對本發明的實施方式進行說明。根據本發明的實施方式,能夠維持圖案解析度而實現曝光步驟的輸出率之提高,並達到曝光系統大幅度的低成本化。另,因可以適用於低輸出的光源,故光源裝置、曝光裝置內的消耗元件的壽命能夠變長,也能夠大幅度的減低維護及營運成本。
本發明的阻劑圖案形成方法、阻劑潛像形成裝置、阻劑圖案形成裝置以及阻劑材料,適合用於在基板上形成阻劑圖案的曝光步驟。根據本發明的阻劑圖案形成方法、阻劑潛像形成裝置、阻劑圖案形成裝置以及阻劑材料,能夠提高阻劑的感度。
S101‧‧‧阻劑層形成步驟
11‧‧‧基板
12‧‧‧阻劑層
S103‧‧‧活化步驟
121‧‧‧第一曝光部位
S105‧‧‧衰減抑制步驟
S107‧‧‧圖案潛像形成步驟
122‧‧‧第二曝光部位
S110‧‧‧顯影步驟

Claims (15)

  1. 一種阻劑圖案形成方法,包括:阻劑層形成步驟,其形成阻劑層於基板上;活化步驟,其藉由活化能量光束的照射將活化該阻劑層;衰減抑制步驟,其抑制該阻劑層之活性的衰減;圖案潛像形成步驟,其藉由潛像形成能量光束的照射而形成圖案潛像於該被活化的阻劑層;顯影步驟,其顯影該阻劑層。
  2. 一種阻劑圖案形成方法,包括:阻劑層形成步驟,其形成阻劑層於基板上;活化步驟,其藉由活化能量光束的照射將活化該阻劑層;圖案潛像形成步驟,其為該阻劑層在活化的狀態下,藉由潛像形成能量光束的照射而形成圖案潛像於該被活化的阻劑層;顯影步驟,其顯影該阻劑層。
  3. 如申請專利範圍第1項所述之阻劑圖案形成方法,其中在該衰減抑制步驟,該被活化的阻劑層的氛圍係為惰性氣體氛圍、活性氣體氛圍或真空氛圍。
  4. 如申請專利範圍第1項或第2項所述之阻劑圖案形成方法,其中進一步包含搬運步驟,其將該基板從進行該活化步驟的位置搬運到進行該圖案潛像形成步驟的位置。
  5. 如申請專利範圍第1項或第2項所述之阻劑圖案形成方法,其中:該活化步驟包含區域照射步驟以及/或者圖案形狀照射步驟,該區域照射步驟對該阻劑層內的整個區域照射該活化能量光束,該圖案形狀照射步驟為於該阻劑層內將該活化能量光束照射圖案形狀;該圖案潛像形成步驟包含區域照射步驟以及/或者圖案形狀照射步驟,該區域照射步驟為對該阻劑層內的整個區域照射該潛像形成能量光束,該圖案形狀照射步驟為於該阻劑層內將該潛像形成能量光束照射圖案形狀;在該活化步驟包含該區域照射步驟的情況下,該潛像形成步驟則至少包含該區域照射步驟以及該圖案形狀照射步驟之中的該圖案形狀照射步 驟;在該活化步驟包含該圖案形狀照射步驟的情況下,該圖案潛像形成步驟則至少包含該區域照射步驟以及該圖案形狀照射步驟之中的該區域照射步驟。
  6. 一種阻劑圖案形成方法,包括:阻劑層形成步驟,其形成阻劑層於基板上;安定物質生成步驟,其藉由活化能量光束的照射而生成安定物質於該阻劑層上;圖案潛像形成步驟,其藉由潛像形成能量光束的照射而形成圖案潛像於生成該安定物質的該阻劑層上;顯影步驟,其顯影該阻劑層。
  7. 如申請專利範圍第6項所述之阻劑圖案形成方法,其中進一步含有轉換該阻劑層內的安定物質的轉換步驟。
  8. 一種阻劑潛像形成裝置,該阻劑潛像形成裝置具備活化裝置及圖案潛像形成部,其中該活化裝置具有:活化腔室,其能夠收容阻劑層;活化能量源,其射出為了活化該活化腔室內的該阻劑層的能量光束,該圖案潛像形成部具有:潛像形成腔室,其能夠收容該阻劑層;潛像形成能量源,其射出為了形成圖案潛像於該潛像形成腔室內的該阻劑層上的能量光束。
  9. 如申請專利範圍第8項所述之阻劑潛像形成裝置,其中該活化能量源及該潛像形成能量源中的其中之一所射出的該能量光束照射該阻劑層內的整個區域,該活化能量源及該潛像形成能量源中之另一個所射出的該能量光束係在該阻劑層的該區域內照射圖案形狀。
  10. 如申請專利範圍第8項或第9項所述之阻劑潛像形成裝置,其中該活化腔室及該潛像形成腔室的至少其中之一,以抑制該阻劑層的活性的衰減的方式來調整該阻劑層的周圍環境。
  11. 如申請專利範圍第8項至第10項中任何一項所述之阻劑潛像形成裝 置,其中該活化能量源與該潛像形成能量源中的至少其中之一包含離子束照射部、電子束照射部或電磁波照射部。
  12. 一種阻劑圖案形成裝置,該阻劑圖案形成裝置具備:申請專利範圍第8項至第11項中任何一項所述之阻劑潛像形成裝置;以及顯影裝置,其顯影藉由該阻劑潛像形成裝置而形成有該圖案潛像的阻劑層。
  13. 一種阻劑材料,該阻劑材料包含有含基材樹脂及增感劑前驅物的阻劑組成物,其中該阻劑組成物藉由第一能量光束的照射生成增感劑,且即使照射促進藉由該增感劑的阻劑反應的第二能量光束也不會生成增感劑。
  14. 如申請專利範圍第13項所述之阻劑材料,其中該基材樹脂包含甲基丙烯酸甲酯樹脂。
  15. 如申請專利範圍第13項或第14項所述之阻劑材料,其中該阻劑組成物進一步含有光酸產生劑。
TW103105690A 2013-02-20 2014-02-20 阻劑圖案形成方法、阻劑潛像形成裝置、阻劑圖案形成裝置以及阻劑材料 TWI567788B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013031125 2013-02-20
JP2013211479 2013-10-08

Publications (2)

Publication Number Publication Date
TW201438059A true TW201438059A (zh) 2014-10-01
TWI567788B TWI567788B (zh) 2017-01-21

Family

ID=51391338

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103105690A TWI567788B (zh) 2013-02-20 2014-02-20 阻劑圖案形成方法、阻劑潛像形成裝置、阻劑圖案形成裝置以及阻劑材料

Country Status (7)

Country Link
US (2) US9977332B2 (zh)
EP (1) EP2960926B1 (zh)
JP (3) JP5988115B2 (zh)
KR (2) KR102062966B1 (zh)
CN (1) CN105164789B (zh)
TW (1) TWI567788B (zh)
WO (1) WO2014129556A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI600966B (zh) * 2014-02-21 2017-10-01 東京威力科創股份有限公司 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板
TWI620482B (zh) * 2014-12-18 2018-04-01 英特爾公司 零失準介層墊結構

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160006721A (ko) 2013-05-13 2016-01-19 도요 고세이 고교 가부시키가이샤 시제 및 레지스트의 조성물
KR20160023728A (ko) * 2013-06-24 2016-03-03 도요 고세이 고교 가부시키가이샤 화학종 발생 향상 시제
WO2014208102A1 (en) * 2013-06-27 2014-12-31 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
WO2014208104A1 (en) 2013-06-27 2014-12-31 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species
JP6537118B2 (ja) 2013-08-07 2019-07-03 東洋合成工業株式会社 化学増幅フォトレジスト組成物及び装置の製造方法
EP3109703B1 (en) * 2014-02-21 2020-12-30 Tokyo Electron Limited Photosensitization chemical-amplification type resist material, and method for forming pattern using same
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US9519227B2 (en) * 2014-02-24 2016-12-13 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR)
WO2015125495A1 (en) * 2014-02-24 2015-08-27 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
WO2015127348A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist
KR102402422B1 (ko) * 2014-02-25 2022-05-25 도쿄엘렉트론가부시키가이샤 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술
WO2015129275A1 (en) * 2014-02-26 2015-09-03 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
WO2015129284A1 (en) * 2014-02-28 2015-09-03 Toyo Gosei Co., Ltd. Reagent for Enhancing Generation of Chemical Species
JP6575141B2 (ja) * 2015-05-22 2019-09-18 日本ゼオン株式会社 レジストパターン形成方法および現像条件の決定方法
JP6774814B2 (ja) * 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
JP6809843B2 (ja) 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
JP6512994B2 (ja) 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
US20170059992A1 (en) * 2015-08-26 2017-03-02 Jsr Corporation Resist pattern-forming method and chemically amplified radiation-sensitive resin composition
JP2017045044A (ja) * 2015-08-26 2017-03-02 Jsr株式会社 レジストパターン形成方法及び化学増幅型感放射線性樹脂組成物
JP6507958B2 (ja) 2015-09-10 2019-05-08 Jsr株式会社 化学増幅型レジスト材料及びレジストパターン形成方法
JP2017054116A (ja) 2015-09-10 2017-03-16 Jsr株式会社 レジストパターン形成方法
US10018911B2 (en) * 2015-11-09 2018-07-10 Jsr Corporation Chemically amplified resist material and resist pattern-forming method
US9989849B2 (en) 2015-11-09 2018-06-05 Jsr Corporation Chemically amplified resist material and resist pattern-forming method
JP6552070B2 (ja) * 2015-11-25 2019-07-31 国立大学法人大阪大学 レジストパターン形成方法およびレジスト材料
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
KR102177192B1 (ko) 2016-05-13 2020-11-10 도쿄엘렉트론가부시키가이샤 광 작용제의 사용에 의한 임계 치수 제어
CN109313394B (zh) 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
KR102669150B1 (ko) * 2016-07-27 2024-05-27 삼성전자주식회사 자외선(uv) 노광 장치를 구비한 극자외선(euv) 노광 시스템
JP6726558B2 (ja) * 2016-08-03 2020-07-22 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記録媒体
JP2019168475A (ja) * 2016-08-08 2019-10-03 Jsr株式会社 化学増幅型レジスト材料及びレジストパターン形成方法
CN109843853B (zh) 2016-10-17 2022-09-20 东洋合成工业株式会社 组合物和使用该组合物的设备的制造方法
US10042252B2 (en) 2016-11-30 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US10545408B2 (en) * 2017-08-18 2020-01-28 Varian Semiconductor Equipment Associates, Inc. Performance improvement of EUV photoresist by ion implantation
WO2019060570A1 (en) * 2017-09-22 2019-03-28 Tokyo Electron Limited METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE
WO2019240279A1 (ja) 2018-06-14 2019-12-19 国立大学法人大阪大学 レジストパターン形成方法
US10790251B2 (en) * 2018-06-20 2020-09-29 Micron Technology, Inc. Methods for enhancing adhesion of three-dimensional structures to substrates
WO2020202897A1 (ja) * 2019-03-29 2020-10-08 富士フイルム株式会社 光照射装置、光照射方法、光照射装置の動作方法、及びプログラム
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
CN117355796A (zh) * 2021-06-01 2024-01-05 东京毅力科创株式会社 光致抗蚀剂组合物、抗蚀剂图案的形成方法、半导体装置的制造方法及基板处理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04278955A (ja) 1990-06-05 1992-10-05 Nippon Paint Co Ltd 感光性樹脂組成物の露光方法
US5330882A (en) * 1990-06-05 1994-07-19 Nippon Paint Co., Ltd. Process for exposing a photosensitive resin composition to light
JP3081655B2 (ja) 1991-03-12 2000-08-28 株式会社東芝 レジストパターンの形成方法
JPH0521331A (ja) 1991-07-15 1993-01-29 Toshiba Corp 半導体装置の製造方法
JPH05144693A (ja) 1991-11-18 1993-06-11 Mitsubishi Electric Corp パターン形成方法
JPH0653106A (ja) 1992-07-29 1994-02-25 Nec Corp 微細レジストパターンの形成方法
US5286612A (en) 1992-10-23 1994-02-15 Polaroid Corporation Process for generation of free superacid and for imaging, and imaging medium for use therein
JPH08222501A (ja) 1995-02-13 1996-08-30 Sony Corp 露光方法
JPH09251210A (ja) 1996-03-15 1997-09-22 Toshiba Corp レジストパターンの形成方法
JPH10198036A (ja) 1997-01-09 1998-07-31 Konica Corp 画像形成材料及び画像形成方法
JP3011684B2 (ja) 1997-03-18 2000-02-21 株式会社東芝 近接効果補正方法及び近接効果補正装置
JP2002174894A (ja) 2000-12-07 2002-06-21 Fuji Photo Film Co Ltd 電子線又はx線用ポジ型レジスト組成物
JP4142313B2 (ja) 2002-02-28 2008-09-03 コダックグラフィックコミュニケーションズ株式会社 光重合性組成物、光重合性平版印刷版及びそれを用いた画像形成方法
JP2003330168A (ja) 2002-05-14 2003-11-19 Fujitsu Ltd レジスト組成物、レジストパターンの製造方法、及び半導体装置の製造方法
EP1646075B1 (en) * 2003-07-09 2011-06-15 Nikon Corporation Exposure apparatus and device manufacturing method
JP2005150182A (ja) 2003-11-12 2005-06-09 Matsushita Electric Ind Co Ltd パターン形成方法
US7117685B2 (en) * 2004-08-07 2006-10-10 On Course Solutions, Llc Drinking water cooler
US20060269879A1 (en) 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
JP2007093866A (ja) * 2005-09-28 2007-04-12 Fujifilm Corp 感光性組成物および平版印刷版原版
JP2007248843A (ja) 2006-03-16 2007-09-27 Fujifilm Corp 感光性組成物、感光性フィルム、感光性積層体、永久パターン形成方法、及びプリント基板
JP5246489B2 (ja) 2008-03-28 2013-07-24 富士フイルム株式会社 平版印刷版の製版方法及び平版印刷方法
JP2010079270A (ja) 2008-08-29 2010-04-08 Fujifilm Corp パターン形成方法及びそれに用いる感光性組成物
EP2349993B1 (en) 2008-10-20 2012-12-12 Basf Se Sulfonium derivatives and the use therof as latent acids
JP5421585B2 (ja) * 2008-12-24 2014-02-19 旭化成イーマテリアルズ株式会社 感光性樹脂組成物
JP5611652B2 (ja) 2010-05-06 2014-10-22 信越化学工業株式会社 ネガ型レジスト材料、パターン形成方法及びフォトマスクブランク

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI600966B (zh) * 2014-02-21 2017-10-01 東京威力科創股份有限公司 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板
US10025187B2 (en) 2014-02-21 2018-07-17 Tokyo Electron Limited Photosensitization chemical-amplification type resist material, method for forming pattern using same, semiconductor device, mask for lithography, and template for nanoimprinting
TWI620482B (zh) * 2014-12-18 2018-04-01 英特爾公司 零失準介層墊結構
US10187998B2 (en) 2014-12-18 2019-01-22 Intel Corporation Zero-misalignment via-pad structures
US10645813B2 (en) 2014-12-18 2020-05-05 Intel Corporation Zero-misalignment via-pad structures

Also Published As

Publication number Publication date
EP2960926A4 (en) 2016-11-02
EP2960926B1 (en) 2019-05-29
WO2014129556A1 (ja) 2014-08-28
US10670967B2 (en) 2020-06-02
JP5988115B2 (ja) 2016-09-07
KR20170106653A (ko) 2017-09-21
TWI567788B (zh) 2017-01-21
JP6309580B2 (ja) 2018-04-11
KR102062966B1 (ko) 2020-01-06
US9977332B2 (en) 2018-05-22
JPWO2014129556A1 (ja) 2017-02-02
JP5881093B1 (ja) 2016-03-09
KR20150125964A (ko) 2015-11-10
KR101779683B1 (ko) 2017-09-18
CN105164789A (zh) 2015-12-16
US20160004160A1 (en) 2016-01-07
US20180231892A1 (en) 2018-08-16
EP2960926A1 (en) 2015-12-30
JP2016206680A (ja) 2016-12-08
JP2016035582A (ja) 2016-03-17
CN105164789B (zh) 2018-04-20

Similar Documents

Publication Publication Date Title
TWI567788B (zh) 阻劑圖案形成方法、阻劑潛像形成裝置、阻劑圖案形成裝置以及阻劑材料
CN108292094B (zh) 抗蚀剂图案形成方法和抗蚀剂材料
TWI553417B (zh) 用於可顯像的底部抗反射塗層與經染色的植入光阻之化學放大方法及技術
JP6386546B2 (ja) レジストパターン形成方法およびレジスト材料
TW201809881A (zh) 藉由使用光敏化學品或光敏化學增幅型光阻劑之臨界尺寸控制
US20160170309A1 (en) Light exposure method, and light exposure apparatus
KR102590254B1 (ko) 레지스트패턴 형성방법
JP6262891B2 (ja) 露光方法
JP2015127837A (ja) 露光方法および露光装置