JP6895600B2 - 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術 - Google Patents

現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術 Download PDF

Info

Publication number
JP6895600B2
JP6895600B2 JP2016570932A JP2016570932A JP6895600B2 JP 6895600 B2 JP6895600 B2 JP 6895600B2 JP 2016570932 A JP2016570932 A JP 2016570932A JP 2016570932 A JP2016570932 A JP 2016570932A JP 6895600 B2 JP6895600 B2 JP 6895600B2
Authority
JP
Japan
Prior art keywords
layer
exposure
photoresist layer
arc
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016570932A
Other languages
English (en)
Other versions
JP2017507372A (ja
Inventor
シェーア,スティーヴン
カーカシ,マイケル,エー
ラスサック,ベンジャミン,エム
ソマーヴェル,マーク,エイチ
フーゲ,ジョシュア,エス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2017507372A publication Critical patent/JP2017507372A/ja
Application granted granted Critical
Publication of JP6895600B2 publication Critical patent/JP6895600B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Electromagnetism (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

関連出願の相互参照
本出願は、2014年2月25日に出願された“Chemical Amplification Methods and Techniques for Developable Bottom Anti−reflective Coatings and Dyed Implant Resists”という名称の米国仮特許出願第61/944,394号明細書の利益を主張し、その全体が参照により本明細書に援用される。
現像液可溶性底部反射防止コーティング(Developer−soluble Bottom Anti−reflective Coating)(DBARC)技術では、BARCオープンエッチングを必要とせずに基板の反射制御を行う独自の性質が得られるため、電子デバイス製造用途において魅力的である。インプラント(implant)リソグラフィは、特に193nmインプラント層の場合に、改善された反射制御が得られるため、DBARC技術の利点が得られる。DBARC技術では、従来のリソグラフィのBARCの代わりに、BARCオープンエッチングステップが不要となるDBARCを使用することによって、全フォトリソグラフィ層の所有コストも削減される。したがって、反射制御を改善し、かつコストを削減する技術が望ましいものであり得る。
本開示は、主として電子デバイスの製造に使用されるフォトリソグラフィ、特にインプラント層リソグラフィのためのDBARCプロセスの一体化およびCD/プロファイル制御に有用な材料およびプロセスの改善に関する。感光性材料中の酸の生成を改善する方法(approach)の1つは、露光(exposure)プロセス中に生じ得る化学反応を増幅させる化合物を混入することであってよい。この方法の一例は、感光性材料またはレジスト中の化学反応を増加させることができる化学増幅レジスト(CAR)であってよい。これによって、レジスト中の化学物質濃度は、行われる光露光(light exposure)の量および種類を考慮すると、他の場合よりも大きく増加させることができる。感光性材料としては、フォトレジスト、着色(dyed)フォトレジスト、または反射防止コーティング膜を挙げることができるが、これらに限定されるものではない。
現像液可溶性底部反射防止コーティング(DBARC)技術は、BARCオープンエッチングプロセスを必要とせずに基板の反射制御を行う独自の性質のために業界で検討されている。DBARCに関して、DBARCのための少なくとも3つの実施経路が存在する:
1)ポリアミド酸(polyamic acid)誘導体などの等方性現像を示す非感光性(non-photosensitive)現像液可溶性系。
2)メタクリル酸ベンジル(BMA)およびメバロン酸(mevalonic)ラクトンメタクリレート(MLMA)などの溶解性を得る(develop)ための感光性開裂反応を開始する感光性DBARC、またはヒドロキシル含有ポリマーを有するビニロジー(vinylozy)材料などの可溶性を得る(develop)ための架橋/脱架橋反応を開始する感光性DBARC。
3)光酸発生剤なし(PAGなし)のDBARCにおけるフォトレジスト誘導現像挙動。この第3の方法において、DBARCは、本来感光性ではなく、現像のための露光したフォトレジストからの光酸の拡散に依存する。あるいは、酸増幅技術をフォトレジストに適用することもでき、特許請求の範囲はDBARC用途に限定されるものではない。
前記3つの経路の2つにおいて、方法の1つは、フォトレジストの代わりに、またはフォトレジストに加えて感光性化学増幅レジスト(PS−CAR)化学をDBARC自体に組み込むことによって実施できる。この方法は、PS−CAR化学/UVフラッド露光量からのDBARC中の酸濃度増幅により残存する有機残渣をなくすのに役立つ場合がある。この技術により、UVフラッド露光量によってより容易な露光ラチチュードの適合/制御を可能にする独立したDBARC CD制御ノブ(knob)も可能になる。PAGなしのDBARCにおけるフォトレジスト誘導現像挙動を利用できるDBARC実施経路3に関して。別の1方法は、PSCAR化学(chemistries)をフォトレジスト中に含むこと(incorporate)、且つ、レジスト/BARC界面における高い酸濃度を保証するために反射率制御を使用することであってよい。この実施形態は、レジスト/DBARC拡散プロセスによるDBARC中のより高い酸濃度のために残存する有機残渣をなくすのにも役立ち得る。これによって、UVフラッド露光量によってより容易な露光ラチチュードの適合/制御を可能にする独立したDBARC CD制御ノブが可能になる。
DBARCおよび/またはフォトレジスト層中の酸濃度を選択的に制御するために、いくつかの可能性のある方法が存在し得る。1つの方法は、PS−CAR化学を形成または変化させてPAGまたは別の化合物を誘導し、ある膜界面が別の膜界面よりも高い親和性を有するようにすることである。このようにして、PAGまたは別の化合物は、表面エネルギーまたは化学ポテンシャルの差に少なくとも部分的に基づいて、膜中の位置に拡散または移動することができる。あるいは、膜スタックは、膜スタック内の特定の位置に光吸収要素(例えば、発色団(chromophores))を含むことができる。光吸収要素は、光を吸収して、そのエネルギーを隣接する化学物質に伝達することができ、それを利用して光吸収要素の近傍で酸を生成することができる。このようにして、膜中または膜スタックの界面での酸の生成は、膜または膜スタックの所望の領域中で、光吸収材料を含まない場合がある別の領域中よりも高い酸濃度が得られるように制御できる。膜または膜スタック中でより高い酸濃度を得るための別の方法の1つは、膜スタックの選択された領域により高いレベルのエネルギーを選択的に導入することであってよい。例えば、重ね合わせの原理の下で、膜スタック中の入射光と反射光との強め合う干渉によって、光波長、膜の屈折率、および/または膜の厚さに少なくとも部分的に基づいて、膜スタックの局所領域中でより高いレベルのエネルギーを得ることができる。強め合う干渉領域中の光は、より多い量のエネルギーを膜中に伝達することができ、それによって、その領域中では、強め合う干渉が起こらないか、またはより弱く起こり得る膜の別の部分よりも多い量の酸を生成することができる。別の1方法は、前述の方法の1つ以上の組合せを使用することであってよい。
膜スタックは、フォトレジスト層と基板上の下層(under layer)との間に配置された反射防止コーティング(ARC)層を含むことができる。ARC層またはフォトレジスト層中にPS−CAR化学(chemistry)を含むことができる。膜スタック中の酸の生成は、フォトレジスト層を現像できるときに開口部の形状が最適化されるように、ARC層またはフォトレジスト層中で行うことができる。膜スタックの化学および/または物理的構成を光露光プロセスとともに最適化することで、膜スタックの選択された領域中でより高い濃度の酸を選択的に生成することができる。
一実施形態において、ARC層またはフォトレジスト層は、露光プロセス中に生じ得る化学反応を増幅させることができる化合物を含むことができる。この方法の一例は、感光性材料またはフォトレジスト中の化学反応または化学的濃度を増加可能なPS−CARの使用であってよい。フォトレジスト中の化学的濃度は、2段階露光プロセスを用いて制御することができる。
第1の露光は、レジスト中の化学物質の反応によって光増感剤(PS)と、光酸発生剤(PAG)から生成される酸とを生成するパターニング露光であってよい。第1の露光プロセスは、PSによる吸光度が最大化され、PAGの吸光度が最大化されるように調整する(tuned)ことができる。これは、一実施形態においては300nm未満の光波長を選択することによって実現できる。第2の露光は、PSを励起させることができ、それによって追加のPAGを分解させ、露光パターン領域中の酸を増幅を得ながら、第1の露光の暗い領域、すなわち未露光領域中の酸濃度を最低限にすることができる。一実施形態において、2段階露光プロセスは、2つの異なる波長(例えば、極紫外(EUV)、紫外光)を使用することができる。パターニング露光はEUVまたはUVスペクトル波長を使用することができ、フラッド露光はUVスペクトル波長を使用することができる。PS−CAR化学は、ARC層またはフォトレジスト層中の酸の生成を第1の酸濃度に制御する第1の光波長活性化閾値を有するように構成することができる。第2の露光では、第1の酸濃度を第2の酸濃度まで増加させる第2の光波長活性化閾値を有するPS−CAR化学に起因して、第1の酸濃度からより高い第2の濃度を生成させることができる。
別の一実施形態において、膜スタックの所望の領域中で強め合う干渉を誘導するために膜スタックの構造を変化させることによって、膜スタック中で酸を選択的に生成することができる。これは、層の厚さ、層の屈折率、および/または光波長および/または線量(dose)を最適化することによって行うことができる。別の一実施形態では、光吸収材料(例えば、発色団)を選択的に含む膜スタックの局所領域中に光を吸収させることができる。
本明細書に含まれ本明細書の一部を構成する添付の図面は、本発明の実施形態を例示するものであり、前述の本発明の概要、および後述の詳細な説明とともに、本発明を説明する機能を果たす。さらに、参照番号の一番左の桁の数字は、その参照番号が最初に現れる図を示している。
光波長の第1の範囲(a first range of light wavelengths)に露光した後のPS−CAR反射防止コーティング(ARC)層の化学的濃度(concentration)プロファイルと、光の第1の波長のパターニング露光を受ける基板上の膜スタックの断面図とを示す。 光波長の第2の範囲に露光した後の図1A中のPS−CAR ARC層の化学的濃度(concentration)プロファイルと、光波長の第2の範囲のフラッド露光を受けるその基板上の膜スタックの断面図とを示す。 光波長の第2の範囲に露光した後のPS−CARフォトレジスト層の化学的濃度プロファイルと、光波長の第2の範囲へのフラッド露光が行われているその基板上の膜スタックの断面図とを示す。 光波長の第2の範囲に露光した後のPS−CARフォトレジスト層の化学的濃度プロファイルと、光波長の第2の範囲へのフラッド露光が行われているその基板上の膜スタックの断面図とを示す。 膜スタック(例えば、フォトレジスト層)の2段階露光プロセスのための方法のフローチャートと、その方法の一実施形態の添付の断面図とを含む。 別の膜スタック(例えば、フォトレジスト層およびARC層)の2段階露光プロセスのための方法のフローチャートと、その方法の一実施形態の添付の断面図とを含む。 別の膜スタック(例えば、フォトレジスト層およびARC層)の2段階露光プロセスのための方法のフローチャートと、その方法の一実施形態の添付の断面図とを含む。
以上の説明は単に本発明の例であることを理解すべきである。本発明から逸脱することなく当業者が種々の代替形態および修正形態を考案することができる。したがって、本発明は、添付の特許請求の範囲内のそのようなすべての代替形態、修正形態、および変形形態を含むことが意図される。
半導体の製造において、基板上へのフィルムの堆積、パターン化、およびエッチングによって電子デバイスを製造するためにフォトレジストまたは感光性材料が使用されている。デバイスの性能および歩留まりを改善するため、電子デバイスの寸法を縮小し、および画像品質(例えば、解像度、ライン幅ラフネス、および感度)を維持する。極紫外(EUV)フォトリソグラフィは、既存のフォトレジスト化学に影響を与え得る固有の制限を有する寸法を縮小するための1方法である。例えば、EUVフォトレジストでは、EUV光源のより低い出力を補償しながら、レジストの感度および画像解像度を維持する必要が生じ得る。このより低い出力の制限に対処する1方法は、Seiichi Tagawaらによる“Super High Sensitivity Enhancement by Photo−Sensitized Chemically Amplified Resist(PS−CAR) Process”という名称の論文、Journal of Photopolymer Science and Technology,vol.26(2013)No.6,pg.825−830に記載されるような感光性化学増幅レジスト(photosensitive chemically amplified resist, PS−CAR)を使用するステップを含むことができる。PS−CARの使用によってEUV処理が可能になる可能性が生じているが、さらなる技術を使用して、フォトレジスト層またはARC層の吸着および反射率の制御を改善して、EUV処理の画像品質を改善することができる。しかし、これらの技術はEUV処理に限定されない場合があり、UVフォトリソグラフィ処理に使用することもできる。
PS−CAR化学は、フォトレジストがコーティングされた基板に露光される光の種類に少なくとも部分的に基づいて異なる濃度の酸および/または光増感剤(PS)を生成することができる2段階露光プロセスによって可能となり得る。酸は、光がフォトレジスト中のPAGと相互作用するときに生成され得、PSは、フォトレジスト中に混入され得るPS発生剤と光とが相互作用するときに生成され得る。
PS−CARフォトレジストは、光増感剤発生化合物および光酸発生(PAG)化合物を含むことができる。光増感剤分子は、光エネルギーを吸収して、その光エネルギーを別の分子(例えば、PAG)に伝達することができる。あるPS化合物は基底状態でエネルギーを伝達することができ、別のものは励起状態で伝達を行うことができる。PS発生化合物としては、限定するものではないが、アセトフェノン、トリフェニレン、ベンゾフェノン、フルオレノン(flourenone)、アントラキノン、フェナントレン,またはそれらの誘導体を挙げることができる。光酸発生剤(PAG)は、吸収した光エネルギーを化学エネルギー(例えば、酸性反応)に変換可能なカチオン光開始剤であってよい。酸発生化合物としては、限定するものではないが、以下のものの少なくとも1つを挙げることができる:トリフェニルスルホニウムトリフレート、トリフェニルスルホニウムノナフレート、パーフルオロオクチルスルホン酸トリフェニルスルホニウム、トリアリールスルホニウムトリフレート、トリアリールスルホニウムノナフレート、パーフルオロオクチルスルホン酸トリアリールスルホニウム、トリフェニルスルホニウム塩、トリアリールスルホニウム塩、ヘキサフルオロアンチモン酸トリアリールスルホニウム塩、N−ヒドロキシナフタルイミドトリフレート、1,1−ビス[p−クロロフェニル]−2,2,2−トリクロロエタン(DDT)、1,1−ビス[p−メトキシフェニル]−2,2,2−トリクロロエタン、1,2,5,6,9,10−ヘキサブロモシクロドデカン、1,10−ジブロモデカン、1,1−ビス[p−クロロフェニル]2,2−ジクロロエタン、4,4−ジクロロ−2−(トリクロロメチル)ベンズヒドロール、1,1−ビス(クロロフェニル)2,2,2−トリクロロエタノール、ヘキサクロロジメチルスルホン、2−クロロ−6−(トリクロロメチル)ピリジン、またはそれらの誘導体。
図1Aおよび1Bを参照すると、図1Aは、第1の露光後の酸106およびPS108の濃度のグラフ100と、基板104上の膜スタックの断面図102とを含む。図1Bは、第2の露光後の酸120およびPS122の濃度のグラフ118と、基板104上の膜スタックの断面図126とを含む。一実施形態において、第1の露光は、300nm未満であってよい大部分の光114の波長を有することができ、第2の露光の一部は、300nmより大きくてよい光波長の大部分を有すことができる。
図1A中のグラフ100は、第1の露光の結果を示しており、PS−CARは、第1の露光中に比較的より低い濃度の酸106およびPS108を生成するように構成することができる。第1の露光光波長の光は、初期量の酸106および初期量のPS108を生成する比較的より低いエネルギーを有することができる。グラフ100は、説明の目的で使用され、2段階プロセス中の濃度差を示すことを意図しており、第1または第2の露光中の濃度の規模および範囲の限定を意図したものではない。PAGは第1の露光中に完全に分解したり完全に酸に変換されたりしない場合があり、第2の露光によってARC層128中の酸濃度が増加し得ることを強調することを意図している。
一実施形態において、膜スタックは、反射防止コーティング(ARC)層128と、基板104上に形成または堆積された下層112上に堆積されたフォトレジスト層110とを含むことができる。ARC層128は、PS−CAR化学を含むことができるが、それに限定されるものではなく、光の反射率または吸光度を制御するために使用できるさらなる要素を有することができる。第1の露光中、第1の波長の光114がパターンマスク116を通して露光されるときに、初期量の酸106および初期量のPS108を生成することができる。PS−CAR化学反応は、光114がARC層128の露光領域と相互作用するときに開始する。光114がARC層128と相互作用しない未露光領域では、PS−CAR化学反応が起こらない場合があり、または露光領域と比較して最小限または無視できる量で起こる場合がある。断面図102に示されるように、酸/PSの濃度は、ARC層128の露光領域でより高くなり得る。この特定の実施形態において、酸/PSの濃度は、ARC層128と下層112との界面、またはARC層128とフォトレジスト層110との界面でより高くなり得る。ピーク酸/PS濃度の位置は、膜スタックおよび露光の特性により変動することがある。
図1B中、第2のグラフ118は、ARC層128の第2の露光後に酸120濃度および/またはPS濃度122がより高いレベルにあることを示している。第2の露光は、第2の範囲の光124の波長のフラッド露光またはブランケット露光であってよい。しかし、PS122は、酸濃度またはPAGの分解速度を増加させる。PS122は、第1の露光中に第1の波長114に露光したフォトレジスト層110の部分に位置することができる。したがって、第2の露光の断面図126に示されるように、酸濃度120は、第1の露光の未露光領域よりもそれらの位置で高くなり得る。
Seiichi Tagawaらによる“Super High Sensitivity Enhancement by Photo−Sensitized Chemically Amplified Resist Process”,Journal of Photopolymer Science and Technology,Vol.26,Number 6(2013),pgs.825−830に記載の技術に加えて、ARC層128中の酸濃度122の量または酸濃度の位置を制御するためにフォトレジスト層110、ARC層128、および/または下層112の種々の性質を使用して、酸の濃度122および位置を最適化することができる。これらの技術によって、露光ステップ中にPAG/PSに伝達可能なエネルギー量を増加させて、フォトレジスト層110の現像後のプロファイルまたは形状を調整することができる。
別の実施形態では、パターニング露光を使用して、膜スタックの異なる領域中に選択的に酸を生成することができる。1方法では、酸発生剤または促進剤の位置は、膜スタック中の局所領域に向かわせることができる。広義には(broadly)、これは、ARC層128またはフォトレジスト層110の化学組成または特性を変化させることを含むことができる。この方法は、PS−CAR化学を形成または変化させてPAGまたは別の化合物を誘導し、ある膜界面が別の膜界面よりも高い親和性を有するようにすることを含んでよいが、これに限定されるものではない。これによって、PAGまたは別の化合物は、表面エネルギーまたは化学ポテンシャルの差に基づいて拡散または移動することができる。
別の1方法では、より高いレベルのエネルギーをARC層128またはフォトレジスト層110に選択的に伝達して、それらの選択位置でより高い濃度の酸を生成することができる。一実施形態において、膜スタックは、膜スタック中の特定の位置に光吸収要素(例えば、発色団)を含むことができる。光吸収要素は、光を吸収して、そのエネルギーを隣接する発生剤化学物質に伝達することができ、それを利用して光吸収要素の近傍で酸を生成することができる。このようにして、膜中または膜スタックの界面での酸の生成は、膜または膜スタックの所望の領域中で、光吸収材料を含まない場合がある別の領域中よりも高い酸濃度が得られるように制御できる。
一実施形態において、ARC層128またはフォトレジスト層110は、PSCAR化学の不均一な組み込みを含むことができる。これは、ARC層128またはフォトレジスト層110中でのPSCAR化学の分離能力(segregation capabilities)の導入を含むことができる。これは、通常、個別のARC層128またはフォトレジスト層110の化学成分を調整して(tailoring)、膜スタック中の界面に対して異なる親和性を有するようにすることを含む。分離能力は、コーティングおよびベークの装置を調整して、化学成分の分離(separation)のための推進力を付与することによって増加させることができる。一実施形態は、より高い濃度のPSCAR化学/光増感剤を基板104(Si、酸化物、窒化物など)まで移動させて、基板表面付近のARC層128の脱保護に十分な酸の存在を確保しやすくし、ARC層128またはフォトレジスト層110/基板104界面において有機残渣を確実に残存させないことであってよい。別の一実施形態は、より高い濃度のPSCAR化学/光増感剤をARC層128/フォトレジスト層110界面まで移動させて、ARC層128/フォトレジスト層110界面付近のARC層128の脱保護に十分な酸の存在を確保すること、および/またはARC層128の壁の最上部から底部までのテーパーを保証することであってよい。これらの実施形態は、シャドーイング効果を防止するためにテーパーレジスト/ARC側壁が好ましい場合の角度付きインプラント(implants)に使用することができる。さらに、これらの実施形態では、UVフラッド露光量の選択によってより容易な露光ラチチュードの適合/制御を可能にする独立したARC CD制御ノブも可能になる。この実施形態は、光増感剤/UVフラッド励起および後のARC PAG分解プロセスによるARC中のより高い酸濃度のために残存する有機残渣をなくすのに役立つ可能性もあり得る。最後に、この実施形態では、さらなる側壁角度(SWA)制御も可能となり、このことはシャドーイング効果を防止する角度付きインプラントのためのプロファイル(テーパー)の調整に有用となり得る。
別の一実施形態において、ARC層128またはフォトレジスト層110は、UVフラッド波長に特異的な1種類以上の発色団/染料を含むことができ、これは、画像露光波長におけるフォトレジストの反射防止制御に悪影響を生じさせることなく、感光性ARC中のPS−CARおよび光増感剤の活性化/濃度を操作することを目的としている。これは、フォトレジスト層110中で依然として反射防止制御を可能にするARC層128用発色団を選択するステップを含むことができる。これによって、ARC層128またはフォトレジスト層110中の異なる領域で調整されるUVフラッド吸収効果のために最高強度も可能となり得る。UVフラッド特異的発色団を選択することによって、より高い濃度のPSCAR化学/光増感剤をARC/レジスト界面まで移動させて、ARC/レジスト界面付近のARC層128の脱保護に十分な酸の存在を確保しやすくして、ARCの壁の上部から底部までのテーパーを保証することができる。これは、シャドーイング効果を防止するためにテーパーレジスト/ARC側壁が好ましい場合の後の角度付きインプラント処理に有用となり得る。この実施形態では、UVフラッド露光量の選択によって、ARCおよびフォトレジストのより容易な露光ラチチュードの適合/制御を可能にする独立したARC CD制御ノブも可能になる。
発色団は、光の特定の波長を吸収するように選択することができ、それによってARC層128および/またはフォトレジスト層110中に反射し得る量または光波長を最適化することができる。典型的には、発色団は、一般に、炭素元素と、H、O、N、Bl、Br、S、またはIの元素の少なくとも1種類以上とを含む有機化合物である。発色団化合物の最大吸光度波長は135nm〜400nmで変動し得る。下層112が反射することができる、またはフォトレジスト層110の上層(図示せず)が吸収することができる望ましくない光124の波長が除去されるように、1つ以上の発色団を選択することができる。発色団化合物としては、CH−CH、CH、CHOH、またはCH−SHを挙げることができるが、これらに限定されるものではない。種類および濃度に加えて、ARC層128またはフォトレジスト層110中での発色団の位置も反射率に影響を与える場合がある。発色団の最高濃度がARC層128またはフォトレジスト層110中のいずれに存在するかに少なくとも部分的に基づいて、反射率は影響を受け得る。最高濃度は、フォトレジスト層110または下層112中の任意の箇所に位置することができる。一般に、高濃度領域と低濃度領域との間の濃度差は体積、質量、またはモルを基準として少なくとも5%を超え得る。
膜または膜スタック中でより高い酸濃度を選択的に得るための別の1方法は、膜スタックの性質、入射光、および/または下層112からの反射光を利用して、膜スタックの選択された領域でより高いレベルのエネルギーを誘導することであってよい。例えば、重ね合わせの原理の下で、膜スタック中の入射光と反射光との強め合う干渉によって、光波長、膜の屈折率、および/または膜の厚さに少なくとも部分的に基づいて、膜スタックの局所領域中でより高いレベルのエネルギーを得ることができる。強め合う干渉領域中の光は、より多い量のエネルギーを膜中に伝達することができ、それによって、その領域中では、強め合う干渉が起こらない場合がある膜の別の部分よりも多い量の酸を生成することができる。別の1方法は、前述の方法の組合せを使用することであってよい。
一実施形態において、光増感剤が活性化されるようにUVフラッド波長、膜スタック厚さ、および膜スタック屈折率を調整しながら、ARC層128またはフォトレジスト層110中で優先的に生じるように入射および反射UVフラッド光の干渉による最強強度を調整することができる。より高い濃度のPSCAR化学/光増感剤が膜スタックの少なくとも1つの界面に移動するように、上記変量(例えば、波長など)を調整することができる。一実施形態においては、より高い酸濃度によって、下層112付近のARC層128の脱保護に十分な酸の存在を確保しやすくして、ARC/下層界面に有機残渣を確実に残存させないことができる。別の一実施形態においては、上記変量を調整して、より高い濃度のPSCAR化学/光増感剤をARC/フォトレジスト界面まで移動させて、ARC/フォトレジスト界面付近のARC層128の脱保護に十分な酸の存在を確保しやすくすることで、ARCの壁の上部から底部までのテーパーを保証することができる。これらの実施形態は、シャドーイング効果を防止するためにテーパーフォトレジスト/ARC側壁が好ましい場合の角度付きインプラント中に使用することができる。この実施形態によって、UVフラッド露光量の選択によってより容易な露光ラチチュードの適合/制御を可能にする独立したARC CD制御ノブが可能になる。この実施形態は、光増感剤/UVフラッド励起および後のARC PAG分解プロセスによるARC層128中のより高い酸濃度のために残存する有機残渣をなくすのに役立つ可能性もあり得る。最後に、この実施形態では、さらなるSWA制御が可能となり、このことはシャドーイング効果を防止する角度付きインプラントのためのプロファイル(テーパー)の調整に有用となり得る。
この実施形態において、ARC層128またはフォトレジスト層110の屈折率(RI)の選択の調整/共最適化を利用して反射率を制御することができ、感光性ARC層128またはフォトレジスト層110中でのPSCAR光増感剤の活性化/濃度の操作を行うことができる。これは、フォトレジスト層110中での反射防止制御が依然として可能なARC RIであって、ARC層128またはフォトレジスト層110中に優先的に位置するように入射および反射UVフラッド光の干渉による最強強度を調整することもできるARC RIを選択することを含むことができる。例えば、ARC層128の屈折率Aを選択することによって、より高い濃度のPSCAR化学/光増感剤をARC/下層界面まで移動させ、下層112付近のARC層128の脱保護に十分な酸の存在を確保しやすくして、ARC/下層界面に有機残渣を確実に残存させないことができる。別の場合では、ARC層128の屈折率Bを選択することによって、より高い濃度のPSCAR化学/光増感剤がフォトレジスト/ARC界面で可能となり、ARC/フォトレジスト界面付近のARC層128の脱保護に十分な酸の存在を確保しやすくなり、ARCの壁の上部から底部までのテーパーを保証できる。一実施形態において、二次露光(例えば、>200nm)の場合の屈折率は、膜スタックの組成および特性によって0.95〜1.7で変動し得る。別の実施形態において、二次露光(例えば、約193nm)の場合の屈折率は、膜スタックの組成および特性によって1.7を超え得る。
ARC層128またはフォトレジスト層110中の酸濃度を選択的に制御するために、前述の技術を単独または組み合わせて使用することができる。例えば、一実施形態において、膜スタックは、膜スタックの少なくとも1つの界面に発色団/染料(dyes)を混入し、膜スタックの1つ以上の界面が異なる親和性を有するように少なくとも1つの膜スタック層の化学成分を調整することを含むことができる。これらの技術は、フォトレジスト層110にPS−CAR化学が含まれる実施形態にも使用することができ、ARC層128は膜スタック中に存在してもしなくてもよい。
図1Cは、図1Aおよび1Bの実施形態と同様の膜スタックの断面図130を示しているが、この実施形態は、ARC層128の代わりにフォトレジスト層110中にPS−CAR化学を組み込んでいる。この断面図は、図1Aの説明と同様のパターニング露光の後の膜スタックの後フラッド露光の条件を反映している。したがって、化学的濃度プロファイル(例えば、酸120、PS122)は、ARC層128の代わりにフォトレジスト層110中で生じる。ARC層128中の酸の位置および/または濃度を制御するための前述の技術は、フォトレジスト層110中の酸の位置および/または濃度を制御するために使用することもできる。
図1Dは、図1Aおよび1Bの実施形態と同様の膜スタックの断面図132を示しているが、この実施形態では、PS−CAR化学がフォトレジスト層110中に含まれ、ARC層128が膜スタックから除外されている。この断面図は、図1Aの説明と同様のパターニング露光の後の膜スタックの後フラッド露光の条件を反映している。したがって、化学的濃度プロファイル(例えば、酸120、PS122)はフォトレジスト層110中で生じる。ARC層128中の酸の位置および/または濃度を制御するための前述の技術は、フォトレジスト層110中の酸の位置および/または濃度を制御するために使用することもできる。フォトレジスト層110は、基板104のパターン化に使用可能なあらゆる種類の感光性材料を含むことができる。フォトレジスト層110としては、ポジ型フォトレジスト、ネガ型フォトレジスト、ドープフォトレジスト、または着色フォトレジストを挙げることができるが、これらに限定されるものではない。
図1A〜1Dに示される膜スタックの実施形態の間の違いのため、種々の組成(例えば、PAG)または特性(例えば、厚さ、RIなど)を有し得る膜スタックのパターン化のためにはプロセス条件が異なる場合がある。説明を容易にするため、フォトレジスト層110およびARC層128の現像に使用した方法の説明は、3つの主要な実施形態を含んでいる。図1A/1Bの実施形態は、フォトレジスト層110と下層112との間に配置されるARC層128中に酸発生化合物を含む。図1Cの実施形態は、ARC層128上に配置されるフォトレジスト層110中に酸発生化合物を含む。最後に、図1Dの実施形態は、ARC層128を含まない膜スタックの場合のフォトレジスト層110中に酸発生化合物を含む。
図2は、膜スタック(例えば、フォトレジスト層110あり、ARC層128なし)の2段階露光プロセスのための方法のフローチャート200および付随する断面図202を含む。この実施形態において、PS−CAR化学はフォトレジスト層110中に組み込むことができる。フォトレジスト層110は、基板104のパターン化に使用可能なあらゆる種類の感光性材料を含むことができる。フォトレジスト層110としては、ポジ型フォトレジスト、ネガ型フォトレジスト、ドープドフォトレジスト、または着色(dyed)フォトレジストを挙げることができるが、これらに限定されるものではない。2段階露光プロセスは、フォトレジスト層110中のPS−CAR要素からの異なる応答を可能にするために使用することができる。PS−CAR要素は、フォトレジスト層110中の感光特性を変化させ得る酸を発生するために使用できる、PS−CAR化学、酸発生剤、またはPAGを含むことができる。フローチャート200の方法は、フォトレジスト層110の堆積、パターン化、露光、および/または現像が可能な1つ以上のプロセスチャンバーまたはツールによって行うことができる。
ブロック204において、基板104は、基板104上にフォトレジスト層110を供給できるコーティングツールによって受け取られることができる。基板104は、フォトレジスト層110を用いてパターン化可能な1つ以上の下層112を含むことができる。基板104の表面から光がどのように反射し得るかを制御するために、下層112の厚さおよび組成を最適化することができる。一実施形態において、下層112の種類は、下層112の屈折率および厚さに基づいて選択することができる。フォトレジスト層110の性質(例えば、屈折率、厚さなど)を用いて、これらの特徴を最適化して、2段階露光プロセス中にエネルギーがどのようにフォトレジスト層110に伝達し得るかを制御することができる。
ブロック206において、下層112上にフォトレジスト層110を堆積または供給することができる。フォトレジスト層110は、選択位置および異なる濃度で酸を生成するためにフォトレジスト層110中で化学反応を制御された順序で可能にし得る2つ以上の光波長活性化閾値を含むことができる。フォトレジスト層110は、光114の波長および/または線量によって異なる濃度または量の酸を生成できるPS−CAR化学を含むことができる。例えば、第1の範囲内の波長の光114をフォトレジスト層110に露光するとPS−CAR化学によってフォトレジスト層110中に第1の量の酸106が生成され得る。しかし、PS−CAR化学は、部分的に分解することがあり、PS−CAR化学が波長の第2の範囲内の光に露光すると、依然としてさらに酸を生成することができる。
一実施形態において、第1および第2の範囲の光114/124の波長は、互いに排他的であってよく、波長の重なり合いを全く含まなくてよい。例えば、第1の範囲は200nm未満であってよく、第2の範囲は200nm以上であってよい。別の実施形態においては、第1の範囲が100nm未満となることができ、第2の範囲が5nmを超えることができるように、波長が重なり合ってよい。特定の一実施形態において、光114波長の第1の範囲は、30nm未満(例えば、13.5nm)であってよく、光114波長の第2の範囲は200nmを超えてよい。別の特定の一実施形態においては、光114波長の第1の範囲は193nm以下であってよく、光114波長の第2の範囲は200nmを超えてよい。別の特定の一実施形態においては、第1の範囲の波長は248nm以下であり、第2の範囲の波長は200nmを超える。フォトレジスト層110は、乾式リソグラフィまたは液浸リソグラフィ処理またはKrFレーザーリソグラフィ処理またはEUVリソグラフィ処理に使用することができる。
発色団に加えて、反射率は、フォトレジスト層110および/または下層112の屈折率および/または厚さの影響を受ける場合がある。屈折率は、媒体中に伝播するときに光124がどの程度曲がるか、すなわち屈折するかの定量的な表現になり得る。屈折率は、真空中の光速と媒体中の光速との間の比となることができる。光124の方向を変えることによって、より多くのエネルギーをフォトレジスト層110および/または下層112中に伝達することが可能となり得る。例えば、光は、膜スタックのより長い部分に沿って反射させることができ、または下層112および/または基板104から反射させてフォトレジスト層110中に戻すことができる。入射光124と反射光(図示せず)との間の干渉のために、入射光および反射光によってより多くのエネルギーを膜スタック中に発生させることができる。膜スタック成分(例えば、フォトレジスト層110、下層112、および/または基板104)の屈折率および/または厚さを最適化することによって、膜スタック中の所望の位置で発生するように干渉位置を最適化することができる。一実施形態において、フォトレジスト層110中の入射光と反射光との間でより強い程度で強め合う干渉を生じさせるために、フォトレジスト層110は、フォトレジスト層厚さ、光波長、および/または下層の反射率とともに調整可能な上部(upper)界面屈折率を含むように選択することができる。この場合、最大量の干渉は、フォトレジスト層110と下層112との界面よりも、フォトレジスト層110の上面により近くなる。別の一実施形態においては、フォトレジスト層110中の入射光と反射光との間でより強い程度で強め合う干渉を生じさせるために、フォトレジスト層110は、フォトレジスト層厚さ、光波長、および/または下層の反射率とともに調整可能な下部(lower)界面屈折率を含むように選択することができる。この場合、最大量の干渉は、フォトレジスト層110の上面よりも、フォトレジスト層110と下層112との界面により近くなる。
広義には(broadly)、フォトレジスト層110の屈折率は0.95〜1.75で変動させることができ、および30nm〜250nmで変動させることができる厚さを有する。例えば、約248nmの光波長を対象とするフォトレジスト層110の屈折率は、1.3〜1.75の屈折率を有することができ、80nm〜250nm、特に100nm〜120nmの厚さを有することができる。約193nmの光波長を対象とするフォトレジスト層110は、1.65〜1.75の範囲、および80nm〜250nm、特に100nm〜120nmの範囲の厚さであってよい。15nm未満の波長を対象とするEUVフォトレジスト層110は、0.95〜1.05の屈折率および30nm〜60nmの厚さを有することができる。
一実施形態において、フォトレジスト層110と下層112との間の界面における入射光114と反射光(図示せず)との間の光の干渉をより大きくするために、膜スタックの性質を最適化することができる。より大きい光干渉が、膜スタックの水平面内で生じるように調整することができる。一実施形態において、干渉面は、フォトレジスト層110と下層112との間の界面またはその近傍に存在することができる。しかし、干渉面は、界面に限定されない場合があり、本明細書に記載の技術または当業者によって理解されている技術を用いて調節することができる。干渉面に沿って分布するより高いエネルギーによって、フォトレジスト層110の別の領域よりも高い濃度で、酸106を面に沿って生成することができる。界面におけるより高い濃度の酸106は、下層112の表面上の有機物質の除去もしくは分解、または現像されるときのフォトレジスト層110のプロファイル角度もしくは形状の調整に使用することができる。
別の一実施形態においては、干渉面は、フォトレジスト層110と下層112との間の界面と反対側のフォトレジスト層110の表面に位置することができる。より強い光の干渉によって、フォトレジスト層110にわたってより高い酸濃度を得ることができる。より高い酸濃度によって、現像したフォトレジスト層110のプロファイル角度もしくは形状を変化させることができる。例えば、現像されたフォトレジスト層110中の開口部(openings)は、フォトレジスト層110と下層112との間の界面よりも開口部の上部でより広くすることができる。酸106の位置および濃度を制御することによって、フォトレジスト層110中の開口部のプロファイル角度または形状の調節が可能になる。これらの最適化された開口部の形状を使用することで、ライン/スペースのパターン化におけるマイクロブリッジングおよび/またはコンタクトホールのパターン化のスカミングを最小限にすることができる。
ブロック208において、2段階プロセスは、パターンマスク116を通して基板104上の膜スタック上に第1の光114の波長を露光するステップを含むことができる。一実施形態において、フォトレジスト層110は、300nm未満の波長の光に露光すると少ない量の酸106を生成できるPS−CAR化学を含むことができる。酸の生成は、フォトレジスト層110の露光部分の近傍で生じ得る。パターンマスク116からの光114によって、フォトレジスト層110の露光部分よりも低い酸濃度を有することができるフォトレジスト層110の未露光部分が残り得る。
別の実施形態において、フォトレジスト層110の露光部分中の酸濃度量を調節するために、光124の線量および波長を変化させることができる。例えば、EUVの一実施形態において、光114の波長は15nm未満または約13.5nmであってよい。この場合、露光プロセスは、光114をEUVスペクトルに限定することができ、そのため区域外の放射線(例えば、UV光、>約30nm)はフォトレジスト層110に露光することができない。しかし、別の実施形態においては、光114は、EUVの実施形態よりも広い範囲の波長を含むことができる。例えば、光114は、EUVおよびUVスペクトル内の波長を含むことができるが、EUVまたはUVスペクトル全体の波長は含まなくてよい。
特定の一実施形態において、光114は、EUVスペクトルと、最大200nmのUVスペクトルの一部とを含むことができるが、200nmを超える波長の光114は含むことができない。例えば、露光プロセスは、193nm以下の波長の光114を含むことができる。しかし、別の一実施形態においては、露光プロセスは、248nm以下の波長の光114を含むことができ、13.5nmおよび193nmの波長を含むことができる。
別の特定の一実施形態においては、光114はUVスペクトルを含むことができ、EUVスペクトルは排除することができる。したがって、露光プロセスは193nmプロセスまたは248nmプロセスを含むことができるが、30nm未満の波長の光114は含まなくてよい。
フォトレジスト層110の露光領域中に生成され得る酸106およびPS108の初期量は、酸106およびPS108をさらなるエネルギー(例えば、光124)に曝露することでより多い量に増加させて、酸の量を増加させ、および/またはフォトレジスト層110中に酸を拡散させることができる。
ブロック210において、フォトレジスト層110は、第1の露光の波長と異なっていてよい第2の範囲の波長の光124に露光することができる。さらに、第2の露光は、フォトレジスト層110の全体を光124に露光するブランケット露光またはフラッド露光であってよい。しかし、ブランケット露光は必要でない場合があり、代替としてパターニング露光を行うことができる。
一実施形態において、フォトレジスト層110の上部または表面に近いフォトレジスト層110の上部領域の近傍でより高い濃度の酸を可能にするために、ブランケット露光を使用することができる。広義には、フォトレジスト層110と下層112との界面よりも、フォトレジスト層110の上面付近で酸濃度が高くなる。ブランケット露光からのエネルギーを膜スタックの特性(例えば、RI、厚さ、組成)とともに使用することで、この酸プロファイルが可能となり得る。フォトレジスト層110の上部領域または部分で入射光と反射光との間でより多い量の強め合う干渉を生じさせるために、入射波長を最適化することができる。ブランケット露光は、300nm〜400nmの波長および/または0.1J/cm〜4J/cmの線量を含むことができる。個別のプロセス条件は膜スタックの組成を考慮して決定することができ、それらのプロセス条件は提供される範囲から得ることができる。
一実施形態において、フォトレジスト層110と下層112との界面の近傍でより高い濃度の酸を可能にするためにブランケット露光を使用することができる。一般的に、フォトレジスト層110の上面よりも、フォトレジスト層110と下層112との界面付近で酸濃度が高くなる。ブランケット露光からのエネルギーを膜スタックの特性(例えば、RI、厚さ、組成)とともに使用することで、この酸プロファイルが可能となり得る。フォトレジスト層110の上部領域または部分で入射光と反射光との間でより多い量の強め合う干渉を生じさせるために、入射波長を最適化することができる。ブランケット露光は、300nm〜400nmの波長および/または0.1J/cm〜4J/cmの線量を含むことができる。個別のプロセス条件は膜スタックの組成を考慮して決定することができ、それらのプロセス条件は提供される範囲から得ることができる。
一実施形態において、第1の露光が300nm未満の波長を有する場合、第2の露光は300nmを超える波長の光を含むことができる。特に、第1の露光がEUVスペクトル中の波長を有した場合。特定の一実施形態において、第1の露光が約248nm、193nm、または13.5nm以下の波長を有する場合、第2の露光波長は約365nmであってよい。特定の一実施形態において、光124の波長は約365nmであってよい。
別の一実施形態において、反射率に影響を与えるために、フォトレジスト層110または下層112の組成を変更することができる。例えば、光124を吸収することによって、または特定の光124の光波長を選択的に吸収し別の光124の波長を反射することによって、反射率を制限することができる発色団(図示せず)をフォトレジスト層110または下層112にドープすることができる。このようにして、反射してフォトレジスト層110に戻ることができる量および光波長を最適化するために特定の波長の光を吸収するように、発色団を選択することができる。典型的には、発色団は、一般に、炭素元素と、H、O、N、Bl、Br、S、またはIの少なくとも1つの元素以上とを含む有機化合物である。発色団化合物の最大吸光度波長は250nm〜400nmで変動し得る。下層112が反射することができる、またはフォトレジスト層110の上層(図示せず)が吸収することができる望ましくない光124の波長が除去されるように、1つ以上の発色団を選択することができる。発色団化合物としては、CH−CH、CH、CHOH、またはCH−SHを挙げることができるが、これらに限定されるものではない。種類および濃度に加えて、ARC層128またはフォトレジスト層110中の発色団の位置も反射率に影響を与える場合がある。発色団の最高濃度がARC層128またはフォトレジスト層110中のいずれの箇所に存在するかに少なくとも部分的に基づいて、反射率は影響を受け得る。最高濃度は、フォトレジスト層110または下層112中の任意の箇所に位置することができる。一般に、高濃度領域と低濃度領域との間の濃度差は、体積、質量、またはモルを基準として少なくとも5%より大であり得る。
一実施形態において、発色団は、フォトレジスト層110と下層112との界面において、下層112またはフォトレジスト層110の残りの部分よりも高い濃度となる。別の一実施形態においては、発色団は、フォトレジスト層110と下層112との界面と反対側のフォトレジスト層110の表面の近傍でより高い濃度となる。フォトレジスト層110は、下層112よりも高い濃度の発色団を有することができる。この場合、フォトレジスト層110の表面または上部は、この膜スタックの実施形態において最高発色団濃度を有することができる。光124は、フォトレジスト層110の上面が反射または吸収することができ、それによって酸106またはPS108の生成に使用できるエネルギー(例えば、光124)の量を最小限にすることができる。
ブロック212において、化学処理を用いてフォトレジスト層110を現像することで、2段階露光プロセスによって可溶性となったフォトレジスト層110の部分214を除去することができる。フォトレジスト層110はポジ型レジストまたはネガ型レジストを含むことができる。ポジ型レジストの露光部分は、光に露光すると可溶性となることができ、一方、ネガ型レジストの露光部分は不溶性となる。2段階露光プロセスは、限定するものではないがあらゆる種類のレジストを使用することができる。
2段階プロセスの別の一実施形態においては、第1の露光(例えば、EUV)の後で、第2の露光(例えば、UVフラッド)の前、または第2の露光自体の最中のいずれかにベークステップを含むことができる。EUV露光線量はその最小限度に近づくため、フォトン/二次電子のショット統計問題がEUVショットノイズとして知られている。PS122は、変換されたいずれかのPAGの近傍で形成されるため、PS122によってこの問題が大きく繰り返される。PS108濃度ショットノイズ効果によって、UVフラッド励起、PS108濃度ショットノイズの前またはその場でのPS108濃度ショットノイズ効果の平滑化が行われない特徴に依存して、最適化されないライン幅ラフネス(LWR)、LER、およびCERが生じる可能性がある。この問題は、UVフラッドステップの前または最中にベークステップを組み込むことで、サブ10nmのオーダーでPS108の拡散を誘導して、PS108濃度ショットノイズを平滑化し、特徴の種類によってより良好なLWR、LER、およびCERを得ることによって克服可能である。理想的には、PS108の拡散の活性化エネルギーは、酸拡散および増幅の活性化エネルギーよりもはるかに低くなるように設計可能であり、そのためプレフラッド(pre−flood)またはインサイチューフラッド(in−situ flood)ベークによってPS108の拡散のみを効率的に引き起こすことが可能となる。このようにならない場合は、あるレベルのフラッドベークおよび従来の露光後ベーク(PS122によってさらなるPAGが酸に変換された後)の共最適化が必要となり得る。
一実施形態において、ベークステップは、第1の露光の後に120秒以下にわたり基板を30℃〜60℃に加熱するステップを含むことができる。あるいは、加熱は、120秒以下にわたり30℃〜90℃で行うこともできる。ある場合では、特徴の種類によってLWR、LER、およびCERを改善するために第2の露光後にベークステップを行うことができる。第2の加熱プロセスは120秒以下にわたり80℃〜130℃であってよい。
2段階プロセスの別の実施形態は、膜スタック中で光124をどのように反射し得るかを変化させるさらなる技術を含むことができる。これは、フォトレジスト層110と下層112との間に中間層を配置することを含むことができる。中間層(図示せず)は、露光中に反射率を制御するために使用することができる。さらに、PS−CAR化学を含まない場合があるフォトレジスト層110中よりも高い濃度の酸を生成するために、中間層にPS−CAR化学を組み込むこともできる。あるいは、別の実施形態において、新しい膜スタックは、中間層中よりもフォトレジスト層中でより高い濃度の酸を生成するように設計することができる。例えば、フォトレジスト層110は中間層の代わりにPS−CAR化学を含むことができる。
図3A〜3Bは、別の膜スタック(例えば、フォトレジスト層および反射防止層)の2段階露光プロセスのための方法のフローチャート300と、その方法の一実施形態の付随する断面図302とを含む。フォトレジスト層110および下層112を変更することに加えて、膜スタックの構成要素を加えるおよび/または除去することによって、膜スタック中の光の反射率および吸収を変化させることもできる。方法の1つでは、膜スタックは、フォトレジスト層110と下層112との間に配置されたARC層128を含むことができる。ARC層128は干渉面の位置に影響を与えることができ、図2の実施形態に記載のようにフォトレジスト層110中の酸濃度に影響を与え得る。しかし、別の実施形態において、フォトレジスト層110の代わりにARC層128中にPS−CAR化学を組み込むことができる。このように、図2の実施形態に記載の技術と類似の技術を用いて、ARC層128を介して酸濃度を変化させることができる。したがって、膜スタックの特性および露光条件は、膜スタック中のPS−CAR化学の位置によって変動し得る。
ブロック304では、下層112と、下層112上に配置されたARC層128と、ARC層128上に配置されたフォトレジスト層110とを含む基板を受け取るステップである。種々の露光条件および膜スタック特性(例えば、RI、厚さなど)において膜スタック中の異なる位置でより高い酸濃度を得るために、膜スタックは、PS−CAR化学がARC層128および/またはフォトレジスト層110中に含まれるように構成することができる。この自由度
ARC層128またはフォトレジスト層100は、選択位置および異なる濃度で酸を生成するためにARC層128またはフォトレジスト層100中で化学反応を制御された順序で可能にし得る2つ以上の光波長活性化閾値を含むことができる。ARC層128またはフォトレジスト層100は、光の波長および/または線量、ならびに膜スタックの特性(例えば、RI、厚さ、組成など)によって異なる濃度または量の酸を生成できるPS−CAR化学を含むことができる。ARC層128、フォトレジスト層110、および下層112は、図1A〜1Dおよび図2の説明に記載のような反射率または吸光度を最適化するための技術または方法を用いて構成することもできる。
ブロック306において、パターン化マスク116を通して光114波長の第1の範囲を基板104に露光することができる。図1A〜1Bおよび2の説明に記載したように、露光領域は、未露光領域よりも高い濃度の酸106およびPS108を有することができる。例えば、第1の範囲内の波長の光114をARC層128およびフォトレジスト層110に露光すると、PS−CAR化学によって、ARC層128またはフォトレジスト層110中で第1の量の酸106を生成することができる。初期の酸106は、PS−CAR化学がARC層128中に含まれた(incorporated)場合はARC層128中に生成され得、またはPS−CAR化学がフォトレジスト層110中に含まれた場合はフォトレジスト層110中に生成され得る。しかし、PS−CAR化学は、部分的に分解することができ、PS−CAR化学が第2の範囲内の波長の光に露光すると、さらなる酸を依然として生成可能である。
ブロック308において、PAGを分解し、および/またはPS122を励起してPAGを分解して、ARC層128またはフォトレジスト層110中でより高い濃度の酸120を生成できる第2の範囲の光126の波長(例えば、>300nm)に基板104を露光することができる。第2の露光は、全体のARC層128およびフォトレジスト層110にわたって光124を分散させるブランケット露光であってよい。ブランケット露光の結果、PS122を含むARC層128またはフォトレジスト層110の部分は、PS122を含まないか、または少なくともPS122の濃度がより低いARC層128またはフォトレジスト層110の部分よりも高い酸120の生成速度または濃度を有する。
PAGまたはPS122に伝達されるエネルギー量は、露光プロセス条件(例えば、波長、線量など)および膜スタックの特性(例えば、RI、厚さ、組成など)を変化させることによって最適化することができる。図1A〜1Dおよび図2の説明で前述したように、プロセス条件および特性を最適化して、干渉面の位置を制御することができ、それによって干渉面に沿った、またはその近傍のARC層128またはフォトレジスト層110中のPAGまたはPS122により多量のエネルギーを伝達することができる。
第1の実施形態において、ARC層128と下層112との間の界面よりも、ARC層128とフォトレジスト層100との間の界面の近傍で酸120のより高い濃度が可能となるように、露光プロセス条件(例えば、波長、線量など)および膜スタックの特性を最適化することができる。第2の露光プロセス条件としては、300nm〜400nmの波長および0.1J/cm〜1J/cmの線量を挙げることができるが、これらに限定されるものではない。個別のプロセス条件は膜スタックの組成を考慮して決定することができ、それらのプロセス条件は提供される範囲から得ることができる。
第2の実施形態において、ARC層128とフォトレジスト層110との間の界面よりも、ARC層128と下層112との間の界面の近傍で酸120のより高い濃度が可能となるように、露光プロセス条件(例えば、波長、線量など)および膜スタックの特性を最適化することができる。第2の露光プロセス条件としては、300nm〜400nmの波長および0.1J/cm〜4J/cmの線量を挙げることができるが、これらに限定されるものではない。個別のプロセス条件は膜スタックの組成を考慮して決定することができ、それらのプロセス条件は提供される範囲から得ることができる。
ARC層128を含まなくてもよい第3の実施形態において、フォトレジスト層110と下層112との間の界面よりも、フォトレジスト層110の上部領域の近傍で酸120のより高い濃度が可能となるように、露光プロセス条件(例えば、波長、線量など)および膜スタックの特性を最適化することができる。第2の露光プロセス条件としては、300nm〜400nmの波長および0.1J/cm〜4J/cmの線量を挙げることができるが、これらに限定されるものではない。個別のプロセス条件は膜スタックの組成を考慮して決定することができ、それらのプロセス条件は提供される範囲から得ることができる。
ARC層128を含まなくてもよい第4の実施形態において、フォトレジスト層110の上部領域よりも、フォトレジスト層110と下層112との間の界面の近傍で酸120のより高い濃度が可能となるように、露光プロセス条件(例えば、波長、線量など)および膜スタックの特性を最適化することができる。第2の露光プロセス条件としては、300nm〜400nmの波長および0.1J/cm〜4J/cmの線量を挙げることができるが、これらに限定されるものではない。個別のプロセス条件は膜スタックの組成を考慮して決定することができ、それらのプロセス条件は提供される範囲から得ることができる。
上記4つの実施形態のいずれかと併用できる第5の実施形態において、ARC層128またはフォトレジスト層110中で干渉面の位置を制御するために、膜スタックを最適化することができる。この場合、ARC層128またはフォトレジスト層110は、ARC層128と下層110との界面よりも、ARC層128とフォトレジスト層110との界面の近くでより強い光干渉を発生させる上部(upper)界面屈折率または発色団化合物を含む。屈折率を図1A〜1Dおよび図2の説明で前述した範囲の間で変動させて、ARC層128またはフォトレジスト層110中で干渉面の位置を調節することができる。この実施形態の一変形形態は、ARC層128が省かれる場合の膜スタックを含むことができる。フォトレジスト層110と下層110との界面よりも、フォトレジスト層110の上部領域の近くでより強い光干渉を発生させる上部界面屈折率または発色団化合物である。
第1〜第4の実施形態のいずれかと併用できる第6の実施形態において、ARC層128またはフォトレジスト層110中の干渉面の位置を制御するために、膜スタックを最適化することができる。この場合、ARC層128またはフォトレジスト層110は、ARC層128とフォトレジスト層110との界面よりも、ARC層128と下層112との界面の近くでより強い光干渉を発生させる下部(lower)界面屈折率または発色団化合物を含む。屈折率を図1A〜1Dおよび図2の説明で前述した範囲の間で変動させて、ARC層128またはフォトレジスト層110中で干渉面の位置を調節することができる。この実施形態の一変形形態は、ARC層128が省かれる場合の膜スタックを含むことができる。フォトレジスト層110の上部領域よりも、フォトレジスト層110と下層110との界面の近くでより強い光干渉を発生させる下部界面屈折率または発色団化合物である。
その他の実施形態において、第2の露光は、フォトレジスト層110中に露光領域および未露光領域を形成するためのマスクを使用できるパターニング露光であってよい。このマスクは、第1の露光中に使用したものと同じマスク116であってもよく、または同じでなくてもよい。これによって、フォトレジスト層110は、種々の量の酸を有する3つ以上の異なる領域を含むことができる。したがって、現像したフォトレジスト層110は、少なくとも2つの異なるプロファイル角度または形状を有する開口部を有することができる。
ブロック310において、フォトレジスト層110は、化学処理を用いて現像して、2段階露光プロセスによって可溶性となったフォトレジスト層110の部分214を除去することができる。フォトレジスト層110はポジ型レジストまたはネガ型レジストを含むことができる。光に露光するとポジ型レジストの露光部分は可溶性となることができ、一方、ネガ型レジストの露光部分は不溶性となる。2段階露光では、限定されずにあらゆる種類のレジストを使用することができる。
2段階プロセスの別の一実施形態において、第1の露光(例えば、EUV)の後で、第2の露光(例えば、UVフラッド)の前または第2の露光自体の最中のいずれかにベークステップを含むことができる。EUV露光線量がその最小限度に近づくと、EUVショットノイズとして知られるフォトン/二次電子のショット統計問題が存在し得る。PS122は、変換された任意のPAGの近傍で形成されるため、PS122によってこの問題が大きく繰り返される。UVフラッド励起の前またはその場でのPS108濃度ショットノイズ効果の平滑化が行われないPS108濃度ショットノイズ効果、PS108濃度ショットノイズによって、特徴の種類に依存して最適化されないライン幅ラフネス(LWR)、LER、およびCERが生じる可能性がある。この問題は、UVフラッドステップの前または最中にベークステップを組み込むことで、サブ10nmのオーダーでPS108の拡散を誘導して、PS108濃度ショットノイズを平滑化し、特徴の種類によってより良好なLWR、LER、およびCERを得ることによって克服可能である。理想的には、PS108の拡散の活性化エネルギーは、酸拡散および増幅の活性化エネルギーよりもはるかに低くなるように設計可能であり、そのためプレフラッド(pre−flood)またはインサイチューフラッド(in−situ flood)ベークによってPS108の拡散のみを効率的に引き起こすことが可能となる。このようにならない場合は、あるレベルのフラッドベークおよび従来の露光後ベーク(PS122によってさらなるPAGが酸に変換された後)の共最適化が必要となり得る。
一実施形態において、ベークステップは、第1の露光後、120秒以下をわたり基板を30℃〜60℃に加熱するステップを含むことができる。あるいは、加熱は、120秒以下にわたり30℃〜90℃で行うこともできる。ある場合では、特徴の種類によってLWR、LER、およびCERを改善するために第2の露光後にベークステップを行うことができる。第2の加熱プロセスは、120秒以下にわたり80℃〜130℃であってよい。
以上の説明は単に本発明の例であることを理解すべきである。本発明から逸脱することなく当業者が種々の代替形態および修正形態を考案することができる。したがって、本発明は、添付の特許請求の範囲内のそのようなすべての代替形態、修正形態、および変形形態を含むことが意図される。
204 下層(underlying layer)を含む基板を受け取るステップ
206 前記下層上にフォトレジスト層を堆積するステップであって、フォトレジストが、第1の光波長活性化閾値と第1の光波長活性化閾値とを含む、ステップ
208 パターン化マスクを通して第1の光波長を前記基板上に露光するステップ
210 第2の光波長を前記基板上に露光するステップ
212 前記基板上の前記フォトレジスト層を現像するステップ
304 下層(underlying layer)と、反射防止コーティング(ARC)層と、フォトレジスト層と、を含む基板を受け取るステップ
306 パターン化マスクを通して第1の光波長を前記基板上に露光するステップ(FIG.3Bのブロック308に続く)
308 (FIG.3Aのブロック306からの続き)第2の光波長を前記基板上に露光するステップ
310 前記フォトレジスト層と前記ARC層とを現像するステップ

Claims (10)

  1. 基板を処理する方法であって:
    前記基板の表面上の下層と、前記下層上の反射防止コーティング(ARC)層と、前記ARC層上のフォトレジスト層と、を含む前記基板を受け取るステップであって、ここで、前記ARC層が感光性化学増幅レジスト(PS−CAR)組成物を含み、ここで、前記PS−CAR組成物が、:
    アセトフェノン;
    トリフェニレン;
    ベンゾフェノン;
    フルオレノン(flourenone);
    アントラキノン;
    フェナントレン;または
    それらの誘導体;
    を含む、光増感剤発生化合物と;
    トリフェニルスルホニウムトリフレート、トリフェニルスルホニウムノナフレート、パーフルオロオクチルスルホン酸トリフェニルスルホニウム、トリアリールスルホニウムトリフレート、トリアリールスルホニウムノナフレート、パーフルオロオクチルスルホン酸トリアリールスルホニウム、トリフェニルスルホニウム塩、トリアリールスルホニウム塩、ヘキサフルオロアンチモン酸トリアリールスルホニウム塩、N−ヒドロキシナフタルイミドトリフレート、1,1−ビス[p−クロロフェニル]−2,2,2−トリクロロエタン(DDT)、1,1−ビス[p−メトキシフェニル]−2,2,2−トリクロロエタン、1,2,5,6,9,10−ヘキサブロモシクロドデカン、1,10−ジブロモデカン、1,1−ビス[p−クロロフェニル]2,2−ジクロロエタン、4,4−ジクロロ−2−(トリクロロメチル)ベンズヒドロール、1,1−ビス(クロロフェニル)2,2,2−トリクロロエタノール、ヘキサクロロジメチルスルホン、2−クロロ−6−(トリクロロメチル)ピリジン、またはそれらの誘導体の少なくとも1つを含む、光酸発生化合物と;
    を含む、ステップと;
    第1の光波長で前記基板上に第1の露光を行うステップであって、前記第1の露光が前記基板のパターニング露光であり、前記第1の露光が前記ARC層中に酸の第1の濃度を生成し、ここで、前記PS−CAR組成物は、前記ARC層において第1の酸濃度に酸の生成を制御するために、前記第1の光波長に曝露されるように構成される、ステップと;
    第2の光波長で前記基板上に第2の露光を行うステップであって、前記第2の露光が前記基板のブランケット露光であり、前記第2の露光が前記ARC層中に前記酸の第2の濃度を生成し、前記第2の濃度が前記第1の濃度よりも高く、ここで、前記PS−CAR組成物は、前記ARC層において第2の酸濃度に酸の生成を制御するために、前記第2の光波長に曝露されるように構成され、前記第2の光波長が前記第1の光波長と異なる、ステップと;
    前記ARC層において、前記パターニング露光と位置合わせされた1つ以上の開口部を生じさせるため、前記反射防止コーティング層を現像するステップと;
    を含む、方法。
  2. 前記第2の光波長での前記第2の露光が、上部界面波長と、前記ARC層と前記下層との間の界面におけるよりも、前記ARC層と前記フォトレジスト層との間の界面の近傍で前記酸のより高い濃度を可能にする上部界面線量と、を含む、請求項1に記載の方法。
  3. 前記上部界面波長が300nm〜400nmの大きさを含み、かつ前記上部界面線量が0.1J/cm〜4J/cmの大きさを含む、請求項2に記載の方法。
  4. 前記第2の光波長での前記第2の露光が、下部界面波長と、前記ARC層と前記フォトレジスト層との間の界面におけるよりも、前記ARC層と前記下層との間の界面の近傍で前記酸のより高い濃度を可能にする下部界面線量と、を含む、請求項1に記載の方法。
  5. 前記下部界面波長が300nm〜400nmの大きさを含み、かつ前記下部界面線量が0.1J/cm〜4J/cmの大きさを含む、請求項4に記載の方法。
  6. 前記ARC層が、上部界面屈折率を、または、前記ARC層と前記下層との界面におけるよりも、前記ARC層と前記フォトレジスト層との界面により近くで、より強い光干渉を発生させる発色団化合物を、含む、請求項1に記載の方法。
  7. 前記ARC層が、下部界面屈折率を、または、前記ARC層と前記フォトレジスト層との界面におけるよりも、前記ARC層と前記下層との界面により近くで、より強い光干渉を発生させる発色団化合物を、含む、請求項1に記載の方法。
  8. 現像液可溶性底部反射防止コーティング(DBARC)がメタクリル酸ベンジルまたはメバロン酸ラクトンメタクリレートを含む、請求項1に記載の方法。
  9. 前記ARC層が30nm〜120nmの厚さを含む、請求項1に記載の方法。
  10. 前記フォトレジスト層が30nm〜60nmまたは80nm〜250nmの厚さを含む、請求項1に記載の方法。
JP2016570932A 2014-02-25 2015-02-24 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術 Active JP6895600B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461944394P 2014-02-25 2014-02-25
US61/944,394 2014-02-25
PCT/US2015/017353 WO2015130695A1 (en) 2014-02-25 2015-02-24 Chemical Amplification Methods and Techniques for Developable Bottom Anti-reflective Coatings and Dyed Implant Resists

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019146060A Division JP7074990B2 (ja) 2014-02-25 2019-08-08 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術

Publications (2)

Publication Number Publication Date
JP2017507372A JP2017507372A (ja) 2017-03-16
JP6895600B2 true JP6895600B2 (ja) 2021-06-30

Family

ID=53882086

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016570932A Active JP6895600B2 (ja) 2014-02-25 2015-02-24 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
JP2019146060A Active JP7074990B2 (ja) 2014-02-25 2019-08-08 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019146060A Active JP7074990B2 (ja) 2014-02-25 2019-08-08 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術

Country Status (6)

Country Link
US (2) US10020195B2 (ja)
JP (2) JP6895600B2 (ja)
KR (1) KR102402422B1 (ja)
DE (1) DE112015000546T5 (ja)
TW (1) TWI553417B (ja)
WO (1) WO2015130695A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015127459A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
JP6895600B2 (ja) 2014-02-25 2021-06-30 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
JP6386546B2 (ja) * 2014-05-21 2018-09-05 国立大学法人大阪大学 レジストパターン形成方法およびレジスト材料
JP6809843B2 (ja) * 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10386723B2 (en) * 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
KR102475021B1 (ko) * 2016-05-13 2022-12-06 도쿄엘렉트론가부시키가이샤 감광 화학물질 또는 감광 화학 증폭형 레지스트의 사용에 의한 임계 치수 제어
CN109313395B (zh) 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制
US11112698B2 (en) * 2016-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with gradient composition for improved uniformity
WO2019060570A1 (en) 2017-09-22 2019-03-28 Tokyo Electron Limited METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10910466B2 (en) 2018-10-22 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Process for tuning via profile in dielectric material
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2541624C2 (de) * 1975-09-18 1982-09-16 Ibm Deutschland Gmbh, 7000 Stuttgart Wässrige Ätzlösung und Verfahren zum Ätzen von Polymerfilmen oder Folien auf Polyimidbasis
US4308337A (en) * 1980-03-10 1981-12-29 Rca Corporation Uniform light exposure of positive photoresist for replicating spiral groove in plastic substrate
US4402571A (en) * 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) * 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
EP0203215B1 (de) * 1985-05-29 1990-02-21 Ibm Deutschland Gmbh Verfahren zur Reparatur von Transmissionsmasken
US4931380A (en) * 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0740543B2 (ja) * 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH04205936A (ja) * 1990-11-30 1992-07-28 Hitachi Ltd 転写用成形媒体およびその製造方法
JP3081655B2 (ja) * 1991-03-12 2000-08-28 株式会社東芝 レジストパターンの形成方法
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
JPH06275484A (ja) * 1993-03-23 1994-09-30 Hitachi Ltd パターン形成方法
JPH08181049A (ja) * 1994-12-21 1996-07-12 Fujitsu Ltd パターン形成方法
JPH1083947A (ja) * 1996-09-09 1998-03-31 Hitachi Ltd レジストパターン形成方法およびその装置
US5905019A (en) * 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11237737A (ja) * 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6040118A (en) * 1998-10-30 2000-03-21 Advanced Micro Devices, Inc. Critical dimension equalization across the field by second blanket exposure at low dose over bleachable resist
JP3253604B2 (ja) * 1998-11-13 2002-02-04 セイコーエプソン株式会社 半導体装置の製造方法
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
US6420101B1 (en) * 2000-06-21 2002-07-16 Infineon Technologies A G Method of reducing post-development defects in and around openings formed in photoresist by use of non-patterned exposure
US20020072488A1 (en) * 2000-12-12 2002-06-13 Merkulov Gennady V. Isolated human transporter proteins, nucleic acid molecules encoding human transporter proteins, and uses thereof
US6555479B1 (en) * 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
JP3901997B2 (ja) * 2001-11-27 2007-04-04 富士通株式会社 レジスト材料、レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US6699641B1 (en) * 2001-12-12 2004-03-02 Advanced Micro Devices, Inc. Photosensitive bottom anti-reflective coating
US7070914B2 (en) * 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
JP4410977B2 (ja) * 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
US6900001B2 (en) * 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP4545426B2 (ja) * 2003-12-12 2010-09-15 ルネサスエレクトロニクス株式会社 パターン形成方法
JP4417090B2 (ja) * 2003-12-12 2010-02-17 株式会社ルネサステクノロジ パターン形成方法、マスクおよび露光装置
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
US20060269879A1 (en) * 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
JP2007334036A (ja) * 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
JP5610176B2 (ja) * 2006-09-15 2014-10-22 三菱レイヨン株式会社 重合体、その製造方法、レジスト組成物及びその応用
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US7648918B2 (en) * 2007-08-20 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pattern formation in semiconductor fabrication
US8088548B2 (en) * 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
KR101585992B1 (ko) * 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US8236476B2 (en) * 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
US8507179B2 (en) * 2008-12-10 2013-08-13 Dow Corning Corporation Switchable antireflective coatings
WO2010068337A1 (en) * 2008-12-10 2010-06-17 Dow Corning Corporation Wet-etchable antireflective coatings
EP2399169B1 (en) * 2009-02-19 2019-04-17 Brewer Science, Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings
US8124326B2 (en) * 2009-03-03 2012-02-28 Micron Technology, Inc. Methods of patterning positive photoresist
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
CN102712561A (zh) * 2010-01-14 2012-10-03 三菱瓦斯化学株式会社 二环己烷衍生化合物及其制造方法
US8124319B2 (en) * 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
CN103649830B (zh) 2011-07-08 2018-06-01 Asml荷兰有限公司 光刻图案化过程和其中使用的抗蚀剂
CN103034048B (zh) 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
CN103309164A (zh) * 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) * 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP2014143415A (ja) * 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
TWI567788B (zh) * 2013-02-20 2017-01-21 國立大學法人大阪大學 阻劑圖案形成方法、阻劑潛像形成裝置、阻劑圖案形成裝置以及阻劑材料
TWI600966B (zh) * 2014-02-21 2017-10-01 東京威力科創股份有限公司 光敏化學增幅型光阻材料及使用該光阻材料之圖案形成方法、半導體器件、光微影用光罩,以及奈米壓印用模板
US9519227B2 (en) * 2014-02-24 2016-12-13 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR)
WO2015127459A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US9746774B2 (en) * 2014-02-24 2017-08-29 Tokyo Electron Limited Mitigation of EUV shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist (PS-CAR)
JP6895600B2 (ja) 2014-02-25 2021-06-30 東京エレクトロン株式会社 現像可能な底部反射防止コーティングおよび着色インプラントレジストのための化学増幅方法および技術
US9645495B2 (en) * 2014-08-13 2017-05-09 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
US10048594B2 (en) * 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
WO2019060570A1 (en) * 2017-09-22 2019-03-28 Tokyo Electron Limited METHODS OF COATING PHOTORESIN USING HIGH DENSITY EXPOSURE

Also Published As

Publication number Publication date
TW201541194A (zh) 2015-11-01
DE112015000546T5 (de) 2016-11-10
TWI553417B (zh) 2016-10-11
JP2019215562A (ja) 2019-12-19
US10020195B2 (en) 2018-07-10
US20180315596A1 (en) 2018-11-01
JP7074990B2 (ja) 2022-05-25
KR102402422B1 (ko) 2022-05-25
KR20160127069A (ko) 2016-11-02
JP2017507372A (ja) 2017-03-16
US20150241782A1 (en) 2015-08-27
WO2015130695A1 (en) 2015-09-03

Similar Documents

Publication Publication Date Title
JP7074990B2 (ja) 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術
JP7009568B2 (ja) 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
US20160048080A1 (en) Critical Dimension Control in Photo-Sensitized Chemically-Amplified Resist
KR102475021B1 (ko) 감광 화학물질 또는 감광 화학 증폭형 레지스트의 사용에 의한 임계 치수 제어
JP6524388B2 (ja) 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
US11163236B2 (en) Method and process for stochastic driven detectivity healing
TWI840599B (zh) 用於隨機驅動的缺陷性治癒之方法及製程
JPH06275484A (ja) パターン形成方法
KR20040079756A (ko) 반도체소자의 미세패턴 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190312

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190416

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190808

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20190808

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190816

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20190820

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20190920

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20191001

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200212

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200414

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20200519

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200818

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200911

C302 Record of communication

Free format text: JAPANESE INTERMEDIATE CODE: C302

Effective date: 20201104

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20201110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201209

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20210105

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20210209

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20210209

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20210308

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210308

R150 Certificate of patent or registration of utility model

Ref document number: 6895600

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150