KR20220046598A - 확률 중심 결함 교정을 위한 방법 및 공정 - Google Patents

확률 중심 결함 교정을 위한 방법 및 공정 Download PDF

Info

Publication number
KR20220046598A
KR20220046598A KR1020227007317A KR20227007317A KR20220046598A KR 20220046598 A KR20220046598 A KR 20220046598A KR 1020227007317 A KR1020227007317 A KR 1020227007317A KR 20227007317 A KR20227007317 A KR 20227007317A KR 20220046598 A KR20220046598 A KR 20220046598A
Authority
KR
South Korea
Prior art keywords
emr
radiation
sensitive material
wavelength
precursor
Prior art date
Application number
KR1020227007317A
Other languages
English (en)
Inventor
마이클 카르카시
세이지 나가하라
콩퀘 딘
마크 서머벨
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220046598A publication Critical patent/KR20220046598A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2026Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure for the removal of unwanted material, e.g. image or background correction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2045Exposure; Apparatus therefor using originals with apertures, e.g. stencil exposure masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Electromagnetism (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

상실된 접점 개구, 스커밍된 접점 개구, 및 스커밍 유발 가교를 완화시키기 위한 기판 공정 기술이 개시된다. 일 실시형태에서, 초기 패터닝된 노광 후에 투광 노광을 사용하는 공정에서, 전자기 방사선(EMR) 흡수 분자가 사용된다. 일 실시형태에서, 감광성 화학적 증폭 레지스트(PSCAR) 레지스트 공정을 사용하여 접점 개구를 형성하며, 초기 노광 및 현상 공정이 수행된 후에, 투광 노광 및 제2 현상 공정이 후속된다. 다른 실시형태에서, EMR 흡수 분자의 전구체가 레지스트 층의 하부에 있는 층 내로 혼입되는 공정이 사용된다. 따라서, 레지스트 층과 하부 층의 계면에서, EMR 흡수 분자의 강화된 형성이 야기될 것이다.

Description

확률 중심 결함 교정을 위한 방법 및 공정
본 출원은 "확률 중심 결함 교정을 위한 방법 및 공정"이라는 명칭으로 2019년 8월 16일자로 출원된 미국 가특허출원 번호 제62/888,049호에 관한 것으로서 이에 대한 우선권을 주장하며, 이의 내용은 그 전체가 본원에 참조로 포함된다.
본 개시물은 기판의 공정(processing)에 관한 것이다. 특히, 이는 기판의 공정 동안 패터닝(patterning) 결함을 교정(healing)하기 위한 새로운 방법을 제공한다.
기판 공정 시의 기하학적 구조가 계속 축소됨에 따라, 포토리소그래피 기술을 통해 기판 상에 구조물을 형성하는 것에 대한 기술적 과제가 늘어난다. 더 소형 기하학적 구조의 구조물에 대한 요건이 발생함에 따라, 극자외선(EUV) 리소그래피(EUV 범위의 전자기 방사선(EMR)의 파장(가장 전형적으로는, 13.5 nm 파장)을 사용하는 리소그래피), 다중 패터닝 방식(예를 들어, 자기 정렬 이중 패터닝(SADP), 자기 정렬 삼중 패터닝(SATP) 등), 아르곤 불화물(ArF) 리소그래피, 또는 다른 소형 기하학적 구조 패터닝 방법을 포함하는, 이러한 구조물을 위한 적합한 포토리소그래피를 달성하기 위해, 다양한 포토리소그래피 기술이 사용되었다.
소형 기하학적 구조 리소그래피 패터닝을 위한 한 가지 기술은, 감광성 화학적 증폭 레지스트(PSCAR)의 사용을 포함한다. 특히, EUV 리소그래피, ArF 리소그래피, 및 다중 패터닝 리소그래피의 사용을 통해, PSCAR 기술은, 더 적은 결함을 갖는 개선된 패터닝을 제공하기 위해 유용하였다. 통상적인 화학적 증폭 레지스트(CAR)와 같은 PSCAR은, 탈보호(deprotection)를 위해 레지스트 형상부(feature) 내에 생성된 산을 사용하지만, 단일 패터닝된 노광만이 사용되는 CAR과는 달리, 2단계 조명 공정에서 산이 생성된다.
하나의 예시적인 PSCAR 기술에서, 제1 EUV 패터닝된 노광은, 비교적 소량의 생성된 산을 갖는 패턴(레지스트 내의 잠상)을 생성하는 동시에, 예를 들어 PSCAR 레지스트에 추가된 감광제 전구체/발생기로부터 감광제(PS) 화합물을 생성하기 위해 사용된다. 산 및 감광제(PS) 둘 모두는, 제1 EUV 패터닝된 노광 동안, PSCAR 레지스트의 조명된 부분에서만 생성된다. 그 후에, 제2 노광이 수행된다. 이러한 제2 노광은, 제1 EUV 노광의 파장과 상이한 EMR의 제2 파장에서의 투광 노광(flood exposure)이다(즉, 패턴이 없음). PSCAR 레지스트 화학 작용은, 감광제(PS)가 투광 노광에 사용되는 EMR의 제2 파장에 감응성인 반면에, 다른 레지스트 구성 요소는 그렇지 않도록 선택된다. 감광제(PS)는, 제1 EUV 패터닝된 노광 동안 형성된 패턴에 존재하는 경우에는 언제나, 투광 노광 동안 산의 추가적인 생성을 야기한다. 예를 들어, 산 농도의 10배 증가가 전형적일 수 있다. 이러한 감광제-유도된 산 농도 증가로 인해, 명암비가 크게 증가됨으로써, 특히 해상도 선폭 거칠기 감도(RLS) 상충과 관련하여 더 큰 공정 허용범위(latitude)를 가능하게 한다. 따라서, PSCAR은, 이들의 현재 전력 레벨에서 EUV 소스 및 리소그래피의 생산적인 사용을 가능하게 하기 때문에, EUV 리소그래피를 위한 활성화 기술을 제시한다.
여기서, PSCAR 공정은, 명료성의 목적으로 위의 설명이 단순화되었기 때문에, 예를 들어 제1 EUV 패터닝된 노광과 투광 노광 사이에, 추가적인 단계를 포함할 수 있음을 유의해야 한다. 또한, 일부 PSCAR 화학 작용 실시형태에서, 제1 EUV 패터닝된 노광 동안 산이 생성되지 않을 수 있으며, 다른 감광제(PS)가 생성될 수 있고, 생성된 감광제(PS)는, 투광 노광 동안 모든 산의 생성을 야기한다. 또한 대안적으로, 이전에 설명된 바와 같이, 산은 소량으로 생성될 수 있지만, 이는 (PSCAR 레지스트에 존재하는 소광제의 양에 따른) 소광(quenching) 이벤트와 같은 경합 화학 반응에 의해 실질적으로 소비될 수 있다.
EUV 소스의 전력 레벨이 너무 낮기 때문에, 그리고 EUV 광자가 짧은 EUV EMR 파장으로 인해 비교적 높은 에너지를 각각 갖기 때문에, 더 긴 파장 EMR 소스가 사용되는 경우(예를 들어, 아르곤 불화물(ArF), 크립톤 불화물(KrF) 등)보다 비교적 더 적은 광자가 패터닝된 EUV 노광에 포함된다(동일한 노광 선량의 경우). 이는 예를 들어, 통상적인 화학적 증폭 레지스트(CAR)에서, 더 적은 산 분자가 생성될 것임을 의미한다. EUV 노광 선량이 감소됨에 따라, 주어진 체적 내에서 산으로 분해되지 않는 광산 발생기(photoacid generator: PAG) 분자의 확률적 개연성이 증가함으로 인해, 레지스트 내에서 불균일한 산 농도를 유발한다(집합적으로 산탄 잡음(shot noise)으로 알려진 효과). 산탄 잡음은 패터닝 시의 선폭 거칠기(LWR)의 주요 원인 중 하나인 것으로 알려져 있다. 형상부 유형에 따라, 라인 에지 거칠기(LER) 또는 접점 에지 거칠기(CER)와 같은 다른 파라미터가 영향을 받을 수도 있다(해당되는 경우).
한 가지 알려진 PSCAR 기술은, EUV 산탄 잡음으로 인한 불균일성을 감소시키기 위해, 감광제 분자를 확산시키는 단계를 포함한다. 이러한 기술의 일 실시예는, Carcasi 및 Somervell의 "감광성 화학적 증폭 레지스트(PS-CAR)에서 산 산탄 잡음으로의 EUV 산탄 잡음 복제의 완화"라는 명칭의 미국 특허 번호 제9,746,774호에 개시되어 있으며, 이의 개시물은 그 전체가 본원에 참조로 명시적으로 포함된다. 다른 알려진 리소그래피 기술은, PSCAR 공정의 사용을 통해 임계 치수 제어를 개선하기 위해 사용되는 것으로 알려져 있다. 다른 PSCAR 기술의 사용 실시예는, deVilliers 및 Carcasi의 "광 작용제의 사용에 의한 임계 치수 제어"라는 명칭의 미국 특허 번호 제10,096,528호, 및 Carcasi 및 deVilliers의 "감광성 화학 물질 또는 감광성 화학적 증폭 레지스트의 사용에 의한 임계 치수 제어"라는 명칭의 미국 공개 특허출원 번호 제2017/0329229호에 개시되어 있으며, 이 둘 모두의 개시물은 그 전체가 본원에 참조로 명시적으로 포함된다. 미국 특허 번호 제10,096,528호 및 미국 공개 특허출원 번호 제2017/0329229호의 임계 치수 제어 기술은, 투광 노광 전의 제1 현상(develop) 공정 이후에, 제2 현상 공정을 포함한다.
전술한 PSCAR 기술과 더불어, 2단계 조명 공정을 통한 산 생성을 위한 추가적인 기술이 알려져 있다. 예를 들어, 공개된 PCT 특허출원 WO2018/074382는, EUV 에너지 광선, 및 UV와 같은 제2 에너지 광선을 모두 사용하는 대안적인 공정을 기술한다. 그러한 특허 출원에 기술된 바와 같은 광산 발생기(PAG)는 색상 변화 PAG이다. 색상 변화 PAG는 PSCAR 기술에서와 같은 유사한 반응으로 색상을 변화시키지만, 색상 변화 PAG는 추가적인 산을 생성하기 위해 UV 투광 노광을 통해 분해된다. 보다 구체적으로, 색상 변화 PAG는 감광 반응(전자 전달 또는 에너지 전달)을 사용하는 것이 아니라, 오히려 색상 변화 PAG가 광자를 직접 흡수하여 분해한다. 색상 변화 PAG는, 오늄염 유형 약산(또는 물) 발생기일 수 있다. 이러한 공정에서, 색상 변화 PAG는 감광 반응(전자 전달 또는 에너지 전달)을 사용하는 것이 아니라, 오히려 공정은 광자의 직접 흡수 및 분해를 포함한다.
따라서, 전술한 바와 같이, 종래기술에서, 알려진 EMR 흡수 공정이 있다. 예를 들어, 일부 EMR 흡수 공정은, 전술한 PSCAR 공정과 같은 감광 반응(전자 전달 또는 에너지 전달)을 사용할 수 있다. 다른 EMR 흡수 공정은, PCT 특허출원 WO2018/074382의 색상 변화(흡수 전환)에 의한 직접 분해와 같이, 광자의 직접 흡수 및 분해를 사용할 수 있다.
EMR 흡수 공정을 사용하여 개선된 패터닝 기술을 제공하는 것이 바람직하다.
광자 산탄 잡음 및 화학 잡음으로 인해, 기판의 리소그래피 공정 동안, 상실된 접점 개구(missing contact hole)의 확률 중심(stochastic driven) 문제가 존재한다는 것이 확인되었다. 유사하게, 레지스트가 제거될 영역의 하부에서의 패턴 라인의 스컴 가교(scum bridging) 및 스커밍된(scummed) 접점 개구의 문제가 있으며, 그 문제는 흡수 효과, 상호 작용 효과, 및 확률 효과의 조합으로 인해 비롯된다는 것이 확인되었다. 패턴 라인 결함의 이러한 상실된 접점 개구, 스커밍된 접점 개구 및 스컴 가교를 완화하기 위한 혁신적인 방법이 본원에 설명된다. 구체적으로는, 상이한 에너지 레벨의 다수의 노광을 갖는 EMR 흡수 공정이 사용된다. 예를 들어, 일부 EMR 흡수 공정은, 전술한 PSCAR 공정과 같은 감광 반응(전자 전달 또는 에너지 전달)을 사용할 수 있다. 다른 EMR 흡수 공정은, 직접 흡수된 광자, 및 색상 변화(흡수 전환)에 의한 직접 분해와 같은 분해를 사용할 수 있다. 본원에 설명된 기술은 어느 하나의 기술을 사용할 수 있으며, 상이한 에너지 레벨(예를 들어, 이에 제한됨이 없이, EUV 에너지 레벨 및 UV 에너지 레벨)의 2단계 적용이 적용되는 다른 EMR 흡수 공정으로 추가로 확장될 수 있다. 예시적인 일 실시형태에서 본원에 설명된 바와 같이, EMR 흡수 분자, 및 EMR 흡수 분자의 전구체가 제공된다. 아래에 설명되는 구체적인 일 실시예는, 예시적인 실시형태의 EMR 흡수 분자 및 EMR 흡수 분자의 전구체로서, 감광제 분자 및 감광제 전구체를 갖는 PSCAR 레지스트 공정을 사용한다. 그러나, 감광제 분자 및 감광제 전구체의 설명은 단지 예시적인 것일 뿐이며, 다른 EMR 흡수 분자가 가능하다는 것을 인식할 것이다.
일 실시형태에서, 초기 노광 및 현상 공정이 수행된 후에 투광 노광이 후속되는, PSCAR 레지스트 공정이 사용된다. 따라서, 예를 들어, EMR의 제1 파장을 사용하여, 접점 개구 패턴이 기판 상에 노출될 수 있다. 일 실시형태에서, EMR의 제1 파장은 EUV 파장일 수 있다. 그 다음, 레지스트 현상 공정이 수행될 수 있다. 그 다음, EMR의 제2 파장의 투광 노광은, EMR의 제1 파장과 상이한 EMR의 파장으로 수행될 수 있다. 일 실시형태에서, 제2 파장은 EMR의 제1 파장 초과이다. 예를 들어, 제1 파장은 EUV 파장일 수 있으며, 제2 파장은 자외선(UV) 파장일 수 있다. 그 다음, 제2 현상 공정이 사용될 수 있다. EMR의 제2 파장의 투광 노광을 사용함으로써, 제1 현상 후에 적절히 형성되지 않은 접점 개구가 제2 현상 후에 형성될 수 있다. 사용되는 PSCAR 레지스트 공정에서, 레지스트는 방사선 감응성 재료일 수 있으며, 방사선 감응성 재료는 적어도, (1) 방사선 감응성 재료 내에서 제1 산 농도로 산의 생성을 제어하고, 방사선 감응성 재료 내에서 감광제 분자의 생성을 제어하는, 제1 EMR 파장 활성화 임계치, 및 (2) 제1 산 농도 초과인 제2 산 농도를 포함하는 산을 야기하는 방사선 감응성 재료 내의 감광제 분자를 여기시킬 수 있는 제2 EMR 파장 활성화 임계치를 갖고, 제2 EMR 파장 활성화 임계치는 제1 EMR 파장 활성화 임계치보다 더 높다. 이러한 공정에서, 감광제는 레지스트 내의 감광제 전구체로부터 생성된다. 개방된 접점 개구 내에 생성된 대부분의 감광제는, 제1 현상 공정 동안 용매화/제거될 것이다. 그러나, 상실된/폐쇄된 접점 개구 내의 감광제는 남아 있을 것이며, 투광 노광의 사용에 의해, 상실된/폐쇄된 접점 개구 내의 산 생성을 증폭시키기 위해 사용될 수 있다. 그 다음, 제2 현상 공정은, 이전에 폐쇄된 접점 개구를 개방시킬 수 있다. 제1 현상 공정에서 개방된 접점 개구와 관련하여, 이러한 개구 내의 대부분의 감광제가 제1 현상 공정으로 인해 용매화/제거되었기 때문에, 상실된 접점 개구는, 이미 개방된 접점 개구의 임계 치수 및 프로파일에 크게 영향을 주지 않으면서, 제2 현상 공정에서 개방될 수 있다.
제2 실시형태에서, 감광제 전구체가 레지스트 층의 하부에 있는 층 내로 혼입되는, 포토리소그래피 공정이 사용된다. 이러한 공정에서, 레지스트 층과 하부 층의 계면에서, 감광제의 강화된 형성이 야기될 것이다. 계면에서의 감광제의 강화된 형성은, 접점의 베이스에서의 스커밍(scumming), 또는 패턴 라인을 가교하는 스커밍을 해결하기 위해 특히 바람직하다. 제2 실시형태에서, 하부 층 내의 감광제 전구체의 사용은, 레지스트/하부 층 계면에서 감광제의 강화된 형성을 가능하게 한다. 투광 노광은 스커밍 영역에 더 많은 산을 생성할 것이며, 그 다음, 제2 현상 단계는 이러한 영역에서 레지스트를 제거하기 위해 사용될 수 있으므로, 상실된 또는 스커밍된 접점 또는 라인의 가교를 최소화할 수 있다. 따라서, 본원에 개시된 바와 같이, 감광성 전구체를 내장한 하부 층을 갖는 공정이 사용될 수 있다. 일 실시형태에서, 하부 층은 하부 반사방지 코팅(BARC) 층이다. 구체적인 일 실시형태에서, 하부 층은 실리콘 반사방지 코팅(SiARC) 층이다. 레지스트 층의 하부에 있는 층 내에 혼입되는 감광제 전구체의 사용은, 단일 현상 포토리소그래피 공정 흐름에서 사용될 수 있다. 대안적으로, 레지스트 층의 하부에 있는 층 내에 혼입되는 감광제 전구체의 사용은, 다중 현상 포토리소그래피 공정에서 사용될 수 있다. 또한, 내장된 감광제 전구체의 사용은, PSCAR 레지스트 공정을 포함시키는 것과 무관하게 사용될 수 있다. 대안적으로, 내장된 감광제 전구체는 PSCAR 레지스트 공정과 함께 사용될 수 있다. 예를 들어, 다중 노광 포토리소그래피 공정은, 초기 패터닝된 노광 후에 투광 노광이 후속되는, PSCAR 레지스트를 사용하는 강화된 PSCAR 공정일 수 있다. 일 실시예에서, EMR의 제1 파장을 사용하여, 접점 개구 패턴이 기판 상에 노출될 수 있다. 일 실시형태에서, EMR의 제1 파장은 EUV 파장일 수 있다. 그 다음, EMR의 제2 파장의 투광 노광은, EMR의 제1 파장과 상이한 EMR의 파장으로 수행될 수 있다. 일 실시형태에서, 제2 파장은 EMR의 제1 파장 초과이다. 예를 들어, 제1 파장은 EUV 파장일 수 있으며, 제2 파장은 UV 파장일 수 있다. EMR의 제2 파장의 투광 노광을 사용함으로써, 달리 적절히 형성되지 않는 접점 개구가 스커밍 없이 형성될 수 있다. 일 실시형태에서, 예를 들어 투광 노광 후에, 하나의 현상 공정이 사용된다. 다른 실시형태에서, 2개의 현상 공정이 사용될 수 있다(제1 노광과 투광 노광 사이의 제1 현상 단계, 및 그 다음, 투광 노광 후의 제2 현상 단계). 2개의 현상 공정 흐름에서, 일부 접점 개구는, 제1 현상 단계 후에 접점의 베이스에서 레지스트 스커밍을 가질 수 있으며, 투광 노광 및 제2 현상 단계는 이러한 스커밍을 제거할 수 있다. PSCAR 레지스트 공정이 사용되는 경우, 레지스트는 방사선 감응성 재료일 수 있으며, 방사선 감응성 재료는 적어도, (1) 방사선 감응성 재료 내에서 제1 산 농도로 산의 생성을 제어하고, 방사선 감응성 재료 내에서 감광제 분자의 생성을 제어하는, 제1 EMR 파장 활성화 임계치, 및 (2) 제1 산 농도 초과인 제2 산 농도를 포함하는 산을 야기하는 방사선 감응성 재료 내의 감광제 분자를 여기시킬 수 있는 제2 EMR 파장 활성화 임계치를 갖고, 제2 EMR 파장 활성화 임계치는 제1 EMR 파장 활성화 임계치보다 더 높다.
일 실시형태에서, 기판 상의 방사선 감응성 재료 내에 접점 개구를 형성하기 위한 방법이 설명된다. 방법은, 기판 상에 하부 층을 제공하는 단계, 및 하부 층 위에 방사선 감응성 재료를 제공하는 단계를 포함할 수 있다. 방사선 감응성 재료는 적어도, (1) 방사선 감응성 재료 내에서 제1 산 농도로 산의 생성을 제어하고, 방사선 감응성 재료 내에서 EMR 흡수 분자의 생성을 제어하는, 제1 EMR 파장 활성화 임계치, 및 (2) 제1 산 농도 초과인 제2 산 농도를 포함하는 산을 야기하는 방사선 감응성 재료 내의 EMR 흡수 분자를 여기시킬 수 있는 제2 EMR 파장 활성화 임계치를 가질 수 있으며, 제2 EMR 파장 활성화 임계치는 제1 EMR 파장 활성화 임계치보다 더 높다. 방법은, 방사선 감응성 재료 내에 EMR 흡수 분자를 생성하기 위해, 패터닝된 마스크를 통하여 방사선 감응성 재료 상에 제1 파장의 EMR을 노출시키는 단계를 더 포함하며, EMR의 제1 파장은, 제1 EMR 파장 활성화 임계치 이상이고 제2 EMR 파장 활성화 임계치 미만인 파장을 포함한다. 방법은, 패터닝된 마스크에 적어도 부분적으로 해당하는 방사선 감응성 재료 내의 복수의 접점 개구를 형성하기 위해, 방사선 감응성 재료의 제1 현상 공정을 수행하는 단계를 더 포함하며, 패턴 마스크의 패턴의 적어도 일부분은, 불완전한 접점 개구 영역이 방사선 감응성 재료 내에 존재하도록, 제1 현상 공정 후에 불완전하게 형성된다. 방법은, 제1 현상 공정을 수행한 후에, 제2 파장의 EMR을 방사선 감응성 재료에 투광 노출시키는 단계를 더 포함하며, EMR의 제2 파장은 제2 EMR 파장 활성화 임계치 이상이다. 방법은, 방사선 감응성 재료의 제2 현상 공정을 수행하는 단계를 더 포함하며, 제2 현상 공정은, 불완전한 접점 개구 영역을 접점 개구로 변환시킨다.
전술한 방법은, 제1 현상 공정으로부터 기판 상에 형성된 갭을 적어도 부분적으로 충전하기 위해, 제1 현상 공정 후에 그리고 투광 노출시키는 단계 전에 형성된 갭 충전 코팅을 사용하는 단계를 더 포함할 수 있다. 방법의 일 실시형태에서, 방사선 감응성 재료는, 아세토페논, 트리페닐렌, 벤조페논, 플루오렌온(fluorenone), 안트라퀴논, 페난트렌, 또는 이들의 유도체 및 조합물을 포함하는 EMR 흡수 분자의 전구체를 포함할 수 있다. 방법의 일부 실시형태에서, 투광 노출시키는 단계 전에, 방사선 감응성 재료는 EMR 흡수 분자를 가지며, EMR 흡수 분자 농도 프로파일은 방사선 감응성 재료의 상부로부터 하부로 가변된다. 일 실시형태에서, EMR 흡수 분자 농도는, 방사선 감응성 재료의 하부보다 방사선 감응성 재료의 상부에서 더 높다. 일부 실시형태에서, EMR 흡수 분자의 전구체는, EMR 흡수 분자 농도 프로파일을 생성하도록 구성된다. 다른 실시형태에서, 화학적 친화력을 통해 EMR 흡수 분자 농도 프로파일을 생성하기 위해, 톱코트(topcoat)가 사용된다.
다른 실시형태에서, 기판 상의 방사선 감응성 재료 내에 패턴을 형성하기 위한 방법이 설명된다. 방법은, 방사선 감응성 재료를 제공하는 단계, 및 기판 상에 하부 층을 제공하는 단계를 포함할 수 있으며, 하부 층은 방사선 감응성 재료의 하부에 있고, 하부 층은 EMR 흡수 분자의 전구체를 갖는다. 방법은, EMR 흡수 분자를 생성하기 위해, 패터닝된 마스크를 통하여 방사선 감응성 재료 상에 제1 파장의 EMR을 노출시키는 단계를 더 포함할 수 있으며, EMR 흡수 분자는, 하부 층 내의 EMR 흡수 분자의 전구체로부터 형성된다. 방법은, 제2 파장의 EMR을 방사선 감응성 재료 상에 투광 노출시키는 단계를 더 포함하며, 투광 노출시키는 단계는, EMR 흡수 분자의 적어도 일부를 산으로 변환시킨다. 방법에 따라, 하부 층 내에 흡수되는 EMR의 전구체로부터 형성된 EMR 흡수 분자는, 방사선 감응성 재료 내에 강화된 산 레벨을 생성함으로써, 방사선 감응성 재료 내의 패턴 마스크의 패턴의 생성을 보조한다.
전술한 방법의 일 실시형태에서, 패턴은 접점 개구 패턴이다. 전술한 방법의 다른 실시형태에서, 방사선 감응성 재료는 EMR 흡수 분자의 전구체를 또한 갖는다. 일 실시형태에서, 하부 층에 내장된 EMR 흡수 분자의 전구체, 및 방사선 감응성 재료 내의 EMR 흡수 분자의 전구체는 동일한 조성을 갖는다. 대안적인 실시형태에서, 하부 층에 내장된 EMR 흡수 분자의 전구체, 및 방사선 감응성 재료 내의 EMR 흡수 분자의 전구체는 상이한 조성을 갖는다. 일부 실시형태에서, 방법은, 패터닝된 마스크를 통하여 제1 파장의 EMR을 노출시키는 단계 후에 그러나 투광 노출시키는 단계 전에, 제1 현상 공정을 수행하는 단계; 및 투광 노출시키는 단계 후에, 제2 현상 공정을 수행하는 단계를 더 포함한다. 일부 실시형태는, 제1 현상 공정으로부터 기판 상에 형성된 갭을 적어도 부분적으로 충전하기 위해, 제1 현상 공정 후에 그리고 투광 노출시키는 단계 전에 형성된 갭 충전 코팅을 사용하는 단계를 더 포함한다. 다른 실시형태에서, EMR 흡수 분자는 감광제 분자이며, EMR 흡수 분자의 전구체는 감광제 전구체이다.
또 다른 실시형태에서, 기판 상의 방사선 감응성 재료 내에 접점 개구 패턴을 형성하기 위한 방법이 설명된다. 방법은 방사선 감응성 재료를 제공하는 단계를 포함할 수 있으며, 방사선 감응성 재료는 적어도, (1) 방사선 감응성 재료 내에서 제1 산 농도로 산의 생성을 제어하고, 방사선 감응성 재료 내에서 제1 EMR 흡수 분자의 생성을 제어하는, 제1 EMR 파장 활성화 임계치, 및 (2) 제1 산 농도 초과인 제2 산 농도를 포함하는 산을 야기하는 방사선 감응성 재료 내의 제1 EMR 흡수 분자를 여기시킬 수 있는 제2 EMR 파장 활성화 임계치를 갖고, 제2 EMR 파장 활성화 임계치는 제1 EMR 파장 활성화 임계치보다 더 높다. 방법은 기판 상에 하부 층을 제공하는 단계를 더 포함하며, 하부 층은 방사선 감응성 재료의 하부에 있고, 하부 층은 EMR 흡수 분자의 전구체를 갖는다. 방법은, 방사선 감응성 재료 내에 제1 EMR 흡수 분자를 생성하기 위해 그리고 제2 EMR 흡수 분자를 생성하기 위해, 접점 개구 패턴을 갖는 패터닝된 마스크를 통하여 방사선 감응성 재료 상에 제1 파장의 EMR을 노출시키는 단계를 더 포함하며, 제2 EMR 흡수 분자는 하부 층 내의 EMR 흡수 분자의 전구체로부터 형성되고, EMR의 제1 파장은, 제1 EMR 파장 활성화 임계치 이상이고 제2 EMR 파장 활성화 임계치 미만인 파장을 포함한다. 방법은, 패터닝된 마스크의 접점 개구 패턴에 적어도 부분적으로 해당하는 방사선 감응성 재료 내의 제1 패턴을 형성하기 위해, 방사선 감응성 재료의 제1 현상 공정을 수행하는 단계를 더 포함하며, 패터닝된 마스크의 접점 개구 패턴의 적어도 일부는, 제1 현상 공정 후에 불완전하게 형성된다. 그 다음, 방법은, 제1 현상 공정을 수행한 후에, 제2 파장의 EMR을 방사선 감응성 재료에 투광 노출시키는 단계를 포함하며, EMR의 제2 파장은 제2 EMR 파장 활성화 임계치 이상이다. 방법은, 방사선 감응성 재료의 제2 현상 공정을 수행하는 단계를 더 포함하며, 제2 현상 공정은, 방사선 감응성 재료 내의 패터닝된 마스크의 접점 개구 패턴의 형성을 완료한다. 이러한 방법에 따라, 하부 층 내의 EMR 흡수 분자의 전구체로부터 형성된 제2 EMR 흡수 분자는, 방사선 감응성 재료 내의 패터닝된 마스크의 접점 개구 패턴의 형성을 보조한다. 대안적인 일 실시형태에서, 제1 EMR 흡수 분자 및 제2 EMR 흡수 분자는 동일한 조성을 갖는다. 다른 대안적인 실시형태는, 제1 현상 공정으로부터 기판 상에 형성된 갭을 적어도 부분적으로 충전하기 위해, 제1 현상 공정 후에 그리고 투광 노출시키는 단계 전에 형성된 갭 충전 코팅을 사용하는 단계를 포함한다. 방법의 다른 실시형태에서, 제1 EMR 흡수 분자는 제1 감광제 분자이며, 제2 EMR 흡수 분자는 제2 감광제 분자이고, EMR 흡수 분자의 전구체는 감광제 전구체이다.
본 발명 및 이의 장점의 보다 완전한 이해는 첨부된 도면과 함께 고려되는 이하의 설명을 참조함으로써 달성될 수 있으며, 첨부된 도면에서 유사한 참조 번호는 유사한 특징부를 나타낸다. 그러나, 첨부된 도면은 개시된 개념의 예시적인 실시형태만을 도시하므로 범위를 제한하는 것으로 간주되어서는 안되며, 개시된 개념에 대해 동일하게 효과적인 다른 실시형태가 허용될 수 있음을 유의해야 한다.
도 1은 상실된 접점 개구를 갖는 접점 개구 패턴의 평면도를 도시한다.
도 2는 도 1의 상실된 접점 개구의 단면도를 도시한다.
도 3은 투광 노광 후의 도 2의 단면도를 도시한다.
도 4는 제2 현상 공정 후의 도 3의 단면도를 도시한다.
도 5는 제2 현상 공정 후의 도 1의 접점 개구 패턴의 평면도를 도시한다.
도 6은 레지스트 스커밍을 갖는 접점 개구의 단면도를 도시한다.
도 7a는 감광제 전구체가 내장된 하부 층을 갖는, 패터닝된 노광 전의 접점 영역의 단면도를 도시한다.
도 7b는 패터닝된 노광 후의 도 7a의 접점 영역의 단면도를 도시한다.
도 7c는 투광 노광 후의 도 7b의 접점 영역의 단면도를 도시한다.
도 7d는 현상 공정 후의 도 7c의 접점 영역의 단면도를 도시한다.
도 8 내지 도 10은 본원에 개시된 공정 기술을 사용하기 위한 예시적인 방법을 도시한다.
기판을 패터닝하는 경우, 상실된 접점 개구, 스커밍된 접점 개구 또는 가교를 방지하기 위한 혁신적인 방법이 본원에 설명된다. 구체적으로는, 상이한 에너지 레벨의 다수의 노광을 갖는 EMR 흡수 공정이 사용된다. 예를 들어, 일부 EMR 흡수 공정은, 전술한 PSCAR 공정과 같은 감광 반응(전자 전달 또는 에너지 전달)을 사용할 수 있다. 다른 EMR 흡수 공정은, 직접 흡수된 광자, 및 색상 변화(흡수 전환)에 의한 직접 분해와 같은 분해를 사용할 수 있다. 본원에 설명된 기술은 어느 하나의 기술을 사용할 수 있으며, 상이한 에너지 레벨(예를 들어, 이에 제한됨이 없이, EUV 에너지 레벨 및 UV 에너지 레벨)의 2단계 적용이 적용되는 다른 EMR 흡수 공정으로 추가로 확장될 수 있다. 예시적인 일 실시형태에서 본원에 설명된 바와 같이, EMR 흡수 분자, 및 EMR 흡수 분자의 전구체가 제공된다. 아래에 설명되는 구체적인 일 실시예는, 예시적인 실시형태의 EMR 흡수 분자 및 EMR 흡수 분자의 전구체로서, 감광제 분자 및 감광제 전구체를 갖는 PSCAR 레지스트 공정을 사용한다. 그러나, 감광제 분자 및 감광제 전구체의 설명은 단지 예시적인 것일 뿐이며, 다른 EMR 흡수 분자가 가능하다는 것을 인식할 것이다. 또한, 특정 실시형태는 EUV 및 UV 파장을 갖는 EMR에 대하여 본원에 설명됨을 인식할 것이지만, 본원에 사용된 바와 같은 EMR은, 예를 들어, UV 스펙트럼(VUV, EUV, UV 등), 가시광선 스펙트럼, 및 적외선 스펙트럼을 포함하는 다양한 스펙트럼의 방사선을 포함함을 인식할 것이다. 또한, EMR은 그러한 스펙트럼으로만 제한되지 않으며, 다른 파장의 EMR 에너지를 포함할 수 있다. 따라서, 본원에 사용된 바와 같은 EMR은 다양한 파장을 포함할 수 있으며, 본원에 설명된 예시적인 실시형태에서 사용되는 그러한 파장 또는 스펙트럼으로만 제한되지 않는다.
일 실시형태에서, 초기 노광 및 현상 공정이 수행된 후에 투광 노광이 후속되는, PSCAR 레지스트 공정이 사용된다. 따라서, 예를 들어, EMR의 제1 파장을 사용하여, 접점 개구 패턴이 기판 상에 노출될 수 있다. 일 실시형태에서, EMR의 제1 파장은 EUV 파장일 수 있다. 그 다음, 레지스트 현상 공정이 수행될 수 있다. 그 다음, EMR의 제2 파장의 투광 노광은, EMR의 제1 파장과 상이한 EMR의 파장으로 수행될 수 있다. 일 실시형태에서, 제2 파장은 EMR의 제1 파장 초과이다. 예를 들어, 제1 파장은 EUV 파장일 수 있으며, 제2 파장은 UV 파장일 수 있다. 그 다음, 제2 현상 공정이 사용될 수 있다. EMR의 제2 파장의 투광 노광을 사용함으로써, 제1 현상 후에 적절히 형성되지 않은 접점 개구가 제2 현상 후에 형성될 수 있다. 사용되는 PSCAR 레지스트 공정에서, 레지스트는 방사선 감응성 재료일 수 있으며, 방사선 감응성 재료는 적어도, (1) 방사선 감응성 재료 내에서 제1 산 농도로 산의 생성을 제어하고, 방사선 감응성 재료 내에서 감광제 분자의 생성을 제어하는, 제1 EMR 파장 활성화 임계치, 및 (2) 제1 산 농도 초과인 제2 산 농도를 포함하는 산을 야기하는 방사선 감응성 재료 내의 감광제 분자를 여기시킬 수 있는 제2 EMR 파장 활성화 임계치를 갖고, 제2 EMR 파장 활성화 임계치는 제1 EMR 파장 활성화 임계치보다 더 높다. 이러한 공정에서, 감광제는 레지스트 내의 감광제 전구체로부터 생성된다. 개방된 접점 개구 내에 생성된 대부분의 감광제는, 제1 현상 공정 동안 용매화/제거될 것이다. 그러나, 상실된/폐쇄된 접점 개구 내의 감광제는 남아 있을 것이며, 투광 노광을 사용하여, 상실된/폐쇄된 접점 개구 내의 산 생성을 증폭시키기 위해 사용될 수 있다. 그 다음, 제2 현상 공정은, 이전에 폐쇄된 접점 개구를 개방시킬 수 있다. 제1 현상 공정에서 개방된 접점 개구와 관련하여, 이러한 개구 내의 대부분의 감광제가 제1 현상 공정으로 인해 용매화/제거되었기 때문에, 상실된 접점 개구는, 이미 개방된 접점 개구의 임계 치수 및 프로파일에 크게 영향을 주지 않으면서, 제2 현상 공정에서 개방될 수 있다.
제2 실시형태에서, 감광제 전구체가 레지스트 층의 하부에 있는 층 내로 혼입되는, 포토리소그래피 공정이 사용된다. 이러한 공정에서, 레지스트 층 및 하부 층의 계면에서, 감광제의 강화된 형성이 야기될 것이다. 계면에서의 감광제의 강화된 형성은, 접점의 베이스에서의 스커밍, 또는 패턴 라인을 가교하는 스커밍을 해결하기 위해 특히 바람직하다. 제2 실시형태에서, 하부 층 내의 감광제 전구체의 사용은, 레지스트/하부 층 계면에서의 감광제의 강화된 형성을 가능하게 한다. 투광 노광은 스커밍 영역에 더 많은 산을 생성할 것이며, 그 다음, 제2 현상 단계는 이러한 영역에서 레지스트를 제거하기 위해 사용될 수 있으므로, 상실된 또는 스커밍된 접점 또는 라인의 가교를 최소화할 수 있다. 따라서, 본원에 개시된 바와 같이, 감광성 전구체를 내장한 하부 층을 갖는 공정이 사용될 수 있다. 일 실시형태에서, 하부 층은 하부 반사방지 코팅(BARC) 층이다. 구체적인 일 실시형태에서, 하부 층은 실리콘 반사방지 코팅(SiARC) 층이다. 레지스트 층의 하부에 있는 층 내에 혼입되는 감광제 전구체의 사용은, 단일 현상 포토리소그래피 공정 흐름에서 사용될 수 있다. 대안적으로, 레지스트 층의 하부에 있는 층 내에 혼입되는 감광제 전구체의 사용은, 다중 현상 포토리소그래피 공정에서 사용될 수 있다. 또한, 내장된 감광제 전구체의 사용은, PSCAR 레지스트 공정을 포함시키는 것과 무관하게 사용될 수 있다. 대안적으로, 내장된 감광제 전구체는 PSCAR 레지스트 공정과 함께 사용될 수 있다. 예를 들어, 다중 노광 포토리소그래피 공정은, 초기 패터닝된 노광 후에 투광 노광이 후속되는, PSCAR 레지스트를 사용하는 강화된 PSCAR 공정일 수 있다. 일 실시예에서, EMR의 제1 파장을 사용하여, 접점 개구 패턴이 기판 상에 노출될 수 있다. 일 실시형태에서, EMR의 제1 파장은 EUV 파장일 수 있다. 그 다음, EMR의 제2 파장의 투광 노광은, EMR의 제1 파장과 상이한 EMR의 파장으로 수행될 수 있다. 일 실시형태에서, 제2 파장은 EMR의 제1 파장 초과이다. 예를 들어, 제1 파장은 EUV 파장일 수 있으며, 제2 파장은 UV 파장일 수 있다. EMR의 제2 파장의 투광 노광을 사용함으로써, 달리 적절히 형성되지 않는 접점 개구가 스커밍 없이 형성될 수 있다. 일 실시형태에서, 예를 들어 투광 노광 후에, 하나의 현상 공정이 사용된다. 다른 실시형태에서, 2개의 현상 공정이 사용될 수 있다(제1 노광과 투광 노광 사이의 제1 현상 단계, 및 그 다음, 투광 노광 후의 제2 현상 단계). 2개의 현상 공정 흐름에서, 일부 접점 개구는, 제1 현상 단계 후에 접점의 베이스에서 레지스트 스커밍을 가질 수 있으며, 투광 노광 및 제2 현상 단계는 이러한 스커밍을 제거할 수 있다. PSCAR 레지스트 공정이 사용되는 경우, 레지스트는 방사선 감응성 재료일 수 있으며, 방사선 감응성 재료는 적어도, (1) 방사선 감응성 재료 내에서 제1 산 농도로 산의 생성을 제어하고, 방사선 감응성 재료 내에서 감광제 분자의 생성을 제어하는, 제1 EMR 파장 활성화 임계치, 및 (2) 제1 산 농도 초과인 제2 산 농도를 포함하는 산을 야기하는 방사선 감응성 재료 내의 감광제 분자를 여기시킬 수 있는 제2 EMR 파장 활성화 임계치를 갖고, 제2 EMR 파장 활성화 임계치는 제1 EMR 파장 활성화 임계치보다 더 높다.
전술한 제1 실시형태 및 제2 실시형태는 별개로 사용될 수 있음을 인식할 것이다. 대안적으로, 제1 실시형태 및 제2 실시형태 둘 모두가 조합되어 사용될 수 있다.
전술한 바와 같이, PSCAR 공정의 포토레지스트는, 적어도 2개의 파장 활성화 임계치를 가질 수 있다. 제1 파장 활성화 임계치는 포토레지스트 내에 산을 형성할 수 있으며, 또한 감광성 전구체를 감광제 분자로 변환시킬 수 있다. 일 실시형태에서, 제1 파장 활성화 임계치는, EUV 또는 더 낮은 파장의 EMR에 반응하도록 설정된다. 전형적으로, 제1 파장 활성화 임계치를 제어하는 EMR의 파장은, EMR의 패터닝된 노광 동안 제공된다. 제2 파장 활성화 임계치는, 레지스트 내에서 추가적인 산의 형성을 야기하는 감광성 분자의 여기(excitation)를 제어한다. 일 실시형태에서, 제2 파장 활성화 임계치는, 제1 파장 활성화 임계치보다 더 높도록 설정된다(예를 들어, EMR의 UV 또는 더 높은 파장). 전형적으로, 제2 파장 활성화 임계치를 제어하는 EMR의 파장은, EMR의 패터닝되지 않은 투광 노광 동안 제공된다.
도 1은 예를 들어 제1 현상 공정 후에, 상실된 접점 개구를 갖는 패터닝된 층(100)의 평면도를 도시한다. 보다 구체적으로, 레지스트(105)는, 접점 개구(110) 및 상실된 접점 개구(115)를 갖는다. 도 2는 기판(215) 위의 상실된 접점 개구(115)의 상실된 접점 개구 단면도(200)를 도시한다. 도 2의 단면도는, 전술한 바와 같은, 초기 노광 및 제1 현상 단계 후에 도시된다. 도면에 도시된 바와 같이, 산(205) 및 감광제(210)가 상실된 접점 개구(115) 내에 존재할 수 있다. 그 다음, 공정은 투광 노광으로 계속된다. 도 3은 상실된 접점 개구(115)의 투광 노광 후의 단면도(300)를 도시한다. 도 3에 도시된 바와 같이, 투광 노광 후에, 도 2에 도시된 것과 비교하여, 산의 증가된 형성이 이루어진다(도 2는 투광 노광 공정 전이다). 그 다음, 제2 현상 공정이 수행될 수 있다. 도 4는 제2 현상 공정 후의 이전에 상실된 접점 개구(115)의 단면도를 도시한다. 도 4의 제2 현상 후의 단면도(400)에 도시된 바와 같이, 이전에 상실된 접점 개구(115)의 위치에 접점 개구(410)가 이제 생성된다. 제2 현상 후의 평면도(500)는, 레지스트(105), 원래 형성된(제1 현상 공정으로부터 형성된) 접점 개구(110), 및 제2 현상 공정으로부터 형성된 접점 개구(410)의 평면도를 도시한다.
따라서, 본원에 설명된 바와 같이, 초기 EUV 노광 및 현상 단계 후에 상실되는 접점 개구를 개방시키기 위해, 제1 현상 단계 후에 투광 노광이 사용되는 공정이 제공된다. 이러한 실시형태에서, EUV 노광에 의해 생성된 산에 인접하여 감광제 전구체로부터 생성된 감광제는, 초기 패터닝된 EUV 노광 시에 상실된 접점 개구 내에 생성된다. 그 다음, 생성된 감광제는, 투광 노광 및 현상 단계 후에 이를 개방하기 위해, 폐쇄된 접점 개구 내에서 탈보호 및 산 생성을 증폭시키기 위해 사용될 수 있다. 다른 접점 개구 내에 생성된 대부분의 감광제(제1 노광 및 현상 단계로부터 완전히 형성된 것들)가 제1 현상 단계 동안 용매화/제거되었다는 점을 고려하면, 이러한 공정에 따라, 이미 개방된 접점 개구 임계 치수 및 프로파일에 크게 영향을 주지 않으면서, 상실된 접점 개구를 개방할 수 있다.
전술한 바와 같이, 제1 패터닝된 노광, 제1 현상 단계, 패터닝되지 않은 투광 노광, 및 제2 현상 단계의 공정 흐름이 제공된다. 이러한 설명은 다른 잘 알려진 포토리소그래피 단계를 공정 흐름 내에 포함시키는 것을 배제하도록 의도되지 않음을 인식할 것이다. 예를 들어, 노광이 수행된 후에 그러나 현상 전에, 포토레지스트를 열처리하는 노광후 열처리(post-exposure bake: PEB) 공정의 사용이 사용될 수 있다. 예를 들어, 노광후 열처리는, 제1 패터닝된 노광 후에 및/또는 제2 패터닝되지 않은 투광 노광 후에 수행될 수 있다. 또한, 현상후 검사(ADI) 단계가 하나 또는 둘 모두의 현상 단계 후에 수행될 수 있다. 본원에 제공된 설명은 이러한 단계를 포함시키는 것을 배제하도록 제한되는 것으로 의도되지 않기 때문에, 다른 추가적인 알려진 포토리소그래피 단계가 수행될 수도 있다.
잠재적인 순간적 효과로 인해, 레지스트 재료는, 형성된 좁은 공간 또는 개구의 하부로 전파되는 EMR을 촉진시키기 위해, (감광제 재료가 이를 흡수하는 동안) 투광 파장에 투과성인 것이 바람직할 수 있다. 선택적으로, 제1 현상 단계 후에, 레지스트 내에 형성된 패턴 내의 갭을 충전하기 위해 사용되는 코팅은, 투광 노광 단계 전에 사용될 수 있다. 갭 충전 코팅은, 투광 노광과 관련하여 발생할 수 있는 전파 문제로 인해 바람직할 수 있다. 보다 구체적으로, 선폭이 감소함에 따라, 매우 얇은 개구가 레지스트 내에 생성된다. 투광 노광의 더 높은 파장으로 인해, 투광 노광 EMR은 이러한 얇은 개구를 통하여 완전히 전파되지 않을 수 있다. 이러한 전파 효과는, 포토레지스트의 하부 부분에서 특히 중요할 수 있다. 얇은 개구의 효과를 해결하기 위해, 제1 현상 단계 후에 형성된 공간(갭)을 충전하도록, 투광 노광 전에 레지스트 위에 갭 충전 코팅이 제공될 수 있다. 다양한 재료가 갭 충전 코팅으로 사용될 수 있다. 일부 실시형태에서, 갭 충전 재료는, 폴리(비닐 알코올), 폴리(아크릴아미드), 폴리(프탈알데히드), 폴리(숙신알데히드), 폴리(알릴 알코올), 폴리(글리옥실산), 폴리(메틸 글리옥실산), 폴리(에틸 글리옥실산), 폴리(메틸 글리옥실레이트), 폴리(에틸 글리옥실레이트), 및 폴리(아스파르트산)으로 이루어진 그룹으로부터의 적어도 하나의 폴리머 화합물을 포함할 수 있다. 또한, 갭 충전 처리 액체는, 폴리(메틸 글리옥실산) 및/또는 폴리(에틸 글리옥실산)의 적어도 하나의 폴리머 염을 포함할 수 있다. 예를 들어, 이의 암모늄 및 나트륨 폴리머 염. 이러한 실시예는, Somervell 등의 "갭 충전 재료 도포를 통한 라인 패턴 붕괴 완화"라는 명칭의 미국 특허 번호 제9,454,081호에 보다 상세히 설명되어 있으며, 이의 개시물은 그 전체가 본원에 명시적으로 포함된다. 또 다른 실시형태에서, 수소 실세스퀴옥산(hydrogen silsesquioxane: HSQ)과 같은 폴리머 유전체 재료가 갭 충전 코팅으로 사용될 수 있다.
전술한 공정을 위해 사용되는 포토레지스트는, 임의의 다양한 PSCAR 레지스트일 수 있다. 일 실시형태에서, 포토레지스트는, 아세토페논, 트리페닐렌, 벤조페논, 플루오렌온, 안트라퀴논, 페난트렌, 또는 이들의 유도체 및 조합물을 포함하는 감광제 생성 화합물을 포함할 수 있다. 또한, 포토레지스트는, 트리페닐술포늄 트리플레이트, 트리페닐술포늄 노나플레이트(nonaflate), 트리페닐술포늄 퍼플루오로옥틸술포네이트, 트리아릴술포늄 트리플레이트, 트리아릴술포늄 노나플레이트, 트리아릴술포늄 퍼플루오로옥틸술포네이트, 트리페닐술포늄 염, 트리아릴술포늄 염, 트리아릴술포늄 헥사플루오로안티모네이트 염, N-히드록시나프탈이미드 트리플레이트, 1,1-비스[p-클로로페닐]-2,2,2-트리클로로에탄(DDT), 1,1-비스[p-메톡시페닐]-2,2,2-트리클로로에탄, 1,2,5,6,9,10-헥사브로모사이클로도데칸, 1,10-디브로모데칸, 1,1-비스[p-클로로페닐]2,2-디클로로에탄, 4,4-디클로로-2-(트리클로로메틸)벤즈히드롤, 1,1-비스(클로로페닐) 2-2,2-트리클로로에탄올, 헥사클로로디메틸술폰, 2-클로로-6-(트리클로로메틸)피리딘, 또는 이들의 유도체 및 조합물 중 적어도 하나를 포함하는 광산 생성 화합물을 포함할 수 있다. 그러나, 이러한 실시예는 단지 예시적인 것일 뿐이며, 다른 포토레지스트가 사용될 수 있음을 인식할 것이다.
도 6은 다른 리소그래피 문제, 패턴의 베이스에서의 레지스트 스커밍을 도시한다. 도 6의 실시예에서, 단면도(600)는 레지스트 스커밍을 도시한다. 도 6에 도시된 바와 같이, 레지스트(105)는, 기판(215) 위에 제공된 하부 층(615) 상에 제공된다. 도 6에 도시된 바와 같이, 접점 개구(605)는 완전히 현상되는 것이 아니라, 오히려 접점 개구(605)의 베이스에 레지스트 스커밍(610)을 갖는다. 본원에 설명된 기술의 제2 실시형태는, 레지스트 스커밍(610)과 같은 문제를 해결하기 위한 강화된 리소그래피 공정을 제공한다. 보다 구체적으로는, 감광제 전구체가 하부 층(615)에 추가될 수 있다. 일부 실시형태에서, 하부 층(615)은 BARC 층일 수 있으며, 구체적인 실시형태에서, SiARC 층일 수 있다. 그러나, 하부 층(615)은, 감광제 전구체가 배치될 수 있는 임의의 유형의 재료로 형성될 수 있음을 인식할 것이다.
도 7a, 도 7b 및 도 7c는 레지스트(105)의 하부에 있는 하부 층(615)에 감광제 전구체를 내장하는 영향을 도시한다. 도 7a에 도시된 바와 같이, 레지스트 구조물(700)은, 레지스트(105), 및 기판(215) 위의 하부 층(615)을 갖는다. 도시된 바와 같이, 감광제 전구체(702)가 하부 층(615)에 내장된다. 도 7b는 패터닝된 노광(예를 들어, 패터닝된 EUV 노광) 후의 레지스트 구조물(700)을 도시한다. 도 7b에 도시된 바와 같이, EUV 노광으로 인해, 접점 개구 영역(705) 내에 산(205)이 형성될 수 있다. 또한, 도 7b에 도시된 바와 같이, 도 7a의 감광제 전구체(702)는, 접점 개구 영역(705) 아래에서 EUV 노광으로 인해 감광제(710)로 변환될 수 있다. 그 다음, 투광 노광이 레지스트 구조물(700)에 적용될 수 있다. 투광 노광으로 인해, 도 7c에 도시된 바와 같이, 감광제(710)의 일부 또는 전부가 산(205)으로 변환될 수 있으므로, 더 높은 농도의 산(205)을 제공할 수 있다. 그 다음, 도 7d에 도시된 바와 같이, 접점 개구 영역(705)으로부터 레지스트를 제거하기 위해, 현상 공정이 사용될 수 있다. 하부 층(615)에 내장된 감광제 전구체(702)로 인해 비롯되는, 특히 접점 개구 영역(705)의 하부에서의 더 높은 농도의 산(205)의 형성은, 하부 층(615)이 레지스트(105)와 연결되는 곳과 같은, 패터닝된 구조물의 하부에서 레지스트 스커밍을 제한하도록 보조한다. 전구체는 다양한 방식으로 하부 층에 내장될 수 있다. 예를 들어, 전구체는, 하부 층을 형성하기 위해 기판 상에 스핀 코팅되는 재료와 함께 용해될 수 있다. 대안적으로, 전구체는 하부 층 기질에 화학적으로 결합될 수 있다. 다른 방법도 사용될 수 있다.
도 7a 내지 도 7d에 도시된 바와 같이, 감광제 전구체는, 하부 층과 레지스트의 계면에서 감광제를 생성하기 위해, 하부 층(615)에 내장된다. 도시되지는 않지만, 위의 다른 실시형태에서 설명된 기술로 생성되는 바와 같은, 감광제가 레지스트 전체에 걸쳐서도 존재할 수 있음을 인식할 것이다. 따라서, 감광제를 생성하기 위해 하부 층 내의 내장된 감광제 전구체의 사용은, 바람직한 일 실시형태에서, 전술한 바와 같은 감광제 발생기 또는 전구체를 포함하는 PSCAR 레지스트에서 사용될 수도 있다. 그러나, 내장된 감광제 전구체는, 감광제 발생기/전구체를 포함하는 PSCAR 레지스트와 무관하게 사용될 수 있다. 감광제 전구체가 PSCAR 레지스트 아래의 하부 층에 내장되는 실시형태에서, PSCAR 레지스트로부터 생성된 감광제 분자, 및 하부 층에 내장된 감광성 전구체로부터 생성된 감광제 분자는, 동일한 유형의 감광제 분자일 수 있다. 대안적으로, 감광제 분자는 상이한 유형의 분자일 수 있다.
도 7a 내지 도 7d에서 전술한 바와 같이, 레지스트 층의 하부에 있는 층에 내장된 감광제 전구체의 사용은, 단일 현상 단계를 사용하는 공정과 관련하여 설명된다. 또한, 레지스트 층의 하부에 있는 층에 내장된 감광제 전구체의 사용은, (도 2 내지 도 4와 관련하여 전술한 바와 같은) 다수의 현상 단계를 사용하는 공정에서 사용될 수 있다. 따라서, 이러한 실시형태에서, 레지스트 층의 하부에 있는 층에 감광제 전구체가 내장될 수 있다. 그 다음, 패터닝된 노광 공정, 및 제1 현상 단계가 후속될 수 있다. 그 다음, 하부 층과의 계면에 가깝게 남아 있을 수 있는 임의의 스커밍된 레지스트 영역 내에 존재하는 산을 증가시키기 위해, 투광 노광이 제공될 수 있다. 그 다음, 패터닝을 완료하기 위해, 투광 노광 단계 후에, 제2 현상 단계가 수행될 수 있다. 따라서, 레지스트 층의 하부에 있는 층 내의 내장된 감광제 전구체의 사용은, 하나의 현상 단계를 사용하는 공정에서, 또는 다수의 현상 단계를 사용하는 공정에서, 바람직하게 사용될 수 있음을 인식할 것이다.
따라서, 전술한 바와 같이, 레지스트와 접촉되는 하부 재료(예를 들어, SiARC 하부 층) 내로 감광제 전구체가 유입된다. 이러한 공정으로 인해, SiARC/레지스트 계면에서 감광제가 생성되어, 부분적으로 현상된 접점 개구 또는 마이크로-가교된 라인을 개방/스커밍 제거(descumming)하는 목적으로, SiARC/레지스트 계면에서 추가적인 산을 생성/증폭하기 위해 이후에 사용될 수 있다. SiARC 기질 내의 감광제 전구체는, EUV 패터닝 위치 특정 정보를 저장하기 위해, SiARC/레지스트 계면에서 레지스트 내의 산과의 실온 전자 전달 반응에 의해, 또는 EUV 노광으로부터의 배기된(scavenged) 2차 전자(EUV 노광의 생성물임)에 의해, 감광제로 변환될 수 있다. 다른 실시형태와 관련하여 전술한 바와 유사하게, 감광제 전구체가 내장된 하부 층을 사용하는 실시형태는, 노광후 열처리, 현상후 검사, 및 갭 충전 코팅의 사용과 같은, 추가적인 포토리소그래피 단계를 선택적으로 포함할 수 있다.
또 다른 실시형태에서, 상실된 접점 개구를 개방하거나 접점 개구를 부분적으로 개방하기 위해, 그리고 스커밍/라인의 가교를 방지하기 위해, 레지스트 내의 감광제 농도 프로파일은, 레지스트의 상부로부터 레지스트의 하부로의 z축 의존성 농도를 구비할 수 있다. 보다 구체적으로, 포토레지스트의 상부 영역에서 감광제 농도를 증가시키도록, z축 의존성 감광제 농도가 제공된다. 이러한 감광제 프로파일을 제공함으로써, 상실된 접점이 최소화될 수 있다. 일 실시예에서, 농도 프로파일은, 레지스트 막의 상부 수 나노미터 내에 30 내지 70% 더 높은 농도의 종을 제공함으로써 가변될 수 있다. 따라서, PSCAR 산 증폭은, 레지스트의 상부로부터 레지스트의 하부로의 감광제 농도 프로파일을 조정함으로써 가변될 것이다. z축 감광제 농도를 형성하기 위한 다양한 기술이 사용될 수 있다. 일 실시형태에서, 감광성 전구체는, z축 농도 변화를 달성하도록 변형될 수 있다. 다른 실시형태에서, z축 농도 변화를 달성하기 위해, 톱코트 층이 사용될 수 있다.
따라서, 하나의 z축 농도 의존성 실시예에서, 감광제 전구체는, 플루오로알코올계 첨가제를 감광제 전구체 상에 테더링(tethering)함으로써 변화될 수 있다. 이러한 테더링을 수행함으로써, 감광제의 z-의존성 분리가 달성될 수 있다. 예를 들어, 플루오로알코올은 공기 계면에 대한 강한 친화력을 가지며, 재료 분리 영향을 유도하는 경향이 있다. 변화된 감광제 전구체를 사용하는 하나의 예시적인 공정 흐름은, 패터닝된 노광(예를 들어, EUV 노광), 그 다음 노광후 열처리, 그 다음 제1 현상 단계, 그 다음 투광 노광(예를 들어, UV 노광), 그 다음 제2 노광후 열처리, 및 마지막으로 제2 현상 단계를 포함한다. 전술한 바와 같이, 모든 그러한 단계가 필요한 것은 아니며, 모두 당업자에 의해 인식되는 바와 같이, 다른 단계가 추가될 수 있음을 인식할 것이다. 예를 들어, 하나 이상의 노광후 열처리가 사용될 수 있으며, 제1 현상 단계 후에, 갭 충전 코팅 층이 추가될 수 있다.
다른 z축 농도 의존성 실시예에서, 톱코트 층이 레지스트의 상부 상에 배치될 수 있으며, 톱코트 층은 감광제 분자의 표면 친화력을 유도한다. 이는 레지스트의 상부에 대한 감광제 분자의 z-의존성 분리를 제공하므로, 상실된 접점을 개방하도록 보조한다. 예를 들어, 톱코트 재료는, 강하게 플루오로알코올 결합된 폴리머 연쇄를 포함하는 재료일 수 있다. 이러한 톱코트 재료의 높은 극성은, 레지스트 종의 분리를 유도할 수 있다. 톱코트 층을 사용하는 하나의 예시적인 공정 흐름은, 패터닝된 노광(예를 들어, EUV 노광), 그 다음 톱코트 층의 형성, 그 다음 노광후 열처리, 그 다음 제1 현상 단계, 그 다음 투광 노광(예를 들어, UV 노광), 그 다음 제2 노광후 열처리, 및 마지막으로 제2 현상 단계를 포함할 수 있다. 전술한 바와 같이, 모든 그러한 단계가 필요한 것은 아니며, 모두 당업자에 의해 인식되는 바와 같이, 다른 단계가 추가될 수 있음을 인식할 것이다. 예를 들어, 하나 이상의 노광후 열처리가 사용될 수 있으며, 제1 현상 단계 후에, 갭 충전 코팅 층이 추가될 수 있다. 톱코트 층을 사용하는 예시적인 제2 공정 흐름은, 패터닝된 노광(예를 들어, EUV 노광), 그 다음 노광후 열처리, 그 다음 제1 현상 단계, 그 다음 톱코트 층의 형성, 그 다음 투광 노광(예를 들어, UV 노광), 그 다음 제2 노광후 열처리, 및 마지막으로 제2 현상 단계를 포함할 수 있다. 전술한 바와 같이, 모든 그러한 단계가 필요한 것은 아니며, 모두 당업자에 의해 인식되는 바와 같이, 다른 단계가 추가될 수 있음을 인식할 것이다. 예를 들어, 하나 이상의 노광후 열처리가 사용될 수 있으며, 제1 현상 단계 후에, 갭 충전 코팅 층이 추가될 수 있다.
본원에 도시되고 설명된 모든 층은, 패터닝된 형상부의 사용이 바람직한 임의의 기판일 수 있는 기판의 일부일 수 있음을 인식할 것이다. 예를 들어, 일 실시형태에서, 기판은 하나 이상의 반도체 공정 층이 그 위에 형성된 반도체 기판일 수 있다. 일 실시형태에서, 기판은, 그 모두가 기판 공정 기술분야에 알려져 있고 기판의 일부인 것으로 간주될 수 있는, 다양한 구조물 및 층을 산출하는 다수의 반도체 공정 단계를 거친 반도체 웨이퍼일 수 있다. 일 실시형태에서, 본원에 개시된 개념은 라인 전단(FEOL) 공정 단계에서 사용하기 위해 특히 바람직할 수 있다. 다른 실시형태에서, 본원에 개시된 개념은 라인 후단(BEOL) 공정에서 사용될 수 있다. 또한, 본원에 개시된 개념은 라인 중간(MOL) 공정 단계에서 사용될 수 있다.
도 8 내지 도 10은 본원에 설명된 공정 기술의 사용을 위한 예시적인 방법을 도시한다. 도 8 내지 도 10의 실시형태는 단지 예시적인 것일 뿐이며, 추가적인 방법이 본원에 설명된 기술을 사용할 수 있음을 인식할 것이다. 또한, 설명된 단계는 한정적인 것으로 의도되지 않기 때문에, 추가적인 공정 단계가 도 8 내지 도 10에 도시된 방법에 추가될 수 있다. 더욱이, 상이한 순서로 수행될 수 있거나/수행될 수 있고 다양한 단계가 조합하여 또는 동시에 수행될 수 있기 때문에, 단계의 순서는 도면에 도시된 순서로 제한되지 않는다.
도 8은 기판 상의 방사선 감응성 재료 내에 접점 개구를 형성하기 위한 방법을 도시한다. 방법은 기판 상에 하부 층을 제공하는 제1 단계(805)를 포함한다. 단계(810)는 하부 층 위에 방사선 감응성 재료를 제공하는 단계를 포함하며, 방사선 감응성 재료는 적어도, (1) 방사선 감응성 재료 내에서 제1 산 농도로 산의 생성을 제어하고, 방사선 감응성 재료 내에서 EMR 흡수 분자의 생성을 제어하는, 제1 EMR 파장 활성화 임계치, 및 (2) 제1 산 농도 초과인 제2 산 농도를 포함하는 산을 야기하는 방사선 감응성 재료 내의 EMR 흡수 분자를 여기시킬 수 있는 제2 EMR 파장 활성화 임계치를 갖고, 제2 EMR 파장 활성화 임계치는 제1 EMR 파장 활성화 임계치보다 더 높다. 방법은, 방사선 감응성 재료 내에 EMR 흡수 분자를 생성하기 위해, 패터닝된 마스크를 통하여 방사선 감응성 재료 상에 제1 파장의 EMR을 노출시키는 단계(815)를 더 포함하며, EMR의 제1 파장은, 제1 EMR 파장 활성화 임계치 이상이고 제2 EMR 파장 활성화 임계치 미만인 파장을 포함한다. 방법은, 패터닝된 마스크에 적어도 부분적으로 해당하는 방사선 감응성 재료 내의 복수의 접점 개구를 형성하기 위해, 방사선 감응성 재료의 제1 현상 공정을 수행하는 단계(820)를 더 포함하며, 패턴 마스크의 패턴의 적어도 일부는, 불완전한 접점 개구 영역이 방사선 감응성 재료 내에 존재하도록, 제1 현상 공정 후에 불완전하게 형성된다. 방법은, 제1 현상 공정을 수행한 후에, 제2 파장의 EMR을 방사선 감응성 재료에 투광 노출시키는 단계(825)를 더 포함하며, EMR의 제2 파장은 제2 EMR 파장 활성화 임계치 이상이다. 방법은, 방사선 감응성 재료의 제2 현상 공정을 수행하는 단계(830)를 더 포함하며, 제2 현상 공정은, 불완전한 접점 개구 영역을 접점 개구로 변환시킨다.
다른 실시형태에서, 도 9는 기판 상의 방사선 감응성 재료 내에 패턴을 형성하기 위한 방법을 도시한다. 방법은, 방사선 감응성 재료를 제공하는 단계(905), 및 기판 상에 하부 층을 제공하는 단계(910)를 포함하며, 하부 층은 방사선 감응성 재료의 하부에 있고, 하부 층은 EMR 흡수 분자의 전구체를 갖는다. 방법은, EMR 흡수 분자를 생성하기 위해, 패터닝된 마스크를 통하여 방사선 감응성 재료 상에 제1 파장의 EMR을 노출시키는 단계(915)를 더 포함하며, EMR 흡수 분자는, 하부 층 내의 EMR 흡수 분자의 전구체로부터 형성된다. 방법은, 방사선 감응성 재료 상에 제2 파장의 EMR을 투광 노출시키는 단계(920)를 더 포함하며, 투광 노출시키는 단계는, EMR 흡수 분자의 적어도 일부를 산으로 변환시키고, 하부 층 내의 EMR 흡수 분자의 전구체로부터 형성된 EMR 흡수 분자는, 방사선 감응성 재료 내에 강화된 산 레벨을 생성함으로써, 방사선 감응성 재료 내의 패턴 마스크의 패턴의 생성을 보조한다.
또 다른 실시형태에서, 도 10은 기판 상의 방사선 감응성 재료 내에 접점 개구 패턴을 형성하기 위한 방법을 도시한다. 방법은 방사선 감응성 재료를 제공하는 단계(1005)를 포함하며, 방사선 감응성 재료는 적어도, (1) 방사선 감응성 재료 내에서 제1 산 농도로 산의 생성을 제어하고, 방사선 감응성 재료 내에서 제1 EMR 흡수 분자의 생성을 제어하는, 제1 EMR 파장 활성화 임계치, 및 (2) 제1 산 농도 초과인 제2 산 농도를 포함하는 산을 야기하는 방사선 감응성 재료 내의 제1 EMR 흡수 분자를 여기시킬 수 있는 제2 EMR 파장 활성화 임계치를 갖고, 제2 EMR 파장 활성화 임계치는 제1 EMR 파장 활성화 임계치보다 더 높다. 방법은 기판 상에 하부 층을 제공하는 단계(1010)를 더 포함하며, 하부 층은 방사선 감응성 재료의 하부에 있고, 하부 층은 EMR 흡수 분자의 전구체를 갖는다. 방법은, 방사선 감응성 재료 내에 제1 EMR 흡수 분자를 생성하기 위해 그리고 제2 EMR 흡수 분자를 생성하기 위해, 접점 개구 패턴을 갖는 패터닝된 마스크를 통하여 방사선 감응성 재료 상에 제1 파장의 EMR을 노출시키는 단계(1015)를 더 포함하며, 제2 EMR 흡수 분자는 하부 층 내의 EMR 흡수 분자의 전구체로부터 형성되고, EMR의 제1 파장은, 제1 EMR 파장 활성화 임계치 이상이고 제2 EMR 파장 활성화 임계치 미만인 파장을 포함한다. 방법은, 패터닝된 마스크의 접점 개구 패턴에 적어도 부분적으로 해당하는 방사선 감응성 재료 내의 제1 패턴을 형성하기 위해, 방사선 감응성 재료의 제1 현상 공정을 수행하는 단계(1020)를 더 포함하며, 패터닝된 마스크의 접점 개구 패턴의 적어도 일부는, 제1 현상 공정 후에 불완전하게 형성된다. 방법은, 제1 현상 공정을 수행한 후에, 제2 파장의 EMR을 방사선 감응성 재료에 투광 노출시키는 단계(1025)를 더 포함하며, EMR의 제2 파장은 제2 EMR 파장 활성화 임계치 이상이다. 방법은, 방사선 감응성 재료의 제2 현상 공정을 수행하는 단계(1030)를 더 포함하며, 제2 현상 공정은, 방사선 감응성 재료 내의 패터닝된 마스크의 접점 개구 패턴의 형성을 완료하고, 하부 층 내의 EMR 흡수 분자의 전구체로부터 형성된 제2 EMR 흡수 분자는, 방사선 감응성 재료 내의 패터닝된 마스크의 접점 개구 패턴의 형성을 보조한다.
본 발명의 추가적인 변형예 및 대안적인 실시형태는 본 설명을 고려하여 당업자에게 명백해질 것이다. 따라서, 본 설명은 단지 예시적인 것으로 해석되어야 하며, 본 발명을 수행하는 방식을 당업자에게 교시하기 위한 목적이다. 본원에 도시되고 설명된 본 발명의 형태 및 방법은 현재의 바람직한 실시형태로 간주되는 것으로 이해되어야 한다. 본원에 도시되고 설명된 것들은 동등한 기술로 대체될 수 있으며, 본 발명의 이러한 설명의 이점을 얻은 후에 당업자에게 모두 명백해지는 바와 같이, 본 발명의 특정한 특징은 다른 특징의 사용과 무관하게 사용될 수 있다.

Claims (23)

  1. 기판 상의 방사선 감응성 재료 내에 접점 개구를 형성하기 위한 방법으로서,
    상기 기판 상에 하부 층을 제공하는 단계;
    상기 하부 층 위에 상기 방사선 감응성 재료를 제공하는 단계로서, 상기 방사선 감응성 재료는 적어도, (1) 상기 방사선 감응성 재료 내에서 제1 산 농도로 산의 생성을 제어하고, 상기 방사선 감응성 재료 내에서 EMR 흡수 분자의 생성을 제어하는, 제1 전자기 방사선(EMR) 파장 활성화 임계치, 및 (2) 상기 제1 산 농도 초과인 제2 산 농도를 포함하는 상기 산을 야기하는 상기 방사선 감응성 재료 내의 상기 EMR 흡수 분자를 여기시킬 수 있는 제2 EMR 파장 활성화 임계치를 갖고, 상기 제2 EMR 파장 활성화 임계치는 상기 제1 EMR 파장 활성화 임계치보다 더 높은, 단계;
    상기 방사선 감응성 재료 내에 상기 EMR 흡수 분자를 생성하기 위해, 패터닝된 마스크를 통하여 상기 방사선 감응성 재료 상에 제1 파장의 EMR을 노출시키는 단계로서, EMR의 상기 제1 파장은, 상기 제1 EMR 파장 활성화 임계치 이상이고 상기 제2 EMR 파장 활성화 임계치 미만인 파장을 포함하는, 단계;
    상기 패터닝된 마스크에 적어도 부분적으로 해당하는 상기 방사선 감응성 재료 내의 복수의 접점 개구를 형성하기 위해, 상기 방사선 감응성 재료의 제1 현상 공정을 수행하는 단계로서, 상기 패턴 마스크의 패턴의 적어도 일부는, 불완전한 접점 개구 영역이 상기 방사선 감응성 재료 내에 존재하도록, 상기 제1 현상 공정 후에 불완전하게 형성되는, 단계;
    상기 제1 현상 공정을 수행한 후에, 제2 파장의 EMR을 상기 방사선 감응성 재료에 투광 노출시키는 단계로서, EMR의 상기 제2 파장은 상기 제2 EMR 파장 활성화 임계치 이상인, 단계; 및
    상기 방사선 감응성 재료의 제2 현상 공정을 수행하는 단계를 포함하며,
    상기 제2 현상 공정은 상기 불완전한 접점 개구 영역을 접점 개구로 변환시키는,
    기판 상의 방사선 감응성 재료 내에 접점 개구를 형성하기 위한 방법.
  2. 제1항에 있어서,
    상기 제1 현상 공정으로부터 상기 기판 상에 형성된 갭을 적어도 부분적으로 충전하기 위해, 상기 제1 현상 공정 후에 그리고 상기 투광 노출시키는 단계 전에 형성된 갭 충전 코팅을 사용하는 단계를 더 포함하는, 방법.
  3. 제2항에 있어서,
    상기 방사선 감응성 재료는, 아세토페논, 트리페닐렌, 벤조페논, 플루오렌온, 안트라퀴논, 페난트렌, 벤조페논, 크산톤, 티오크산톤, 다른 케톤 화합물, 또는 이들의 유도체 및 조합물을 포함하는 EMR 흡수 분자의 전구체를 포함할 수 있는, 방법.
  4. 제2항에 있어서,
    상기 투광 노출시키는 단계 전에, 상기 방사선 감응성 재료는 상기 EMR 흡수 분자를 가지며,
    EMR 흡수 분자 농도 프로파일은 상기 방사선 감응성 재료의 상부로부터 하부로 가변되는, 방법.
  5. 제4항에 있어서,
    EMR 흡수 분자 농도는, 상기 방사선 감응성 재료의 하부보다 상기 방사선 감응성 재료의 상부에서 더 높은, 방법.
  6. 제4항에 있어서,
    EMR 흡수 분자의 전구체는, 상기 EMR 흡수 분자 농도 프로파일을 생성하도록 구성되는, 방법.
  7. 제4항에 있어서,
    상기 EMR 흡수 분자 농도 프로파일을 생성하기 위해, 톱코트가 사용되는, 방법.
  8. 제1항에 있어서,
    상기 투광 노출시키는 단계 전에, 상기 방사선 감응성 재료는 상기 EMR 흡수 분자를 가지며,
    EMR 흡수 분자 농도 프로파일은 상기 방사선 감응성 재료의 상부로부터 하부로 가변되는, 방법.
  9. 기판 상의 방사선 감응성 재료 내에 패턴을 형성하기 위한 방법으로서,
    상기 방사선 감응성 재료를 제공하는 단계;
    상기 기판 상에 하부 층을 제공하는 단계로서, 상기 하부 층은 상기 방사선 감응성 재료의 하부에 있고, 상기 하부 층은 전자기 방사선(EMR) 흡수 분자의 전구체를 갖는, 단계;
    EMR 흡수 분자를 생성하기 위해, 패터닝된 마스크를 통하여 상기 방사선 감응성 재료 상에 제1 파장의 EMR을 노출시키는 단계로서, 상기 EMR 흡수 분자는, 상기 하부 층 내의 EMR 흡수 분자의 상기 전구체로부터 형성되는, 단계; 및
    제2 파장의 EMR을 상기 방사선 감응성 재료 상에 투광 노출시키는 단계를 포함하며,
    상기 투광 노출시키는 단계는, 상기 EMR 흡수 분자의 적어도 일부를 산으로 변환시키고,
    상기 하부 층 내의 EMR 흡수 분자의 상기 전구체로부터 형성된 상기 EMR 흡수 분자는, 상기 방사선 감응성 재료 내에 강화된 산 레벨을 생성함으로써, 상기 방사선 감응성 재료 내의 상기 패턴 마스크의 패턴의 생성을 보조하는,
    기판 상의 방사선 감응성 재료 내에 패턴을 형성하기 위한 방법.
  10. 제9항에 있어서,
    상기 패턴은 접점 개구 패턴인, 방법.
  11. 제9항에 있어서,
    상기 방사선 감응성 재료는 EMR 흡수 분자의 전구체를 추가로 갖는, 방법.
  12. 제11항에 있어서,
    상기 하부 층에 내장된 EMR 흡수 분자의 상기 전구체, 및 상기 방사선 감응성 재료 내의 EMR 흡수 분자의 상기 전구체는 동일한 조성을 갖는, 방법.
  13. 제11항에 있어서,
    상기 하부 층에 내장된 EMR 흡수 분자의 상기 전구체, 및 상기 방사선 감응성 재료 내의 EMR 흡수 분자의 상기 전구체는 상이한 조성을 갖는, 방법.
  14. 제11항에 있어서,
    상기 패터닝된 마스크를 통하여 상기 제1 파장의 EMR을 노출시키는 단계 후에 그러나 상기 투광 노출시키는 단계 전에, 제1 현상 공정을 수행하는 단계; 및
    상기 투광 노출시키는 단계 후에, 제2 현상 공정을 수행하는 단계를 더 포함하는, 방법.
  15. 제14항에 있어서,
    상기 패턴은 접점 개구 패턴인, 방법.
  16. 제14항에 있어서,
    상기 제1 현상 공정으로부터 상기 기판 상에 형성된 갭을 적어도 부분적으로 충전하기 위해, 상기 제1 현상 공정 후에 그리고 상기 투광 노출시키는 단계 전에 형성된 갭 충전 코팅을 사용하는 단계를 더 포함하는, 방법.
  17. 제9항에 있어서,
    상기 패터닝된 마스크를 통하여 상기 제1 파장의 EMR을 노출시키는 단계 후에 그러나 상기 투광 노출시키는 단계 전에, 제1 현상 공정을 수행하는 단계; 및
    상기 투광 노출시키는 단계 후에, 제2 현상 공정을 수행하는 단계를 더 포함하는, 방법.
  18. 제9항에 있어서,
    상기 제1 현상 공정으로부터 상기 기판 상에 형성된 갭을 적어도 부분적으로 충전하기 위해, 상기 제1 현상 공정 후에 그리고 상기 투광 노출시키는 단계 전에 형성된 갭 충전 코팅을 사용하는 단계를 더 포함하는, 방법.
  19. 제9항에 있어서,
    상기 EMR 흡수 분자는 감광제 분자이며, EMR 흡수 분자의 상기 전구체는 감광제 전구체인, 방법.
  20. 기판 상의 방사선 감응성 재료 내에 접점 개구 패턴을 형성하기 위한 방법으로서,
    상기 방사선 감응성 재료를 제공하는 단계로서, 상기 방사선 감응성 재료는 적어도, (1) 상기 방사선 감응성 재료 내에서 제1 산 농도로 산의 생성을 제어하고, 상기 방사선 감응성 재료 내에서 제1 EMR 흡수 분자의 생성을 제어하는, 제1 전자기(EMR) 파장 활성화 임계치, 및 (2) 상기 제1 산 농도 초과인 제2 산 농도를 포함하는 상기 산을 야기하는 상기 방사선 감응성 재료 내의 상기 제1 EMR 흡수 분자를 여기시킬 수 있는 제2 EMR 파장 활성화 임계치를 갖고, 상기 제2 EMR 파장 활성화 임계치는 상기 제1 EMR 파장 활성화 임계치보다 더 높은, 단계;
    상기 기판 상에 하부 층을 제공하는 단계로서, 상기 하부 층은 상기 방사선 감응성 재료의 하부에 있고, 상기 하부 층은 EMR 흡수 분자의 전구체를 갖는, 단계;
    상기 방사선 감응성 재료 내에 상기 제1 EMR 흡수 분자를 생성하기 위해 그리고 제2 EMR 흡수 분자를 생성하기 위해, 상기 접점 개구 패턴을 갖는 패터닝된 마스크를 통하여 상기 방사선 감응성 재료 상에 제1 파장의 EMR을 노출시키는 단계로서, 상기 제2 EMR 흡수 분자는 상기 하부 층 내의 EMR 흡수 분자의 상기 전구체로부터 형성되고, EMR의 상기 제1 파장은, 상기 제1 EMR 파장 활성화 임계치 이상이고 상기 제2 EMR 파장 활성화 임계치 미만인 파장을 포함하는, 단계;
    상기 패터닝된 마스크의 상기 접점 개구 패턴에 적어도 부분적으로 해당하는 상기 방사선 감응성 재료 내의 제1 패턴을 형성하기 위해, 상기 방사선 감응성 재료의 제1 현상 공정을 수행하는 단계로서, 상기 패터닝된 마스크의 상기 접점 개구 패턴의 적어도 일부는 상기 제1 현상 공정 후에 불완전하게 형성되는, 단계;
    상기 제1 현상 공정을 수행한 후에, 제2 파장의 EMR을 상기 방사선 감응성 재료에 투광 노출시키는 단계로서, EMR의 상기 제2 파장은 상기 제2 EMR 파장 활성화 임계치 이상인, 단계; 및
    상기 방사선 감응성 재료의 제2 현상 공정을 수행하는 단계를 포함하며,
    상기 제2 현상 공정은, 상기 방사선 감응성 재료 내의 상기 패터닝된 마스크의 상기 접점 개구 패턴의 형성을 완료하고,
    상기 하부 층 내의 EMR 흡수 분자의 상기 전구체로부터 형성된 상기 제2 EMR 흡수 분자는, 상기 방사선 감응성 재료 내의 상기 패터닝된 마스크의 상기 접점 개구 패턴의 형성을 보조하는,
    기판 상의 방사선 감응성 재료 내에 접점 개구 패턴을 형성하기 위한 방법.
  21. 제20항에 있어서,
    상기 제1 EMR 흡수 분자 및 상기 제2 EMR 흡수 분자는 동일한 조성을 갖는, 방법.
  22. 제20항에 있어서,
    상기 제1 현상 공정으로부터 상기 기판 상에 형성된 갭을 적어도 부분적으로 충전하기 위해, 상기 제1 현상 공정 후에 그리고 상기 투광 노출시키는 단계 전에 형성된 갭 충전 코팅을 사용하는 단계를 더 포함하는, 방법.
  23. 제20항에 있어서,
    상기 제1 EMR 흡수 분자는 제1 감광제 분자이며, 상기 제2 EMR 흡수 분자는 제2 감광제 분자이고, EMR 흡수 분자의 상기 전구체는 감광제 전구체인, 방법.
KR1020227007317A 2019-08-16 2020-08-12 확률 중심 결함 교정을 위한 방법 및 공정 KR20220046598A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962888049P 2019-08-16 2019-08-16
US62/888,049 2019-08-16
PCT/US2020/045931 WO2021034567A1 (en) 2019-08-16 2020-08-12 Method and process for stochastic driven defectivity healing

Publications (1)

Publication Number Publication Date
KR20220046598A true KR20220046598A (ko) 2022-04-14

Family

ID=74567194

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227007317A KR20220046598A (ko) 2019-08-16 2020-08-12 확률 중심 결함 교정을 위한 방법 및 공정

Country Status (3)

Country Link
US (1) US11163236B2 (ko)
KR (1) KR20220046598A (ko)
WO (1) WO2021034567A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019240279A1 (ja) * 2018-06-14 2019-12-19 国立大学法人大阪大学 レジストパターン形成方法

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4609615A (en) 1983-03-31 1986-09-02 Oki Electric Industry Co., Ltd. Process for forming pattern with negative resist using quinone diazide compound
DE3574418D1 (en) 1984-05-30 1989-12-28 Fujitsu Ltd Pattern-forming material and its production and use
DE3576088D1 (de) 1985-05-29 1990-03-29 Ibm Deutschland Verfahren zur reparatur von transmissionsmasken.
US4931380A (en) 1985-07-18 1990-06-05 Microsi, Inc. Pre-exposure method for increased sensitivity in high contrast resist development of positive working diazo ketone photoresist
JPH0654390B2 (ja) 1986-07-18 1994-07-20 東京応化工業株式会社 高耐熱性ポジ型ホトレジスト組成物
JPH0740543B2 (ja) 1987-02-17 1995-05-01 松下電子工業株式会社 半導体装置の製造方法
JPH04239116A (ja) 1991-01-14 1992-08-27 Fujitsu Ltd 半導体装置の製造方法
JP2723405B2 (ja) 1991-11-12 1998-03-09 松下電器産業株式会社 微細電極の形成方法
US5294680A (en) 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
JP3158710B2 (ja) 1992-09-16 2001-04-23 日本ゼオン株式会社 化学増幅レジストパターンの形成方法
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
JPH0990621A (ja) 1995-09-21 1997-04-04 Canon Inc レジスト組成物、同組成物を用いるパターン形成方法、および半導体デバイスの製造方法
JP2910654B2 (ja) 1996-01-30 1999-06-23 日本電気株式会社 レジストパターン形成方法
JP2867964B2 (ja) 1996-06-27 1999-03-10 日本電気株式会社 レジスト膜パターンの形成方法
US5770523A (en) 1996-09-09 1998-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removal of photoresist residue after dry metal etch
US5905019A (en) 1997-09-26 1999-05-18 International Business Machines Corporation Thin resist process by sub-threshold exposure
JPH11237737A (ja) 1997-12-19 1999-08-31 Kansai Shingijutsu Kenkyusho:Kk 感光性樹脂組成物およびその製造方法
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
US6009888A (en) 1998-05-07 2000-01-04 Chartered Semiconductor Manufacturing Company, Ltd. Photoresist and polymer removal by UV laser aqueous oxidant
US6245492B1 (en) 1998-08-13 2001-06-12 International Business Machines Corporation Photoresist system and process for aerial image enhancement
JP2000208408A (ja) 1999-01-19 2000-07-28 Nec Corp 化学増幅系レジストのパタ―ン形成方法
JP4557328B2 (ja) 1999-02-01 2010-10-06 富士フイルム株式会社 ポジ型フォトレジスト組成物
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6713374B2 (en) 1999-07-30 2004-03-30 Formfactor, Inc. Interconnect assemblies and methods
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
EP1127903A1 (en) 2000-02-28 2001-08-29 Nippon Shokubai Co., Ltd. Production process for glyoxylic acid (salt)-based polymer
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
KR20020041413A (ko) 2000-06-22 2002-06-01 롤페스 요하네스 게라투스 알베르투스 광영상을 형성하는 방법, 이 방법에 사용하는 마스크, 이방법을 사용하는 소자를 제조하는 방법 및 이 방법을수행하는 장치
US6271147B1 (en) 2000-08-18 2001-08-07 Vanguard International Semiconductor Corporation Methods of forming trench isolation regions using spin-on material
AU2002236528A1 (en) 2000-11-30 2002-06-11 Shipley Company, L.L.C. Uv-free curing of organic dielectrica
US6548219B2 (en) 2001-01-26 2003-04-15 International Business Machines Corporation Substituted norbornene fluoroacrylate copolymers and use thereof in lithographic photoresist compositions
US6555479B1 (en) 2001-06-11 2003-04-29 Advanced Micro Devices, Inc. Method for forming openings for conductive interconnects
WO2003001297A2 (en) 2001-06-26 2003-01-03 Kla-Tencor Corporation Method for determining lithographic focus and exposure
KR100500932B1 (ko) 2001-09-28 2005-07-14 주식회사 하이닉스반도체 비아 콘택 식각 후의 감광막 제거 및 건식 세정 방법
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
US7003131B2 (en) 2002-07-09 2006-02-21 Kaleidescape, Inc. Watermarking and fingerprinting digital content using alternative blocks to embed information
JP4410977B2 (ja) 2002-07-09 2010-02-10 富士通株式会社 化学増幅レジスト材料及びそれを用いたパターニング方法
JP2004078033A (ja) 2002-08-21 2004-03-11 Tokyo Ohka Kogyo Co Ltd パターン微細化用被覆形成剤およびそれを用いた微細パターンの形成方法
JP4045180B2 (ja) 2002-12-03 2008-02-13 Azエレクトロニックマテリアルズ株式会社 リソグラフィー用リンス液およびそれを用いたレジストパターン形成方法
US6900001B2 (en) 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
KR100527667B1 (ko) 2003-02-28 2005-11-28 삼성전자주식회사 실리콘계 고분기 고분자 계면 활성제, 이의 제조방법 및이를 포함하는 린스용액을 이용한 린스방법
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
US20070272653A1 (en) 2003-11-10 2007-11-29 Naohide Wakita Method for Orientation Treatment of Electronic Functional Material and Thin Film Transistor
TWI471900B (zh) 2004-02-20 2015-02-01 尼康股份有限公司 Exposure method, exposure apparatus, exposure system, and device manufacturing method
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US7119025B2 (en) 2004-04-08 2006-10-10 Micron Technology, Inc. Methods of eliminating pattern collapse on photoresist patterns
US7371509B2 (en) 2004-05-07 2008-05-13 Micron Technology, Inc. Resist pattern and reflow technology
JP4481723B2 (ja) 2004-05-25 2010-06-16 株式会社東芝 評価方法、マスクパターン補正方法、半導体装置の製造方法、及びプログラム
JP2006011054A (ja) 2004-06-25 2006-01-12 Shin Etsu Chem Co Ltd リンス液及びこれを用いたレジストパターン形成方法
JP4524154B2 (ja) 2004-08-18 2010-08-11 富士フイルム株式会社 化学増幅型レジスト組成物及びそれを用いたパターン形成方法
KR20060108436A (ko) 2005-04-13 2006-10-18 매그나칩 반도체 유한회사 반도체 소자 세정용 조성물 및 이를 이용한 반도체 소자의세정 방법
US20060269879A1 (en) 2005-05-24 2006-11-30 Infineon Technologies Ag Method and apparatus for a post exposure bake of a resist
JP2007019161A (ja) 2005-07-06 2007-01-25 Dainippon Screen Mfg Co Ltd パターン形成方法及び被膜形成装置
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
US7531296B2 (en) 2005-08-24 2009-05-12 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of forming high etch resistant resist patterns
US20070048483A1 (en) * 2005-08-29 2007-03-01 Stinson Douglas G Radiation image-able data storage medium
KR100703007B1 (ko) 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
JP2007200422A (ja) 2006-01-25 2007-08-09 Toshiba Corp パタンド磁気記録媒体の製造方法
US20070275330A1 (en) 2006-05-25 2007-11-29 International Business Machines Corporation Bottom anti-reflective coating
US7687205B2 (en) 2006-06-15 2010-03-30 The Boeing Company Photolithographic method and apparatus employing a polychromatic mask
JP2007334036A (ja) 2006-06-15 2007-12-27 Sekisui Chem Co Ltd 感光性樹脂組成物、これを用いた薄膜パターンの製造方法、電子機器用保護膜、トランジスタ、カラーフィルタ、有機el素子、ゲート絶縁膜及び薄膜トランジスタ
DE102006053074B4 (de) 2006-11-10 2012-03-29 Qimonda Ag Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
WO2008084440A1 (en) 2007-01-11 2008-07-17 Nxp B.V. Method of forming an interconnect structure
KR100843233B1 (ko) 2007-01-25 2008-07-03 삼성전자주식회사 배선층의 양측벽에 인접하여 에어갭을 갖는 반도체 소자 및그 제조방법
JP4678383B2 (ja) 2007-03-29 2011-04-27 信越化学工業株式会社 化学増幅ネガ型レジスト組成物及びパターン形成方法
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
JP4961324B2 (ja) 2007-10-26 2012-06-27 富士フイルム株式会社 電子線、x線又はeuv用ポジ型レジスト組成物及びそれを用いたパターン形成方法
KR101585992B1 (ko) 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US20090214985A1 (en) 2008-02-27 2009-08-27 Tokyo Electron Limited Method for reducing surface defects on patterned resist features
US20090274974A1 (en) 2008-04-30 2009-11-05 David Abdallah Spin-on graded k silicon antireflective coating
US7966582B2 (en) 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
EP2288599A1 (en) 2008-06-12 2011-03-02 Basf Se Sulfonium derivatives and the use thereof as latent acids
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
SG173730A1 (en) 2009-02-19 2011-09-29 Brewer Science Inc Acid-sensitive, developer-soluble bottom anti-reflective coatings
DE102009015717B4 (de) 2009-03-31 2012-12-13 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum Erkennen einer Teilchenkontamination in einer Immersionslithographieanlage
US8568964B2 (en) 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP5011345B2 (ja) 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
US8389205B2 (en) 2009-06-11 2013-03-05 International Business Machines Corporation Patterning nano-scale patterns on a film comprising unzipping polymer chains
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US8428762B2 (en) 2009-08-28 2013-04-23 Kla-Tencor Corporation Spin coating modeling
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8795952B2 (en) 2010-02-21 2014-08-05 Tokyo Electron Limited Line pattern collapse mitigation through gap-fill material application
US8124319B2 (en) 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US8443308B2 (en) 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
KR102009869B1 (ko) 2011-07-08 2019-08-12 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
CN103034048B (zh) 2011-09-29 2015-04-22 中芯国际集成电路制造(北京)有限公司 光刻方法
JP5846046B2 (ja) 2011-12-06 2016-01-20 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
JP5789275B2 (ja) 2012-02-03 2015-10-07 エーエスエムエル ネザーランズ ビー.ブイ. 3dレジストプロファイルのシミュレーション用のリソグラフィモデル
CN103309164A (zh) 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9851639B2 (en) 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
JP5741518B2 (ja) 2012-04-24 2015-07-01 信越化学工業株式会社 レジスト下層膜材料及びパターン形成方法
JP6004172B2 (ja) 2012-07-31 2016-10-05 日産化学工業株式会社 カルボニル基含有カルバゾールノボラックを含むリソグラフィー用レジスト下層膜形成組成物
JP2014143415A (ja) 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc イオン注入法
WO2014129556A1 (ja) 2013-02-20 2014-08-28 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料
CN106030417B (zh) 2014-02-21 2020-02-28 东京毅力科创株式会社 光增感化学放大型抗蚀剂材料及使用了其的图案形成方法、以及半导体器件、光刻用掩模和纳米压印用模板的制造方法
WO2015127353A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist
JP6524388B2 (ja) * 2014-02-24 2019-06-05 東京エレクトロン株式会社 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
WO2015127459A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
DE112015000546T5 (de) * 2014-02-25 2016-11-10 Tokyo Electron Limited Chemische Verstärkungsverfahren und -methoden für entwickelbare untere Antireflexbeläge und gefärbte Implantationsresists
WO2016025210A1 (en) 2014-08-13 2016-02-18 Tokyo Electron Limited Critical dimension control in photo-sensitized chemically-amplified resist
JP6774814B2 (ja) 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
JP6512994B2 (ja) * 2015-08-20 2019-05-15 国立大学法人大阪大学 化学増幅型レジスト材料
JP6809843B2 (ja) 2015-08-20 2021-01-06 国立大学法人大阪大学 パターン形成方法
KR102170659B1 (ko) * 2015-11-25 2020-10-27 오사카 유니버시티 레지스트패턴 형성방법 및 레지스트재료
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
KR102177192B1 (ko) 2016-05-13 2020-11-10 도쿄엘렉트론가부시키가이샤 광 작용제의 사용에 의한 임계 치수 제어
US10551743B2 (en) * 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
WO2018074382A1 (ja) 2016-10-17 2018-04-26 東洋合成工業株式会社 組成物及びそれを用いたデバイスの製造方法

Also Published As

Publication number Publication date
TW202122924A (zh) 2021-06-16
WO2021034567A1 (en) 2021-02-25
US20210048749A1 (en) 2021-02-18
US11163236B2 (en) 2021-11-02

Similar Documents

Publication Publication Date Title
JP7074990B2 (ja) 現像可能な底部反射防止コーティングおよび着色注入レジストのための化学増幅方法および技術
JP7009568B2 (ja) 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
JP6636196B2 (ja) 光増感化学増幅レジストで酸ショットノイズとして複製されるeuvショットノイズの軽減
US9645495B2 (en) Critical dimension control in photo-sensitized chemically-amplified resist
TWI477908B (zh) 雙敏感材料及微影方法
US7655568B2 (en) Method for manufacturing underlying pattern of semiconductor device
JP6909374B2 (ja) 光増感化学又は感光性化学増幅レジストを用いた限界寸法制御
JPH06318541A (ja) パターンの形成方法
EP1478978B1 (en) Self-aligned pattern formation using dual wavelengths
KR20220046598A (ko) 확률 중심 결함 교정을 위한 방법 및 공정
US8323866B2 (en) Inorganic resist sensitizer
TWI840599B (zh) 用於隨機驅動的缺陷性治癒之方法及製程
Wheeler et al. Basic Issues Associated With Four Potential EUV Resist Schemes: Trilayer Organometallic Bilayer, or Plasma Deposited-Plasma & Developed Bilayer, and Silylated Resists
Ohfuji et al. Acid amplification of chemically amplified resists for 193-nm lithography
JPH06275484A (ja) パターン形成方法
JP2005266477A (ja) パターン形成方法
JPH051611B2 (ko)