TWI477908B - 雙敏感材料及微影方法 - Google Patents

雙敏感材料及微影方法 Download PDF

Info

Publication number
TWI477908B
TWI477908B TW102111830A TW102111830A TWI477908B TW I477908 B TWI477908 B TW I477908B TW 102111830 A TW102111830 A TW 102111830A TW 102111830 A TW102111830 A TW 102111830A TW I477908 B TWI477908 B TW I477908B
Authority
TW
Taiwan
Prior art keywords
acid
sensitive
resist layer
double
sensitive resist
Prior art date
Application number
TW102111830A
Other languages
English (en)
Other versions
TW201341960A (zh
Inventor
Chen Hau Wu
Ching Yu Chang
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201341960A publication Critical patent/TW201341960A/zh
Application granted granted Critical
Publication of TWI477908B publication Critical patent/TWI477908B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

雙敏感材料及微影方法
本發明係有關於一種半導體製程,特別是有關於雙敏感阻劑及微影方法。
半導體科技不斷進步至更小的線寬,直到65奈米、45奈米、甚至更小尺寸的線寬。阻劑的材料已經無法滿足製造微小線寬之解析度和製程上的需求。舉例來說,透過光罩以紫外線照射塗佈在基板表面上的正阻劑,最後在曝光區域內產生質子酸。接著,在基板上使用曝光後烘烤程序以加強質子酸的擴增(acid amplification),並與阻劑進行酸反應使曝光區域內的極性產生轉變。使用一顯影劑溶解曝光區域內的阻劑。然而,阻劑與其對應的方法會因為質子酸的擴散而導致損壞,特別是曝光後烘烤的程序。質子酸在非曝光區域內出現會造成低的成像對比及成像品質的降低。在窄溝槽圖案中,不易得到足夠的光學對比度。到目前為止,微影技術還無法用於高遮蔽覆蓋率的膜層(high mask coverage ratio layers),例如金屬線層(metal line layers)與連接孔層(via hole layers)。因此,必須發展出可解決前述問題的方法與材料。
本發明實施例提供一種雙敏感材料包括:一聚合物,與酸反應後可溶於一鹼性溶液;多個光鹼產生劑,響應輻 射能後分解而產生鹼;以及一熱敏感成分,響應熱能而產生酸。
本發明另一實施例提供一種微影方法,包括:形成一雙敏感阻劑層於一基板上,該雙敏感阻劑層包括:一聚合物,其與酸反應後可溶於一鹼性溶液;多個光鹼產生劑,響應輻射能後會分解而產生鹼;以及一熱敏感性成分,響應熱能而產生酸。將一雙敏感阻劑層曝光使該雙敏感阻劑層之曝光區域產生鹼;烘烤該雙敏感阻劑層使該雙敏感阻劑層之非曝光區域產生酸;以及將該雙敏感阻劑層顯影。
本發明另一實施例更提供一種微影方法,包括:形成一敏感層於一基板上方,該敏感層包括:一聚合物,其與酸反應後可溶於一鹼性溶液;多個光鹼產生劑,其響應光能後分解而產生鹼;以及多個光抑制酸產生劑,其響應熱能而產生酸與響應光能而抑制酸產生;藉由光將一敏感層曝光使該敏感層之曝光區域產生鹼;烘烤該敏感層使該敏感層之非曝光區域產生酸,該敏感層之曝光區域的pH值大於7,且該敏感層之非曝光區域的pH值小於7;以及接著,將該雙敏感阻劑層顯影,以留下該敏感層之曝光區域,而移除該敏感層之非曝光區域。
20、30‧‧‧阻劑材料(敏感材料)
22、32‧‧‧基質材料
26、36‧‧‧光鹼產生劑
28、38‧‧‧溶劑
24‧‧‧熱酸產生劑
34‧‧‧光抑制酸產生劑
42‧‧‧熱敏感官能基
44‧‧‧光敏感官能基
50‧‧‧微影製程的方法
52、54、56、58‧‧‧方法50的步驟
100‧‧‧半導體結構
102‧‧‧基板
106‧‧‧抗反射塗佈層
104‧‧‧雙敏感阻劑層
110‧‧‧鹼
104a‧‧‧曝光區域
104b‧‧‧非曝光區域
第1圖為根據本發明實施例一阻劑材料的方塊圖。
第2圖為另一根據本發明實施例一種阻劑材料的方塊圖。
第3圖為根據一實施例一種光抑制酸產生劑的分子式。
第4~7圖為各種光抑制酸產生劑的實施例。
第8~11圖為各種化學的組成的示意圖。
第12圖的表格為第8~11圖中化學組成的特徵資料。
第13圖為一實施例一微影成像製程的製作方法流程圖。
第14~17圖為根據一實施例在第1圖實施例中所示各種微影製程的製造步驟中,一基板的剖面圖。
第18~19圖為數個雙敏感阻劑層的特徵資料。
要瞭解的是本說明書以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵形成於一第二特徵之上或上方,即表示其包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
第1圖為根據本發明實施例中一敏感材料20(例如:光阻、阻劑或敏感阻劑)的方塊圖。敏感材料20用於一微影圖案化製程中以圖案化積體電路的基板。敏感材料20包含一用來阻擋各種製程的基質材料22,例如:阻擋蝕刻製程。當與酸進行反應後,基質材料22的極性會產生改變。在進一步的實施例中顯示基質材料22包括一酸不安定的官能基,當與酸進行 反應後,官能基將從非極性轉變為極性。舉例來說,基質材料22包含一保護官能基,保護官能基在酸催化的作用下被移除。在本實施例中,基質材料22在與酸進行反應後,轉變成可溶於一鹼性溶液(例如:鹼性的顯影溶液)。在一範例中,可使用一正型化學增幅型阻劑的基質材料。
在一實施例中,基質材料22係一聚合材料、樹脂或其他合適的材料。在一實施例中,基質材料22包括該技術領域中已知的第三丁氧羰基(t-Butyloxycarbonyl,t-BOC)的阻劑、乙縮醛(Acetal)阻劑,與環境安定的化學增幅型阻劑(Environmentally stabilized chemically amplified photoresist,ESCAP)。
敏感材料20包含一熱酸產生劑(thermal acid generator,TAG)24,以鍵結或非鍵結的方式分布在基質材料22之中。熱酸產生劑24響應熱能後分解並產生少量的酸。
敏感材料20也包含一光鹼產生劑(photo-base generator,PBG)26,以鍵結或非鍵結的方式分布在基質材料22之中。光鹼產生劑26響應光能後分解並產生鹼。
敏感材料20尚可包含填入基質材料內部的溶劑28。在塗佈的製程中,藉由各種烘烤的步驟(例如:軟烤及硬烤),以移除至少部分溶劑。在下述步驟中,敏感材料20可形成一負型影像(negative imaging)。
第2圖為根據本發明實施例一敏感材料30的方塊圖。
敏感材料30包括一常用來阻擋各種製程的基質材 料32,例如:阻擋蝕刻製程。基質材料32為一聚合物材料、樹脂或其他合適的材料。當與酸進行反應時,基質材料32的極性會產生轉變。在進一步的實施例中,基質材料32包括一酸不安定的官能基,當與酸進行反應後,官能基將從非極性轉變成極性。在本實施例中基質材料32與第1圖中的基質材料22相似。
敏感材料30也包括一光鹼產生劑36以鍵結或非鍵結的方式分布在基質材料32之中。光鹼產生劑36響應了光能而產生鹼。
敏感材料30尚可包括一填入基質材料32內部的溶劑38。在塗佈的製程中。藉由蒸發以及烘烤步驟(例如:軟烤及硬烤),以移除至少部分溶劑38。
與第1圖的基質材料22對照,敏感材料30包括一光抑制酸產生劑(photo-quenchable acid generator,PQ-AG)34。多個光抑制酸產生劑34分布在基質材料32中。在多個範例中顯示光抑制酸產生劑34以鍵結或非鍵結的方式分布於基質材料32中。在下述步驟中,具有光抑制酸產生劑34的敏感材料30(亦稱為光抑制酸產生劑34敏感材料30)形成一負型影像。
光抑制酸產生劑34係一能響應光能與熱能的雙敏感成分。相較於光鹼產生劑只對光(光子)敏感與酸產生劑只對熱敏感,光抑制酸產生劑34同時對光能與熱能都敏感。與熱酸產生劑之間更明顯的差異為光抑制酸產生劑34響應熱能後產生酸,而響應光會抑制酸的產生(photo-quenchable)。特別是在曝光製程中,曝露於光能中將會減低或完全抑制光抑制酸產生劑34的酸活性。因此,曝光過的光抑制酸產生劑34在接下來的 熱製程中將不會產生酸。
在一個實施例中,光抑制酸產生劑34包括一熱敏感官能基,其響應熱能而產生酸,且包括一光敏感官能基,其響應光能而產生鹼。在進一步實施例中,光抑制酸產生劑34中的光敏感官能基在曝光製程中產生鹼,而熱敏感官能基在熱能(烘烤)製程中產生酸。接著,由光能激發所產生的鹼與由熱能激發所產生的酸互相中和,因而導致了自體消弭效應(self-quench effect)。
第3圖為根據一實施例光抑制酸產生劑34的分子式。在一分子式實施例中所示R1 與R2 各包括下列一化學官能基:-H、-OH、鹵素、芳香環型碳、直鏈或環形烷基、烷氧基、氟烷基或氟烷氧基鏈,其中每種官能基的碳鏈長度介於1~12之間。Rf 係下列官能基之一:芳香碳環、直鏈或環狀烷基、烷氧基、氟化烷基或氟化烷氧基鏈,其碳鏈長度為1~4。Z1與Z2各包含下列一可斷裂的連結基:羧基、氧、磷、硫、硫代基、硫氧基、碸基、醯胺、亞胺或上述組合。A1係酸且包含下列一酸性基團:胺基酸、玻尿酸、乙酸、水楊酸、抗壞血酸、檸檬酸、硫辛酸、尿酸、苯甲酸、乳酸、硝酸、硫酸、鹽酸或上述組合。A2係酸且包含下列一鹼性基團:一級胺、二級胺或三級胺。其中X代表1~6的數字。在另一實施例中,X的範圍可介於8~40。
在另一實施例中,R1 、R2 與Rf 各包含下列一化學官能基:-Cl;-Br;-I;-NO2 ;-SO3 ;-H;-CN;-NCO,-OCN;-CO2 -;-OH;-OR ,-OC(O)CR ;-SR, -SO2 N(R )2 ;-SO2 R ;-SOR;-OC(O)R ;-C(O)OR ;-C(O)R ;-Si(OR )3 ;-Si(R )3 或環氧基,其中R 係選自下列官能基之一:氫、非支鏈或支鏈、環狀或非環狀、飽和或不飽和之烷基、烯基或炔基。
第4-7圖為光抑制酸產生劑34的各種實施例。特別是在第4圖中,光抑制酸產生劑34包含一熱敏感官能基42,響應熱能而產生酸,並包含一光敏感官能基44,響應光能(Note to TSMC:原文為thermal energy應改為light energy)而產生鹼。
參照第8~12圖更顯示敏感材料20與敏感材料30在各種成分與其各自之反應機制之間的差異。第8~11圖為各種化學成分及其反應機制的示意圖。第12圖是第8~11圖所示各種化學成分之特性資料的表格,其中包括酸解離常數的對數值(pKa)、酸度、鹼度及pH值。
第8圖顯示一光酸產生劑(PAG),其包括一光敏感官能基,當其吸收帶有能量的光子(例如紫外線),將產生酸。第12圖表格的「光酸產生劑」欄位中顯示當以紫外線曝曬後,產生酸基。pKa減少、酸性增加及pH值介於0~7之間。
第9圖顯示一光鹼產生劑(PBG),其包括一光敏感官能基,當其吸收具有能量的光子(例如紫外線),將會產生鹼。第12圖表格中的「光鹼產生劑」欄位顯示當以紫外線曝曬後,產生鹼基。pKa增加、酸性減少及pH值介於7~14之間。
第10圖為一光解離抑制劑(photo decompose quencher,PDQ),其包括一光敏感官能基。經過紫外線照射後,鹼基團將會解離,導致鹼性升高。第12圖表格中的「光解離抑 制劑」欄位顯示當以紫外線曝曬後,pKa值增加(Note to TSMC:原文為decreased應改為increased)、酸性減少及pH值介於7-14之間。
第11圖顯示一光抑制酸產生劑(PQ-AG),其包括一光敏感官能基,在經過紫外線曝曬時,會產生鹼;也包含了一熱敏感官能基,在經過烘烤後,會產生酸。經過紫外線曝曬後,光抑制酸產生劑的酸活性被關閉,導致無法產生酸。第12圖表格的「光抑制酸產生劑」欄位中顯示當以紫外線曝曬後,pKa值增加、酸性減少及pH值介於0~7之間。
第13圖為一實施例微影圖案化製程方法50的流程圖。第14-17圖顯示根據各種實施例之微影製程各種製造步驟之半導體結構100的剖面圖。第13~17圖為方法50及作為範例的半導體結構100。敏感材料20及敏感材料30也會再做進一步的描述。
參照第13~14圖,方法50始於步驟52,塗佈雙敏感阻劑層104(或雙敏感材料層)於基板102上。半導體結構100可以是一半導體晶圓或其他具有或將形成積體電路的合適結構。在該實施例中,基板102包括一矽基板,其具有摻雜區域、介電特徵及/或多層的內連線。基板102可以其他半導體材料取代,包括:鍺、鍺化矽或砷化鍺;基板可以包括一非半導體材料取代,包括:作為薄膜電晶體液晶顯示器設備的玻璃板或作為光罩的熔凝石英化基板。基板102尚可包括一或多個欲進行圖樣化的材料層。
雙敏感阻劑層104對於光能(例如紫外線)與熱能具 有高敏感度。雙敏感阻劑層104包括一對熱能敏感的成分與另一對光能敏感的成分。
在一實施例中,雙敏感阻劑層104包括第1圖中的阻劑材料20。在該實施例中,雙敏感阻劑層104包括基質材料22、熱酸產生劑24、光鹼產生劑26(Note to TSMC:原文PEB26改為PBG26)與溶劑28。
在另一實施例中,雙敏感阻劑層104包括第2圖中的阻劑材料30。在該實施例中,雙敏感阻劑層104包括基質材料32、光抑制酸產生劑34、光鹼產生劑36(Note to TSMC:原文為PEB36應改為PBG36)以及溶劑38。
使用一合適的技術(例如:旋轉塗佈技術)將雙敏感阻劑層104置於基板102之上。在塗佈後,接著可進行其他步驟,例如:軟烤。塗佈製程可在一旋轉塗佈工具中或一自動化阻劑塗佈及顯影裝置(track unit)進行。其中自動化阻劑塗佈及顯影裝置被設計用來製作多層阻劑的相關製程,包括塗佈、烘烤以及顯影。在這範例中,塗佈及軟烤是在自動化阻劑塗佈及顯影裝置中進行。
此外,在塗佈雙敏感阻劑層前,其他合適的材料層可設置於基板102之上。舉例來說,一底部抗反射塗佈層106(bottom anti reflecting coating,BARC)置於基板102與雙敏感阻劑層104之間。
參照第13~15圖,方法50的步驟54係使用一微影曝光工具曝光已塗佈的雙敏感阻劑層104,其中微影曝光工具包括:一可步進並作曝光掃描操作的掃描器。在步驟54中,具有 雙敏感阻劑層塗佈的基板102被送到微影曝光工具進行曝光的程序。在一曝光製程的實施例中,將雙敏感阻劑層104透過一具有預先根據設計佈局圖設計好的積體電路圖樣的光罩(光罩或光柵)曝露於輻射能中(包括深紫外線(DUV)或極紫外線(EUV))。設計佈局圖使阻劑圖樣包含數個曝光區域,例如已曝光區域104a以及數個未曝光區域104b。在各種實施例圖示中,輻射能可包含波長248mm的氟化氪準分子雷射(KrF Excimer Laser),以及波長193mm的氟化氬準分子雷射(ArF Excimer Laser)。在其他實施例中,輻射能可包括極紫外線,其波長大約等於或小於13.5奈米。
在該實施例的曝光製程中,雙敏感阻劑層104之曝光區域104b利用其中的光鹼產生劑產生鹼110。
在一使用阻劑材料20實施例中,熱酸產生劑24對於光能不產生反應。在另一使用阻劑材料30的實施例中,光抑制酸產生劑34會對光能產生反應。例如,在曝光區域中的光抑制酸產生劑34被抑制並且光抑制酸產生劑34的酸活性被終止。在一特定範例中,光抑制酸產生劑34包括一輻射敏感官能基,可以響應輻射能而產生鹼;以及一熱敏感官能基,可以響應熱能而產生酸。在該範例中,光抑制酸產生劑34在曝光區域104a中產生更多的鹼。
參照第13~16圖,方法50的步驟56執行一熱製程(烘烤),例如,曝光後烘烤製程(post exposure baking,PEB)。曝光後烘烤製程提供熱能,因而產生熱酸112(Thermal acid)(亦即由熱能產生的酸)。
在一使用阻劑材料20實施例中,在雙敏感阻劑層104中之曝光區域104a及非曝光區域104b的熱酸產生劑24響應熱能而產生酸。在該實施例的光鹼產生劑26(Note to TSMC:原文PEB26應改為PBG26)之曝光區域104a藉由曝光製程產生鹼。接著,至少中和部分從熱酸產生劑24產生的酸。在雙敏感阻劑層104之曝光區域104a的pH值大抵上為7或中性。第18圖的左圖中顯示當非曝光區域104b的pH值低於7時,曝光區域104a的pH值大約為7。第18圖的右圖顯示前述雙敏感阻劑層104的對比。
在另一使用阻劑材料30實施例中,在雙敏感阻劑層104之非曝光區域104b中的光抑制酸產生劑34響應熱能後產生酸。在該實施例中,曝光區域104a中的光抑制酸產生劑34被抑制,且使其失去酸活性。因此,曝光區域104a中由光鹼產生劑在曝光製程中所產生的鹼仍然存在。在雙敏感阻劑層104之曝光區域104a的pH值大於7。第19圖的左圖中顯示當非曝光區域104b的pH值小於7時,曝光區域104a的pH值大於7。第19圖的右圖顯示上述雙敏感阻劑層104的對比。比較第18圖的阻劑材料20與第19圖的敏感材料30,在第二個實施例中阻劑材料30具有較高的對比,且阻劑的解析度大幅提升。
在一特定的範例中,光抑制酸產生劑34包括一輻射敏感官能基,可響應輻射能而產生鹼;以及一熱敏感官能基,可響應熱能而產生酸。在一範例的曝光區域104a中,光抑制酸產生劑34藉由曝光製程產生的鹼與光抑制酸產生劑34藉由熱能製程產生的酸中和。然而,經過熱能製程後,光鹼產生 劑36(Note to TSMC:原文為PEB36應改為PBG36)之曝光區域104a產生的鹼仍然存在。因此,在雙敏感阻劑層104之曝光區域104a的pH值大於7。
參照第13與17圖,方法50的步驟58為對雙敏感阻劑層104執行顯影製程。在該實施例中,顯影溶液係一鹼性溶液。在一實施例中,當成顯影劑的鹼性溶液包括氫氧化四甲基銨(TMAH)。在一範例中,鹼性溶液為濃度約2.38%的氫氧化四甲基銨溶液。
顯影製程使得雙敏感阻劑層104之非曝光區域104b溶解,而留下雙敏感阻劑層104之曝光區域104a形成圖案化的阻劑層104。因為在雙敏感阻劑層104中的基質材料與酸反應時,會轉變成可溶於一鹼性溶液。因此,此處揭露的方法與雙敏感阻劑材料提供了一種負型微影成像技術。
在未悖離後附申請專利範圍相關的各實施例的精神及範圍之下,可在此作各種的變化、替換及修改。舉例來說,曝光處理可利用浸潤式微影技術(immersion photolithographic technique)。在進一步的實施例中,微影曝光工具尚可包括位於半導體結構100與一微影曝光工具的透鏡之間的浸潤液。
因此,本發明提供一種微影成像的雙敏感阻劑材料。雙敏感材料包括一與酸反應後,會轉變為可溶於一鹼性溶液的聚合物;多個光鹼產生劑響應輻射能後分解而形成鹼;以及一熱敏感成分響應熱能而產生酸。
在一雙敏感材料實施例中,熱敏感成分包括多個酸產生劑,其可響應熱能而產生酸。
在另一雙敏感材料實施例中,熱敏感成分包括多個光抑制酸產生劑,其可響應熱能而產生酸和響應輻射能而抑制酸產生(acid-quenchable)。
在一實施例中,每個光抑制酸產生劑34包括一輻射敏感官能基響應輻射能而產生鹼,與一熱敏感官能基響應熱能而產生酸。
在另一實施例中,每個光抑制酸產生劑包括
各種分子式中的代號定義如下:R1 與R2 各包括下列一化學官能基:H、OH、鹵素、芳香碳環、直鏈或環狀烷基、烷氧基、氟化烷基(fluoroalkyl)或氟化烷氧基(fluoroalkoxyl)鏈,其中碳鏈長度為1~12。Rf 係下列官能基之一:芳香碳環、直鏈或環狀烷基、烷氧基、氟化烷基或氟化烷氧基鏈,其碳鏈長度為1~4。Z1與Z2各包含下列一可斷裂的連結基:羧基、氧、磷、硫、硫代基、硫氧基、碸基、醯胺、亞胺或上述組合。A1係酸且包含下列一酸性基團:胺基酸、玻尿酸、乙酸、水楊酸、抗壞血酸、檸檬酸、硫辛酸、尿酸、苯甲酸、乳酸、硝酸、硫酸、鹽酸或上述組合。A2係酸且包含下列一鹼性基團:一級胺、二級胺或三級胺。其中X代表1~6的數字。
在另一具上述分子式的雙敏感材料實施例中,R1 、R2 與Rf 各包含下列一化學官能基:-Cl;-Br;-I;-NO2 ; -SO3 ;-H;-CN;-NCO,-OCN;-CO2 -;-OH;-OR ,-OC(O)CR ;-SR,-SO2 N(R )2 ;-SO2 R ;-SOR;-OC(O)R ;-C(O)OR ;-C(O)R ;-Si(OR )3 ;-Si(R )3 或環氧基,其中R 係選自下列官能基之一:氫、非支鏈或支鏈、環狀或非環狀、飽和或不飽和之烷基、烯基或炔基。
在另一實施例中,光抑制酸產生劑包括
在另一實施例中,光抑制酸產生劑包括
在另一實施例中,光抑制酸產生劑包括
在另一實施例中,光抑制酸產生劑包括
本發明也提供一微影方法實施例。微影方法包括 形成一雙敏感阻劑層於一基板上;使雙敏感阻劑層曝光以產生位於雙敏感阻劑層之曝光區域的鹼;烘烤敏感層以產生位於敏感層之非曝光區域的酸;以及使雙敏感阻劑層顯影。雙敏感阻劑層包括一與酸反應後可轉變成可溶於一鹼性溶液的聚合物;多個光鹼產生劑響應輻射能後分解以形成鹼;以及一熱敏感成分,其響應熱能而產生酸。
在一微影方法的實施例中,在雙敏感阻劑層顯影之後,留下雙敏感阻劑層之曝光區域,而移除雙敏感阻劑層之非曝光區域。
在另一實施例中,熱敏感組合物包括多個響應熱能而產生酸的熱酸產生劑。
在另一實施例中,熱敏感組合物包括多個光抑制酸產生劑,其在響應熱能時產生酸,與在響應輻射能時抑制酸產生。
在另一實施例的曝光區域中,抑制光抑制酸產生劑產生酸。在另一實施例中,雙敏感阻劑層之曝光區域的pH值大於7,且雙敏感阻劑層之非曝光區域的pH值小於7。
在另一實施例中,光抑制酸產生劑各包括一在響應輻射時產生鹼的輻射敏感官能基;與一在響應熱能時產生酸的熱敏感官能基。
在另一實施例中,光抑制酸產生劑各包括
各種分子式中的代號定義如下:R1 與R2 各包括下列一化學官能基:H、OH、鹵素、芳香碳環、直鏈或環狀烷基、烷氧基、氟化烷基(fluoroalkyl)或氟化烷氧基(fluoroalkoxyl)鏈,其中碳鏈長度為1~12。Rf 係下列官能基之一:芳香碳環、直鏈或環狀烷基、烷氧基、氟化烷基或氟化烷氧基鏈,其碳鏈長度為1~4。Z1與Z2各包含下列一可斷裂的連結基:羧基、氧、磷、硫、硫代基、硫氧基、碸基、醯胺、亞胺或上述組合。A1係酸且包含下列一酸性基團:胺基酸、玻尿酸、乙酸、水楊酸、抗壞血酸、檸檬酸、硫辛酸、尿酸、苯甲酸、乳酸、硝酸、硫酸、鹽酸或上述組合。A2係酸且包含下列一鹼性基團:一級胺、二級胺或三級胺。其中X代表1~6的數字。
在另一具上述分子式的雙敏感材料實施例中,R1 、R2 與Rf 各包含下列一化學官能基:-Cl;-Br;-I;-NO2 ;-SO3 ;-H;-CN;-NCO,-OCN;-CO2 -;-OH;-OR ,-OC(O)CR ;-SR,-SO2 N(R )2 ;-SO2 R ;-SOR;-OC(O)R ;-C(O)OR ;-C(O)R ;-Si(OR )3 ;-Si(R )3 或環氧基,其中R 係選自下列官能基之一:氫、非支鏈或支鏈、環狀或非環狀、飽和或不飽和之烷基、烯基或炔基。
本發明也提供另一微影方法的實施例。微影方法包括形成一敏感層於一基板上方;將敏感層曝光使敏感層之曝 光區域中產生鹼;烘烤敏感層使敏感層之非曝光區產生酸。因此,敏感層之曝光區域的pH值大於7,且敏感層之非曝光區域的pH值小於7;接著,將敏感層顯影使留下敏感層之曝光區域,而移除敏感層之非曝光區域。敏感層包括一與酸反應後可轉變成可溶於一鹼性溶液的聚合物;多個光鹼產生劑響應光能後分解以形成鹼;以及一在響應熱能時產生酸與響應光能時抑制酸產生的光抑制酸產生劑。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
30‧‧‧阻劑材料(敏感材料)
32‧‧‧基質材料
36‧‧‧光鹼產生劑
38‧‧‧溶劑
34‧‧‧光抑制酸產生劑

Claims (7)

  1. 一種雙敏感材料,包括:一聚合物,其與酸反應後可溶於一鹼性溶液;多個光鹼產生劑,其響應輻射能後分解而產生鹼;以及一熱敏感成分,包括多個光抑制酸產生劑,其包括:一熱感敏感官能基,其響應熱能而產生酸;以及一輻射敏感官能基,與其響應輻射能而產生鹼並抑制酸產生。
  2. 如申請專利範圍第1項所述之雙敏感材料,其中上述各光抑制酸產生劑包括: R1 與R2 各包括下列化學官能基:H、OH、鹵素、芳香碳環、直鏈或環狀烷基、烷氧基、氟化烷基(fluoroalkyl)或氟化烷氧基(fluoroalkoxyl)鏈,其中碳鏈長度為1~12;Rf係下列官能基之一:芳香碳環、直鏈或環狀烷基、烷氧基、氟化烷基或氟化烷氧基鏈,其碳鏈長度為1~4;Z1與Z2各包含下列一可斷裂的連結基:羧基、氧、磷、硫、硫代基、硫氧基、碸基、醯胺、亞胺或上述組合;A1係酸且包含下列一酸性基團:胺基酸、玻尿酸、乙酸、水楊酸、抗壞血酸、檸檬酸、硫辛酸、尿酸、苯甲酸、乳酸、硝酸、硫酸、鹽酸或上述組合; A2係酸且包含下列一鹼性基團:一級胺、二級胺或三級胺;以及X係一1~6的數字。
  3. 如申請專利範圍第2項所述之雙敏感材料,其中R1 、R2 與Rf 各包含下列一化學官能基:-Cl;-Br;-I;-NO2 ;-SO3 ;-H;-CN;-NCO,-OCN;-CO2 -;-OH;-OR*,-OC(O)CR*;-SR,-SO2N(R*)2 ;-SO2 R*;-SOR;-OC(O)R*;-C(O)OR*;-C(O)R*;-Si(OR*)3 ;-Si(R*)3 或環氧基,其中R*係選自下列官能基之一:氫、非支鏈或支鏈、環狀或非環狀、飽和或不飽和之烷基、烯基或炔基。
  4. 如申請專利範圍第1項所述之雙敏感材料,其中上述光抑制酸產生劑包括:
  5. 一種微影方法,包括:形成一雙敏感阻劑層於一基板上,該雙敏感阻劑層包括:一聚合物,其與酸反應後可溶於一鹼性溶液;多個光鹼產生劑,響應輻射能後分解而產生鹼;以及一熱敏感性成分包括多個光抑制酸產生劑,其包括:一熱感 敏感官能基,其響應熱能而產生酸;以及一輻射敏感官能基,與其響應輻射能而產生鹼並抑制酸產生;將一雙敏感阻劑層曝光使該雙敏感阻劑層之曝光區域產生鹼,其中上述光抑制酸產生劑被抑制,以至於無法於曝光區域產生酸;烘烤該雙敏感阻劑層使該雙敏感阻劑層之非曝光區產生酸;中和光抑制酸產生劑藉由曝光製程產生的鹼,與光抑制酸產生劑藉由熱能製程產生的酸;以及將該雙敏感阻劑層顯影。
  6. 如申請專利範圍第5項所述之微影方法,其中在將該雙敏感阻劑層顯影之後,留下該雙敏感阻劑層之曝光區域,而移除該雙敏感阻劑層之非曝光區域。
  7. 如申請專利範圍第5項所述之微影方法,其中該雙敏感阻劑層之曝光區域的pH值大於7,且該雙敏感阻劑層之非曝光區域的pH值小於7。
TW102111830A 2012-04-09 2013-04-02 雙敏感材料及微影方法 TWI477908B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/442,687 US8741551B2 (en) 2012-04-09 2012-04-09 Method and composition of a dual sensitive resist

Publications (2)

Publication Number Publication Date
TW201341960A TW201341960A (zh) 2013-10-16
TWI477908B true TWI477908B (zh) 2015-03-21

Family

ID=49292562

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102111830A TWI477908B (zh) 2012-04-09 2013-04-02 雙敏感材料及微影方法

Country Status (3)

Country Link
US (1) US8741551B2 (zh)
CN (1) CN103365078B (zh)
TW (1) TWI477908B (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9046785B2 (en) 2009-12-30 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of patterning a semiconductor device
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US10095113B2 (en) 2013-12-06 2018-10-09 Taiwan Semiconductor Manufacturing Company Photoresist and method
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9581908B2 (en) 2014-05-16 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method
US20160064239A1 (en) 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
US9678422B2 (en) 2014-09-30 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoacid generator bound to floating additive polymer
US10082734B2 (en) 2015-02-13 2018-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Composition and method for lithography patterning
US9543165B2 (en) 2015-02-13 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9958779B2 (en) 2015-02-13 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist additive for outgassing reduction and out-of-band radiation absorption
US9412649B1 (en) 2015-02-13 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9864275B2 (en) 2015-02-26 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic resist with floating protectant
US9810990B2 (en) 2015-03-16 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical treatment for lithography improvement in a negative tone development process
US10421867B2 (en) 2015-03-16 2019-09-24 Taiwan Semiconductor Manufacturing Company, Ltd. Priming material for substrate coating
US9543159B2 (en) 2015-03-27 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a wet strippable middle layer
US9704711B2 (en) 2015-03-27 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based middle layer composition
US9570285B2 (en) 2015-04-17 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and methods thereof
TWI636326B (zh) * 2015-05-15 2018-09-21 南韓商羅門哈斯電子材料韓國公司 光鹼產生劑及包括其的光致抗蝕劑組成物
US9772559B2 (en) 2015-05-18 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Patterned photoresist removal
US9891522B2 (en) 2015-05-18 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a chemically amplified copolymer resist
US10655019B2 (en) 2015-06-30 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Priming material for substrate coating
US10394126B2 (en) 2015-07-17 2019-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography process and materials
US10466593B2 (en) 2015-07-29 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of patterning a semiconductor device
US10007177B2 (en) 2015-08-21 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using double exposures
US9927707B2 (en) 2015-08-31 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Developer for lithography
US9941125B2 (en) 2015-08-31 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9612536B2 (en) 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
US9983474B2 (en) 2015-09-11 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist having sensitizer bonded to acid generator
US9892914B2 (en) 2015-10-20 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd Orientation layer for directed self-assembly patterning process
US10794872B2 (en) 2015-11-16 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Acoustic measurement of fabrication equipment clearance
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US10090357B2 (en) 2015-12-29 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of using a surfactant-containing shrinkage material to prevent photoresist pattern collapse caused by capillary forces
US9711604B1 (en) 2015-12-31 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes
US9768022B2 (en) 2016-01-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced cross-linkable layer over a substrate
US10438948B2 (en) 2016-01-29 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device of preventing merging of resist-protection-oxide (RPO) between adjacent structures
US10036957B2 (en) 2016-01-29 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Post development treatment method and material for shrinking critical dimension of photoresist layer
US11822251B2 (en) 2016-02-09 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with polar-acid-labile-group
US9921480B2 (en) 2016-02-10 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist
US10114291B2 (en) 2016-03-04 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Grafting agent for forming spacer layer
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10056256B2 (en) 2016-03-16 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of priming photoresist before application of a shrink material in a lithography process
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
US10825684B2 (en) 2016-03-18 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US9891528B2 (en) 2016-05-02 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography with reduced exposure dose and negative tone development
US10177001B2 (en) 2016-05-31 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Surface modifying material for semiconductor device fabrication
US9711367B1 (en) 2016-06-01 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method with wafer edge modification
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10622211B2 (en) 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10101659B2 (en) 2016-08-12 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd Lithography method with surface modification layer
US9978594B1 (en) 2016-11-15 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure using patterning stacks
US10453713B2 (en) 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling temperature of furnace in semiconductor fabrication process
US10042252B2 (en) 2016-11-30 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US10163632B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for substrate modification
US10658184B2 (en) 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
US10121811B1 (en) 2017-08-25 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of high-aspect ratio pattern formation with submicron pixel pitch
US10522349B2 (en) 2017-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective coating by ion implantation for lithography patterning
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
US20210349391A1 (en) * 2020-05-08 2021-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist under-layer and method of forming photoresist pattern

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201250389A (en) * 2011-02-09 2012-12-16 Shinetsu Chemical Co Patterning process

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6548226B2 (en) 2001-02-09 2003-04-15 United Microelectronics Corp. Photolithographic process
EP1564255B1 (en) 2004-02-16 2007-09-26 Mitsubishi Gas Chemical Company, Inc. Photobase generator and curable composition
US8236476B2 (en) * 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8821978B2 (en) * 2009-12-18 2014-09-02 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201250389A (en) * 2011-02-09 2012-12-16 Shinetsu Chemical Co Patterning process

Also Published As

Publication number Publication date
US20130266899A1 (en) 2013-10-10
CN103365078A (zh) 2013-10-23
TW201341960A (zh) 2013-10-16
US8741551B2 (en) 2014-06-03
CN103365078B (zh) 2016-04-06

Similar Documents

Publication Publication Date Title
TWI477908B (zh) 雙敏感材料及微影方法
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
CN103547968B (zh) 在光刻应用中细化辐射敏感材料线的方法
US7829269B1 (en) Dual tone development with plural photo-acid generators in lithographic applications
US9645495B2 (en) Critical dimension control in photo-sensitized chemically-amplified resist
TWI472873B (zh) 多重曝光微影法及光阻組成物
KR100639680B1 (ko) 반도체 소자의 미세 패턴 형성방법
KR101037484B1 (ko) 마이크로전자 기판 상의 패턴 형성 방법, 패턴 피처를 배로증가시키는 방법 및 패턴 피치를 감소시키는 방법
US8574810B2 (en) Dual tone development with a photo-activated acid enhancement component in lithographic applications
US6337175B1 (en) Method for forming resist pattern
TW201901303A (zh) 微影圖案化的方法
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
US20160342087A1 (en) Method and Composition of a Chemically Amplified Copolymer Resist
US8257911B2 (en) Method of process optimization for dual tone development
CN108227409B (zh) 光刻图案化的方法
KR100680405B1 (ko) Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
KR20220046598A (ko) 확률 중심 결함 교정을 위한 방법 및 공정
JPH0786127A (ja) レジストパターンの形成方法
US20100055624A1 (en) Method of patterning a substrate using dual tone development
TWI840599B (zh) 用於隨機驅動的缺陷性治癒之方法及製程
Kruger Fluorinated acid amplifiers for extreme ultraviolet lithography
JP2005266477A (ja) パターン形成方法
JP2005115118A (ja) パターン形成方法
KR19990085560A (ko) 아세탈계 중합체와 그의 제조방법 및 이 중합체를 이용한 미세패턴의 제조방법
JP2006003525A (ja) パターン形成方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees