CN103547968B - 在光刻应用中细化辐射敏感材料线的方法 - Google Patents

在光刻应用中细化辐射敏感材料线的方法 Download PDF

Info

Publication number
CN103547968B
CN103547968B CN201280024743.1A CN201280024743A CN103547968B CN 103547968 B CN103547968 B CN 103547968B CN 201280024743 A CN201280024743 A CN 201280024743A CN 103547968 B CN103547968 B CN 103547968B
Authority
CN
China
Prior art keywords
material layer
sensitive material
exposure
region
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280024743.1A
Other languages
English (en)
Other versions
CN103547968A (zh
Inventor
迈克尔·A·卡尔卡西
本杰明·M·拉特扎克
马克·H·萨默维尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN103547968A publication Critical patent/CN103547968A/zh
Application granted granted Critical
Publication of CN103547968B publication Critical patent/CN103547968B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

描述了一种用于使用辐射敏感材料(102,202,302,402)对基板(101,201,301,401)进行图案化的方法和系统。该方法和系统包括:在基板(101,201,301,401)上形成辐射敏感材料层(102,202,302,402),将辐射敏感材料层(102,202,302,402)曝光于辐射(107,207,307,407)的图案,然后在曝光之后执行曝光后烘烤。然后,对所成像的辐射敏感材料层(102,202,302,402)进行显影以去除具有高辐射曝光的区域(105,205,312,412)或具有低辐射曝光的区域(106,206,313,413)从而形成辐射敏感材料线。然后,去除辐射敏感材料线内的曝光梯度,随后对辐射敏感材料线进行细化。

Description

在光刻应用中细化辐射敏感材料线的方法
对相关申请的交叉引用
依照37C.F.R.§1.78(a),本申请是2010年3月31日提交的序列号为12/751,362的共同未决非临时专利申请的部分继续案,其通过引用而明确合并于此。
技术领域
本发明涉及图案化基板的方法。具体地,本发明涉及减小辐射敏感材料中的线尺寸(细化)的方法。
背景技术
在半导体装置的制造中在成本和性能方面保持竞争力的需要已使得集成电路的装置密度持续增加。为了在半导体集成电路中实现更高的集成化和小型化,也必须实现形成在半导体晶片上的电路图案的小型化。
设计规则定义了装置或互连线之间的间隔容限以便保证装置或线不以任何不期望的方式相互影响。有助于确定半导体装置的总体大小和密度的一个重要布局设计规则是临界尺寸(CD)。电路的临界尺寸被定义为线的最小宽度或两条线之间的最小间隔。另一关键设计规则是最小节距,该最小节距被定义为给定功能部件的最小宽度加上距相邻功能部件边缘的距离。
光刻术是用于通过将掩模上的几何形状和图案转移到半导体晶片的表面来制造半导体晶片的标准技术。基本的光刻处理包括将图案化的光源投射到辐射敏感材料层(诸如光刻胶层)上,然后接着是显影步骤。
为了创建具有小的临界尺寸和节距的极为精细的图案,需要投射清楚成像的光图案。但是将小功能部件的清楚图像投射到半导体晶片上的能力受所使用的光的波长和从所照射的掩模捕获足够的衍射级的缩小透镜系统的能力的限制。现有技术的光刻工具使用具有248nm或193nm的波长的深紫外(DUV)光,其允许低至大约50nm的最小功能部件大小。
投射系统可以印刷的最小功能部件大小大致由以下给出:
CD=k1·λ/NA
其中,CD是最小功能部件大小或临界尺寸;k1是概述处理相关因素的系数,并且对于生产通常等于0.4;λ是所使用的光的波长;并且NA是从半导体晶片所看到的透镜的数值孔径。根据该等式,可以通过减小波长和/或通过增加数值孔径来减小最小功能部件大小,以实现更紧凑聚焦的光束和更小的光斑大小。
光刻处理利用曝光工具来通过掩模照射晶片上的辐射敏感材料层,以将掩模上的图案转移到晶片。随着图案布局的临界尺寸接近光刻设备的分辨率限制,光学邻近效应(OPE)开始影响掩模上的功能部件转移到辐射敏感材料层的方式,使得掩模和实际布局图案开始不同。光学邻近效应已知是由于投射系统中的光学衍射而导致的。衍射使得相邻功能部件相互影响从而产生图案相关的变化;功能部件越靠近,看到邻近效应越明显。因此,将线图案布置成靠拢的能力超出了光学参数限制。
根据以上描述,因此需要新的且改进的用于图案化半导体装置的方法,以便实现形成在半导体晶片上的电路图案的不断小型化。一种非光学途径是在成像之后缩窄辐射敏感材料的线宽度,并且完成第一显影。缩窄线宽度也称为“细化”或“收缩”,这些术语在此同义地使用。
如上所述,半导体晶片的图案化一般包括:以薄的辐射敏感材料(诸如光刻胶)的膜或层来涂布晶片(基板)的表面,然后通过经由掩模投射来自辐射源的辐射而将辐射敏感材料层曝光于辐射图案。此后,执行显影处理以去除辐射敏感材料的各个区域。所去除的特定区域与显影化学试剂和材料的品性(tone)有关。作为示例,在正性光刻胶的情况下,可使用第一显影化学试剂来去除被照射的区域,并且可使用第二显影化学试剂来去除未照射的区域。相反,在负性光刻胶的情况下,可使用第三显影化学试剂来去除未照射的区域,并且可使用第四显影化学试剂来去除被照射的区域。去除后的光刻胶区域以准备好被蚀刻到下层晶片表面的图案而曝光下层晶片表面。
作为正性图案显影的示例,图1A和图1B中示出了典型的光刻图案化技术。作为负性图案显影的示例,图1A和图1C中示出了典型的光刻图案化技术。如图1A所示,辐射敏感材料层102形成在基板101上。辐射敏感材料层102通过掩模103而被曝光于电磁(EM)辐射107。光罩(reticle)或掩模13包括形成图案的透明区域104和不透明区域108,其中在不透明区域108之间定义了距离(或节距)109,如图1A所示。透明区域104将EM辐射107透射到辐射敏感材料层102,并且不透明区域108阻止EM辐射107被透射到辐射敏感材料层102。结果,辐射敏感材料层102具有曝光于EM辐射107的曝光区域105和没有曝光于EM辐射107的未曝光区域106。如图1A所示,不透明区域108被成像到辐射敏感材料层102上,以产生与未曝光区域106对准的相应辐射敏感材料功能部件。
如图1B所示,在通过使用适当化学试剂的正性显影处理去除了图1A中的辐射敏感材料层102的曝光区域105之后,未曝光区域106保留在基板101上并且形成从掩模103转移的图案。如图1C所示,在通过使用适当化学试剂的负性显影处理去除了图1A中的辐射敏感材料102的未曝光区域106之后,曝光区域105保留在基板101上,从而形成与图1B所示的图案互补的图案。在去除了曝光区域105之后剩下的区域或者替选地在去除了未曝光区域106之后剩下的区域被称为辐射敏感材料线。
如图1A和图1B所示,不透明区域108被成像到辐射敏感材料层102上以产生相应的辐射敏感材料功能部件(即,未曝光区域106)。如图1A和图1B所示,未曝光区域106之间的节距110由掩模103的不透明区域108之间的节距109来确定。在该示例中,图案化的功能部件的节距110大约是辐射敏感材料线的临界尺寸111的宽度的两倍。因此,临界尺寸111由掩模103的不透明区域之间的距离和显影处理来确定。如接下来讨论的,为了进一步减小辐射敏感材料线的临界尺寸111,需要另外的处理。
如图1A和图1C所示,透明区域104被成像到辐射敏感材料层102上以产生相应的辐射敏感材料功能部件(即,曝光区域105)。如图1A和图1C所示,曝光区域105之间的节距112由掩模103的透明区域104之间的节距109来确定。在该示例中,图案化的功能部件的节距112大约是辐射敏感材料线的临界尺寸113的宽度的两倍。因此,临界尺寸113由掩模103的透明区域之间的距离和显影处理来确定。如接下来讨论的,为了进一步减小辐射敏感材料线的临界尺寸113,需要另外的处理。
用于减小辐射敏感材料线宽度的一种典型方法包括在以额定温度进行的正性显影之后对辐射敏感材料的未曝光区域106的基于等离子的蚀刻。基于等离子的蚀刻具有各种问题,诸如处理稳定性和较高的前端成本。其它细化或收缩方法包括湿法,诸如以提高的温度利用正性显影型化学试剂来处置未曝光区域106。但是,湿显影方法可能会遭受由光刻图像中的变化引起或加重的各向异性细化,如以下进一步讨论的。
在图2中提供了光刻图像的另外的细节。辐射敏感材料层202形成在基板201上。辐射敏感材料层202通过掩模203被曝光于EM辐射207。掩模203包括形成图案的透明区域204和不透明区域208,如图2所示。图2中示出了不透明区域208之间的距离(或节距)209。透明区域204将EM辐射207透射到正性辐射敏感材料层202,并且不透明区域208阻止EM辐射207被透射到辐射敏感材料层202。
尽管期望仅产生两种类型的图像图案,即,曝光的和未曝光的,但是图2示出了具有对EM辐射107的不同曝光水平的辐射敏感材料202的三个区域。曝光区域205和未曝光区域206由部分曝光区域214隔开,其中,曝光梯度跨越部分曝光区域214的宽度而扩展。该曝光梯度可能受各种因素的影响,诸如辐射敏感材料厚度、焦距和邻近效应。因此,该曝光变化或梯度引起各向异性细化,这可能产生辐射敏感材料线中的弱点。
鉴于此,需要克服现有技术的问题的细化辐射敏感材料线的新方法。
发明内容
本发明的实施例提供了图案化基板的方法,其中,减小了辐射敏感材料线的尺寸。方法包括:在基板上形成辐射敏感材料层;将辐射敏感材料层曝光于辐射图案,其中,该图案包括:第一区域,具有高辐射曝光;第二区域,具有低辐射曝光;以及第三区域,具有从大约所述高辐射曝光到大约所述低辐射曝光的范围内的曝光梯度。方法还包括:在将辐射敏感材料层曝光于辐射图案之后执行曝光后烘烤;通过使得所述辐射敏感材料层以第一基于有机溶剂的合成物接触来执行正性显影以从所述基板去除所述第一区域,从而提供显影后的辐射敏感材料层;通过将第二区域和第三区域转换为具有基本上均匀水平的辐射曝光、极性或去保护或者其组合的第四区域而去除第三区域的曝光梯度;以及细化第四区域。
根据本发明的其它实施例,方法包括:在基板上形成辐射敏感材料层;将辐射敏感材料层曝光于辐射图案,其中,该图案包括:第一区域,具有高辐射曝光;第二区域,具有低辐射曝光;以及第三区域,具有从大约所述高辐射曝光到大约所述低辐射曝光的范围内的曝光梯度。方法还包括:在将辐射敏感材料层曝光于辐射图案之后执行曝光后烘烤;执行辐射敏感材料层的负性显影以从基板去除第二区域;通过将所述第三区域以及可选的所述第一区域转换为由所述第一区域和所述第三区域形成的第四区域而去除所述第三区域的所述曝光梯度,第四区域具有基本上均匀水平的辐射曝光、极性或去保护或者其组合;以及细化第四区域。
附图说明
并入本说明书并且构成本说明书的一部分的附图示出了本发明的实施例,并且连同以上给出的本发明的一般描述以及以下给出的详细描述一起用于描述本发明。在附图中:
图1A至图1C示出了根据现有技术的利用辐射敏感材料的正性和负性光刻图案化技术;
图2示出了图1A的曝光辐射敏感材料的光刻图案中的进一步细节;
图3示出了图案化基板的方法;
图4A至图4H示出了图案化基板的补充方法;
图5示出了根据本发明的一个实施例的图案化基板的方法;
图6示出了根据本发明的另一实施例的图案化基板的方法;
图7示出了根据本发明的另一实施例的图案化基板的方法;以及
图8示出了根据本发明的又一实施例的图案化基板的方法。
具体实施方式
在各个实施例中公开了用于图案化基板的方法和系统。然而,本领域技术人员将认识到,可在没有一个或多个特定细节的情况下或者利用其它替换和/或附加方法、材料或部件来实现各个实施例。在其它实例中,没有详细示出或描述公知的结构、材料或操作,以避免使得本发明的各个实施例的方面模糊。
类似地,为了说明的目的,阐述了特定数字、材料和配置以便提供对本发明的透彻理解。然而,本发明可在没有特定细节的情况下来实现。此外,应理解,图中示出的各个实施例是说明性的表示而不一定是按比例绘制的。
贯穿该说明书对“一个实施例”或“实施例”或其变型的引用表示结合实施例描述的特定特征、结构、材料或特性包括在本发明的至少一个实施例中,但是不表示它们存在于每个实施例中。因此,贯穿本说明书在各个地方出现的短语(诸如“在一个实施例中”或“在实施例中”)不一定指的是本发明的同一实施例。此外,特定特征、结构、材料或特性可在一个或多个实施例中以任意适当的方式组合。可包括各种附加层和/或结构以及/或者在其它实施例中可省略所描述的特征。
另外,应理解,“一(a)”或“一个(an)”可表示“一个或多个”,除非明确相反指出。
各个操作将以对理解本发明最有帮助的方式被描述为依次的多个离散操作。然而,描述的顺序不应被解释为暗示这些操作必须是依赖于顺序的。特别地,这些操作不需要按介绍的顺序来执行。所描述的操作可按与所描述的实施例不同的顺序来执行。可执行各种附加操作和/或在附加实施例中可省略所描述的操作。
这里描述了用于图案化基板的方法,包括对于给定光刻工具和掩模减小可以被转移到基板上的图案的临界尺寸的方法。多种化学处理用于实现辐射敏感材料线的临界尺寸的各向同性减小。
参照图3,根据本发明的实施例,在基板301上形成辐射敏感材料层。基板301可包括半导体,例如,单晶硅、锗和任意其它半导体。在替选实施例中,基板301可包括用于制造集成电路、无源微电子装置(例如,电容器、电感器)和有源微电子装置(例如,晶体管、光检测器、激光器、二极管)的任意材料。基板301可包括将这样的有源和无源微电子装置与形成在其顶部的一个或多个传导层隔开的绝缘材料。在一个实施例中,基板301包括具有一个或多个绝缘层(例如,二氧化硅、氮化硅、蓝宝石和其它绝缘材料)的p型单晶硅基板。
如上所述,基板301可包括具有布置在基层与辐射材料敏感层302之间的一个或多个薄膜或层的膜堆叠。基板301中的每个薄膜可包括传导层、非传导层或半传导层。例如,薄膜可包括材料层,该材料层包括金属、金属氧化物、金属氮化物、金属氮氧化物、金属硅酸盐、金属硅化物、硅、多晶硅(多硅)、掺杂硅、二氧化硅、氮化硅、碳化硅、氮氧化硅等。另外,例如,薄膜可包括具有小于SiO2的介电常数(大约为4(例如,热二氧化硅的介电常数可以在从3.8至3.9的范围))的额定介电常数值的低介电常数(即,低k)或超低介电常数(即,超低k)电介质层。更具体地,薄膜可具有小于3.7的介电常数或者从1.6至3.7的范围内的介电常数。根据本发明的实施例,辐射敏感材料层302可包括例如248nm的辐射敏感材料、193nm的辐射敏感材料、157nm的辐射敏感材料或者远紫外辐射敏感材料或者上述两个或更多个的组合。根据另一实施例,辐射敏感材料层302包括基于聚(羟基苯乙烯)的抗蚀剂或基于(甲基)丙烯酸酯的抗蚀剂。根据另一实施例,辐射敏感材料层302包括基于频哪醇的抗蚀剂。根据另一实施例,辐射敏感材料层302包括由于在执行以下时极性改变而切换溶解性的材料:执行曝光于具有适当波长的辐射以及此后在曝光之后执行第一曝光后烘烤;在曝光于辐射之后的热分解烘烤;或者酸洗以及执行酸洗后烘烤。例如,材料可在执行以下时极性减小:执行所述曝光于具有适当波长的辐射以及此后在曝光之后执行所述第一曝光后烘烤;在曝光于辐射之后的所述热分解烘烤;或者所述酸洗和所述酸洗后烘烤。应注意,材料的极性确定材料与水相互作用的能力,因此确定其亲水性或其疏水性。换言之,减小材料的极性将增加疏水性并且减小材料的亲水性。
根据另一实施例,辐射敏感材料层302包括在执行以下时提供亲水聚合物与更加疏水的聚合物的酸催化重整的材料:曝光于具有适当波长的辐射以及此后在曝光之后执行第一曝光后烘烤;热分解烘烤;和/或酸洗和酸洗后烘烤。根据另一实施例,辐射敏感材料层302包括在执行以下时提供酸催化去保护的材料:曝光于具有适当波长的辐射以及此后在曝光之后执行第一曝光后烘烤;热分解烘烤;和/或酸洗和酸洗后烘烤。
根据又一实施例,辐射敏感材料层302包括生酸剂,诸如光生酸剂、热生酸剂和/或其组合。这里对“生酸剂”的引用应被理解为同义地指的是“一种或多种生酸剂”。根据又一实施例,辐射敏感材料层302包括受保护聚合物,该受保护聚合物在加热到等于或大于所述受保护聚合物的热分解温度的温度时经受去保护。根据又一实施例,辐射敏感材料层302包括受保护聚合物,该受保护聚合物在执行酸洗处理之后加热到等于或大于所述受保护聚合物的热分解温度的温度时经受去保护。根据又一实施例,辐射敏感材料层302包括具有频哪醇半族(pinacolmoiety)的亲水聚合物,该亲水聚合物在执行以下时经受与更疏水的聚合物的酸催化重整:对所述辐射敏感材料层的所述曝光和所述曝光之后的所述曝光后烘烤;对所述辐射敏感材料层的所述酸洗和所述酸洗后烘烤;所述将所述辐射敏感材料层加热到等于或大于所述辐射敏感材料的所述热分解温度的所述温度;或者上述两个或更多个的任意组合。
辐射敏感材料层302可使用tracksystem(流水线系统)来形成。例如,tracksystem可以包括从东京电子有限公司(TEL)商业上可获得的CleanTrackACT8、ACT12或者Lithius抗蚀剂涂布和显影系统。用于在基板上形成辐射敏感材料层的其它系统和方法对旋涂抗蚀剂技术领域的技术人员来说是公知的。
在将辐射敏感材料层302施加到基板301之后,可在施加后烘烤(PAB)中对辐射敏感材料层进行热处理。例如,在大约30秒到大约180秒的持续时间中,可将基板的温度提高到大约50℃与大约200℃之间。可使用具有施加后基板加热和冷却设备的tracksystem来执行PAB,例如,上述tracksystem之一。用于对基板上的曝光后辐射敏感材料进行热处理的其它系统和方法对旋涂抗蚀剂技术领域的技术人员来说是公知的。
如图3所示,辐射敏感材料层302通过掩模303而曝光于辐射307。掩模303包括禁止辐射307透射到辐射敏感材料层302的不透明区域310和将辐射307透射到辐射敏感材料层302的透明区域304。掩模303可包括适合于用于湿(例如,浸)光刻术或干光刻术的任意掩模,包括从大约365nm到大约13nm的范围内的波长。掩模303可包括二元掩模或玻璃铬掩模(chromeonglassmask)。替选地,掩模303可包括交替相移掩模或嵌入式相移掩模。
可在干光刻系统或湿光刻系统中执行将辐射敏感材料层302曝光于EM辐射的图案。光刻系统可能能够以例如365nm、248nm、193nm、157nm和13nm的波长提供EM辐射的图案。可以使用任意适当的传统步进光刻系统或扫描光刻系统来形成图像图案。例如,光刻系统可从ASMLNetherlandsB.V.(DeRun6501、5504DR北布拉班特省,荷兰)或者佳能美国股份有限公司、半导体设备部门(3300NorthFirstStreet,圣何塞,加利福尼亚95134)商业上获得。可以例如以正常入射光和离轴照射光(诸如环形照射、四轴照射和双极照射)来照射掩模303。这些照射和使用掩模303将辐射敏感材料层302曝光于辐射的方法对微电子装置制造领域的普通技术人员来说是公知的。
如上所述的具有曝光后基板加热和冷却设备的tracksystem可用于执行曝光后烘烤(PEB)。用于对基板上的曝光后辐射敏感材料层进行热处理的其它系统和方法对旋涂抗蚀剂技术领域的技术人员来说是公知的。
进一步参照图3,示出了使用光刻系统、由通过掩模303的辐射307的投射得到的辐射图案在辐射敏感材料层302中产生的辐射曝光曲线305和响应曲线306。如图3所示,对应于透明区域304的第一区域312从辐射307接收高辐射曝光,对应于不透明区域310的第二区域313从辐射307接收低辐射曝光,并且大致对应于不透明区域310的边缘的第三区域314从辐射307接收在从大约高辐射曝光到大约低辐射曝光的范围内的中间或梯度辐射曝光。对应于辐射敏感材料层302的第一区域312的响应曲线306大于上限阈值308,而对应于第二区域313的响应曲线306低于下限阈值309。此外,对应于第三区域314的响应曲线306位于下限阈值309与上限阈值308之间。此外,对应于第三区域314的响应曲线306可表示跨越第三区域314的宽度的曝光梯度。
在一个实施例中,如图3所示,响应曲线306可表示与辐射曝光曲线305成比例的辐射敏感材料层302中的酸浓度。存在于辐射敏感材料层302中的酸可有利于受保护聚合物的酸催化去保护和/或亲水聚合物与更疏水的聚合物的重整。这样,酸浓度可与辐射敏感材料层302中的去保护的和/或重整的聚合物的化学浓度成比例。因此,在另一实施例中,响应曲线306可表示与辐射曝光曲线305大致成比例的、辐射敏感材料层302中的去保护的和/或重整的聚合物的化学浓度。
在一个实施例中,上限阈值308对应于当施加第一显影化学试剂时辐射敏感材料层302的第一溶解性阈值。在一个实施例中,下限阈值309对应于当施加第二显影化学试剂时辐射敏感材料层302的第二溶解性阈值。
在一个实施例中,使用第一显影化学试剂从基板301选择性地去除辐射敏感材料层302的与掩模303的透明区域304对应并且在辐射曝光曲线305中具有高辐射曝光的第一区域312。辐射敏感材料层302的具有辐射曝光曲线305中的低辐射曝光的第二区域313可选择性地不受曝光于第一显影化学试剂的影响或者最小地受到曝光于第一显影化学试剂的影响。大致与不透明区域310的边缘对应的并且具有辐射曝光曲线305中的中间曝光(即,上限阈值308与下限阈值309之间的辐射曝光)的第三区域314可保留在基板301上,但是可表现出与曝光、保护和/或重整的相对水平成比例的、对第一显影化学试剂的抗耐选择性。
相反,可通过曝光于第二显影化学试剂而选择性地去除辐射敏感材料层302的具有辐射曝光曲线305中的低辐射曝光的第二区域313。辐射敏感材料层302的与透明区域304对应的并且具有辐射曝光曲线305中的高辐射曝光的第一区域312可选择性地不受曝光于第二显影化学试剂的影响或者最小地受到曝光于第二显影化学试剂的影响。大致与不透明区域310的边缘对应的并且具有辐射曝光曲线305中的中间曝光(即,上限阈值308与下限阈值309之间的辐射曝光)的第三区域314可保留在基板301上,但是表现出将与曝光、保护和/或重整的相对水平成比例的、对第二显影化学试剂的抗耐性。
在一个实施例中,对于第一区域312,响应曲线306包括高于酸浓度的上限阈值308的、辐射敏感材料层302中的酸浓度。在一个实施例中,上限阈值308表示辐射敏感材料层302的酸水平溶解性阈值。例如,如果辐射敏感材料层302中的酸浓度高于酸浓度的上限阈值308,则辐射敏感材料层302可在第一显影化学试剂中溶解。
在一个实施例中,对于第二区域313,响应曲线306包括低于酸浓度的下限阈值309的、辐射敏感材料层302中的酸浓度。在一个实施例中,下限阈值309表示辐射敏感材料层302的另一酸水平溶解性阈值。例如,如果辐射敏感材料层302中的酸浓度低于酸浓度的下限阈值309,则辐射敏感材料层302可在第二显影中溶解。
在一个实施例中,酸浓度的上限阈值308在干净场酸水平(clearfieldacidlevel)的大约30%到大约60%的范围中,并且酸浓度的下限阈值309在干净场酸浓度的大约10%到大约25%的范围中。在一个实施例中,干净场酸浓度被定义为完全曝光于辐射的辐射敏感材料的酸水平。在另一实施例中,干净场酸浓度被定义为当基本上所有的生酸剂材料已与辐射307反应从而产生酸种时或者当基本上所有热生酸剂已被分解以产生酸种时的酸浓度。
由于掩模303对辐射307的衍射,产生了对应于中间辐射曝光的第三区域314。在一个实施例中,第三区域314包括在上限阈值308与下限阈值309之间的酸浓度。可使用第一显影化学试剂从基板301选择性地去除对应于高辐射曝光的第一区域312。可使用第二显影化学试剂从基板301选择性地去除对应于低辐射曝光的第二区域313。在第一和/或第二显影化学试剂中,对应于中间辐射曝光的第三区域314可基本上保留在基板301上。
仍然参照图3,第一区域312可由第一临界尺寸320来表征。例如,第一临界尺寸可与正性显影之后的正性临界尺寸有关。另外,第二区域313可由第二临界尺寸322来表征。例如,第二临界尺寸322可与负性显影之后的负性临界尺寸有关。
第三临界尺寸324可与所成像的辐射敏感材料的正性显影有关。第三临界尺寸324包括第三区域313和邻接的第三区域314。第四临界尺寸326可与所成像的辐射敏感材料的负性显影有关。第四临界尺寸326包括第一区域312和邻接的第三区域314。
如这里所使用的,正性显影化学试剂指的是选择性地去除具有高辐射曝光的第一区域312的溶剂系统。根据一个实施例,正性显影化学试剂包括基(base),例如,碱、胺等。在一个示例中,用于选择性地去除第一区域312的正性显影化学试剂包括四甲基氢氧化铵(TMAH)。TMAH溶液的典型和商业可用形式是0.26N溶液,如果期望其可以被稀释。在另一示例中,用于选择性地去除第一区域312的正性显影化学试剂包括基、水和可选的表面活性剂。根据另一实施例,正性显影化学试剂包括基于有机溶剂的合成物。在一个实施例中,正性显影化学试剂包括苯甲醚、乙酸正丁酯、2-庚酮、3-乙氧乙基丙酸酯(ethyl-3-ethoxy-propionate)或者其组合,并且还可包括另外的有机化合物、可选的水和/或可选的表面活性剂。
如这里所使用的,负性显影化学试剂指的是选择性地去除具有低辐射曝光的第二区域313的溶剂系统。根据一个实施例,负性显影化学试剂可包括有机溶剂。在一个示例中,负性显影化学试剂包括苯甲醚、乙酸正丁酯、2-庚酮、3-乙氧乙基丙酸酯或者其组合,并且还可包括另外的有机化合物、可选的水和/或可选的表面活性剂。根据另一实施例,负性显影化学试剂包括基,例如,碱、胺等。在一个示例中,用于选择性地去除第一区域312的正性显影化学试剂包括四甲基氢氧化铵(TMAH)或氢氧化钾(KOH)。在另一示例中,用于选择性地去除第一区域312的正性显影化学试剂包括基、水和可选的表面活性剂。
为了更好地理解用于对所成像的辐射敏感材料进行显影的显影化学试剂的特性,定义了以下术语。RMIN被定义为最小显影速率。RMAX被定义为最大显影速率。显影速率可方便地以纳米每秒来描述。对于正性显影,在低水平的去保护和/或曝光处观察到RMIN,而在高水平的去保护和/或曝光处观察到RMAX。相反,对于负性显影,在高水平的去保护和/或曝光处观察到RMIN,而在低水平的去保护和/或曝光处观察到RMAX
图4A至4E示出了包括辐射敏感材料的示例性实施例。膜堆叠400包括涂布有辐射敏感材料层402的基板401,该辐射敏感材料层402包括能够在曝光于辐射时转换为酸的生酸剂。辐射407通过掩模403被投射到辐射敏感材料层402上。如图4B所示,辐射敏感材料层402中的第一区域412对应于掩模403中的透明区域404并且从辐射407接收高辐射曝光。辐射敏感材料层402中的第二区域413对应于掩模403中的不透明区域410并且从辐射407接收低辐射曝光。辐射敏感材料层402中的第三区域414大致对应于掩模403中的不透明区域410的边缘并且从辐射407接收在从大约高辐射曝光到大约低辐射曝光的范围内的中间辐射曝光。
作为区域412至414的另外表征,在一个实施例中,第一区域412可具有作为高辐射曝光的结果的、生酸剂到酸的高转换百分比,第二区域413可具有作为低辐射曝光的结果的、生酸剂到酸的低转换百分比,并且第三区域414可具有作为在从高到低的范围内的中间辐射曝光的结果的曝光梯度,在该曝光梯度中,生酸剂到酸的转换百分比在从大约高转换百分比到大约低转换百分比的范围内。
仍参照图4B,第一区域412可由第一临界间隔尺寸420来表征。例如,第一临界间隔尺寸420可与利用第一化学试剂来去除第一区域412的正性显影有关,或者替选地与随后进行细化的、利用第二化学试剂来去除第二区域413的负性显影有关。另外,第二区域413可由第二临界间隔尺寸422来表征。例如,第二临界间隔尺寸422可与利用第二化学试剂来去除第二区域413的负性显影有关,或者替选地与随后进行细化的、利用第一化学试剂来去除第一区域412的正性显影有关。
此外,第三临界尺寸424可与在正性显影之后保留的辐射敏感材料层402有关,并且第四临界尺寸426可与在负性显影之后保留的辐射敏感材料层402有关。在显影之后保留的辐射敏感材料层402(诸如由第三临界尺寸424和第四临界尺寸426表征的那些功能部件)被共同称为辐射敏感材料线。例如,当辐射敏感材料是光刻胶时,由第三临界尺寸424和第四临界尺寸426定义的功能部件被共同称为光刻胶线。
在一个实施例中,对应于高辐射曝光的第一区域412接收入射在基板401上的大约50%或者更多的辐射407,对应于低辐射曝光的第二区域413接收入射在基板401上的少于15%的辐射407,并且对应于中间辐射曝光的第三区域414接收入射在基板401上的大约15%与大约50%之间的辐射407。
在一个实施例中,对辐射407的高曝光将第一区域412中的酸浓度增加到高于上限酸浓度阈值的水平。上限酸浓度阈值与辐射敏感材料层402对显影化学试剂的第一溶解性阈值有关。在一个实施例中,当第一区域412中的酸浓度增加到高于辐射敏感材料层402对第一显影化学试剂的第一溶解性阈值(例如,酸浓度阈值)的水平时,第一区域412在施加第一显影化学试剂时变为可溶解的,和/或对第二显影化学试剂不可溶解。
在另一实施例中,当第一区域412中的去保护聚合物的化学浓度增加到高于辐射敏感材料层402对第一显影化学试剂的第一溶解性阈值(例如,酸浓度阈值)的水平时,第一区域412在施加第一显影化学试剂时变为可溶解的,和/或对第二显影化学试剂不可溶解。
在另一实施例中,当第一区域412中的酸催化重整聚合物的化学浓度增加到高于辐射敏感材料层402的溶解性阈值的水平时,第一区域412变为对第一显影化学试剂可溶解,和/或对第二显影化学试剂不可溶解。
在对应于低辐射曝光的第二区域413中,去保护聚合物或重整聚合物的酸浓度和/或化学浓度小于辐射敏感材料层402对第一显影化学试剂的下限溶解性阈值(例如,酸浓度阈值)。第二区域413在第二显影化学试剂中可溶解,和/或对第一显影化学试剂不可溶解。
通常,第一溶解性阈值和第二溶解性阈值由辐射敏感材料层402的材料特性来确定。对应于中间辐射曝光的第三区域414具有其中酸浓度在大约第一溶解性阈值与第二溶解性阈值之间的范围内的曝光梯度。即,当向辐射敏感材料层402施加第一显影化学试剂或第二显影化学试剂之一时,第三区域414不是容易地可溶解的。
在使得辐射敏感材料层402曝光于EM辐射407之后,可在第一曝光后烘烤(PEB)中对曝光后的辐射敏感材料层402进行热处理。例如,可在大约30秒到大约180秒的持续时间中将基板的温度升高到大约50℃与大约200℃之间。可在tracksystem的模块中执行PEB。
现在参照图4C,可使用利用第一显影化学试剂对辐射敏感材料层402的正性显影来选择性地去除对应于高辐射曝光的第一区域412。在一个实施例中,用于选择性地去除第一区域412的第一显影化学试剂包括基,例如,碱、胺等。在一个实施例中,用于选择性地去除第一区域412的第一显影化学试剂包括TMAH或KOH。在另一实施例中,用于选择性地去除第一区域412的第一显影化学试剂包括基、水和可选的表面活性剂。在又一实施例中,用于去除第一区域412的第一显影化学试剂是基于有机溶剂的合成物。
在一个实施例中,使得具有曝光后的辐射敏感材料层402的基板401与包含第一显影化学试剂的显影溶液接触,以去除可在第一显影化学试剂中溶解的第一区域412。此后,对基板401进行干燥。可关于预先指定的持续时间(例如,大约30秒到大约180秒)、预先指定的温度(例如,室温)和预先指定的压力(例如,大气压力)来执行显影处理。显影处理可以包括在诸如tracksystem(例如,上述tracksystem)的显影系统中将基板曝光于显影溶液。
如图4C所示,如以下将讨论的,可调整、控制和/或优化第一临界尺寸420’(对应于去除了第一区域412的区域)、第二临界尺寸422’(对应于第二区域413)、第三临界尺寸424’(对应于在两侧具有第三区域414的第二区域413)以及第四临界尺寸426’(对应于在两侧具有第三区域414的去除后区域)。
如图4C所示,第二区域413和第三区域414保留在基板401上并且构成辐射敏感材料线。
参照图4D,在对辐射敏感材料层402执行了第一显影化学试剂处理之后,使得曝光后的辐射敏感材料层402经受形成第四区域430的条件。第三区域414和第二区域413被转换为基本上均匀水平的辐射曝光或去保护、极性或者其组合,从而形成第四区域430。
在一个实施例中,第四区域430具有基本上均匀的生酸剂到酸的高转换百分比,这随后导致基本上均匀的去保护聚合物区域。在第三区域414和第二区域413中实现生酸剂到酸的高转换百分比以形成第四区域430的示例性方法包括整片辐射曝光、酸洗处理、以升高的温度执行烘烤及其组合。在本实施例的另一方面,第四区域430是基本上均匀的去保护聚合物区域。第四区域430中的去保护水平的基本均匀性允许与随后的化学试剂的均匀反应,即,均匀性允许基本上各向同性细化。因此,在去除曝光梯度之后,可通过从第四区域430基本上各向同性地去除厚度x来将尺寸WO(即,现有的临界尺寸424’)细化为如图4E所示的期望或目标临界尺寸Wf以形成期望的第五区域432。
参照图4E,根据使用通常的化学增幅(chemically-amplified)正性抗蚀剂(诸如受保护的基于聚烃基苯乙烯的抗蚀剂)的本发明的实施例,可通过以下步骤来实现从辐射敏感材料线(即,第四区域430)基本上各向同性地去除厚度x以提供具有临界尺寸Wf的细化辐射敏感材料线(即,第五区域432):调整基于有机溶剂的合成物化学试剂的成分,调整水基合成物化学试剂的浓度,调整辐射敏感材料层的成分以提供减弱层(mutedlayer);调整用于施加基于有机溶剂的合成物或水基合成物显影化学试剂的持续时间;调整显影化学试剂的温度,或者上述两个或更多个的组合。相反,根据使用其它化学增幅抗蚀剂(诸如基于频哪醇的抗蚀剂)的本发明的实施例,可通过以下步骤来实现从第四区域430基本上各向异性地去除厚度x以提供具有临界尺寸Wf的细化第五区域432:使用低RMAX有机溶剂和/或调整基于有机溶剂的合成物化学试剂的成分,使用传统水基合成物,调整具有增进剂的水基合成物的成分,调整显影化学试剂的温度,或者上述两个或更多个的组合。
现在参照图4B和4F,可使用利用适合于关于第一区域412和第三区域413中选择性地去除第二区域413的化学试剂对辐射敏感材料层402的负性显影处理来选择性地去除对应于低辐射曝光的第二区域413。在使用通常的化学增幅抗蚀剂(诸如受保护的基于聚烯烃苯乙烯的抗蚀剂)的本发明的一个实施例中,用于选择性地去除第二区域413的第二显影化学试剂包括基于有机溶剂的合成物。根据使用其它化学增幅抗蚀剂(诸如基于频哪醇的抗蚀剂)的本发明的另一实施例,可使用适当的溶剂(诸如极性酒精溶剂)来去除第二区域413。
如图4F所示,如以下将讨论的,可调整、控制和/或优化第一临界尺寸420’’(对应于第一区域412)、第二临界尺寸422’’(对应于去除了第二区域413的区域)、第三临界尺寸424’’(对应于在两侧具有第三区域414的去除后区域)和第四临界尺寸426’’(对应于在两侧具有第三区域414的第一区域412)。
如图4F所示,第一区域412和第三区域414保留在基板401上并且构成辐射敏感材料线。
参照图4G,在对辐射敏感材料层402执行第一显影化学试剂处理之后,使曝光后的辐射敏感材料层402经受形成第四区域434的条件。第三区域414以及可选的第一区域412被转换成基本上均匀水平的辐射曝光、极性或去保护或者其组合,从而由第一区域412和第三区域414的组合形成第四区域434。实现转换的适当方法包括上述方法。
第四区域434中的去保护水平的基本上均匀性允许与随后的化学试剂的均匀反应,即,均匀性允许基本上各向同性细化。因此,在去除了曝光梯度之后,可通过从第四区域434基本上各向同性地去除厚度y而将尺寸WO(即,现有的临界尺寸426’’)细化为如图4H所示的期望或目标临界尺寸Wf以形成期望的第五区域436。
现在参照图5,提出了根据本发明的实施例的图案化基板的方法的流程图500。流程图500在510中以在基板上形成包括受保护聚合物和生酸剂的辐射敏感材料层而开始。在520中,方法包括对辐射敏感材料层执行图案化曝光。在图案化曝光期间,使用具有掩模临界尺寸(CD)的掩模使得辐射敏感材料层曝光于电磁辐射(EM)辐射的图案以形成第一区域、第二区域和第三区域。掩模CD可包括用于表征掩模的不透明区域、掩模的透明区域、掩模节距等的任意临界尺寸。第一区域可被表征为具有高辐射曝光。第二区域可被表征为具有低辐射曝光。第三区域可被表征为具有中间辐射曝光。
在530中,执行曝光后烘烤(PEB),其中,将基板的温度升高到曝光后温度。PEB可包括设置曝光后温度、将基板升高到曝光后温度的时间、用于达到曝光后温度的加热速率、用于降低曝光后温度的冷却速率、在将基板升高到曝光后温度期间基板周围的气体环境的压力或者在将基板升高到曝光后温度期间基板周围的气体环境的成分、或者上述两个或更多个的组合。曝光后温度可以是斜坡式的或台阶式的。
在完成曝光后烘烤之后,对于对所成像的光刻胶层进行显影存在两个选项。在540中,对辐射敏感材料层执行正性显影,其中,使用第一显影化学试剂从基板去除第一区域。第一区域的去除可由第一临界尺寸来表征。正性显影处理可包括设置第一显影化学试剂的成分、用于施加第一显影化学试剂的持续时间或用于施加第一显影化学试剂的温度、或者上述两个或更多个的组合。根据一个实施例,第一显影化学试剂可包括诸如基溶液的极性合成物。第一显影化学试剂还可包括基溶液、水和可选的表面活性剂。根据另一实施例,第一显影化学试剂可包括非极性合成物,诸如基于有机溶剂的合成物。此后,所保留的是包括具有低辐射曝光的第二区域的辐射敏感材料层,其中具有中间曝光的第三区域位于紧邻第二区域。第二区域和第三区域的该组合形成辐射敏感材料线。
在550中,作为对540的补充实施例,对辐射敏感材料层执行负性显影,其中,使用第二显影化学试剂从基板去除第二区域。第二区域的去除可由第二临界尺寸来表征。负性显影处理可包括设置第二显影化学试剂的成分、用于施加第二显影化学试剂的持续时间或用于施加第二显影化学试剂的温度、或者上述两个或更多个的组合。根据一个实施例,第二显影化学试剂可包括非极性合成物,诸如基于有机溶剂的合成物。根据另一实施例,第二显影化学试剂可包括极性合成物,诸如酒精溶剂。此后,所保留的是包括具有高辐射曝光的第一区域的辐射敏感材料层,其中具有中间曝光的第三区域位于紧邻第一区域。第一区域和第三区域的该组合形成辐射敏感材料线。
在560中,使得在执行540之后包括第二和第三区域或者在执行550之后包括第一和第三区域的辐射敏感材料线曝光于实现如下的化学试剂和/或条件:实现生酸剂到酸的高转换百分比,实现聚合物的高去保护百分比,或者实现亲水聚合物与更疏水的聚合物的酸催化重整。可以通过执行诸如以下的操作来实现曝光梯度去除:整片曝光,随后是整片曝光后烘烤;热分解烘烤;或者酸洗,随后是酸洗后烘烤。去除曝光梯度的这些方法产生了从第二区域和第三区域的组合或者第一区域和第三区域的组合得到的第四区域。如以下进一步讨论的,这些方法提供了具有大致均匀的去保护和/或极性并且对辐射不敏感的第四区域。
在570和580中,对第四区域执行细化,其中,以基本上均匀的方式减小辐射敏感材料线的尺寸。根据本发明的实施例,这可以通过如以下进一步讨论的各种方法来实现。
参照图6,流程图600提供了去除辐射敏感材料线的至少第三区域的曝光梯度以提供具有大致均匀的去保护和/或极性的层,即,图5所示的在正性显影540或负性显影550之后在560中执行去除曝光梯度。在610中,根据本发明的一个实施例,可对辐射敏感材料层执行整片曝光。在整片曝光期间,将辐射敏感材料层曝光于未图案化的辐射。整片曝光可包括将基板曝光于电磁(EM)辐射而没有掩模或光罩。EM辐射可拥有可见谱中的波长或紫外谱中的波长或者其组合。另外,整片曝光可包括将基板曝光于连续的EM辐射、脉冲式的EM辐射、多色EM辐射、单色EM辐射、宽带EM辐射或窄带辐射、或者其组合。
例如,整片曝光可包括将基板曝光于436nm的EM辐射、365nm的EM辐射、248nm的EM辐射、193nm的EM辐射、157nm的EM辐射或者深紫外(DUV)EM辐射、或者上述两个或更多个的任意组合。另外,例如,整片曝光可包括将基板曝光于波长能够在辐射敏感材料层中产生酸的EM辐射。
在整片曝光之后,执行整片曝光后烘烤(PFEB),其中,将基板的温度升高到PFEB温度。整片曝光后烘烤可包括设置PFEB温度、将基板升高到PFEB温度的时间、用于实现PFEB温度的加热速率、用于降低PFEB温度的冷却速率、在将基板升高到PFEB温度期间基板周围的气体环境的压力或在将基板升高到PFEB温度期间基板周围的气体环境的成分、或者上述两个或更多个的组合。
在620中,根据本发明的另一实施例,可对辐射敏感材料层执行热分解烘烤(TDB)。对于热分解烘烤,TDB温度可包括:生酸剂将基本上经受热分解以产生酸从而有利于辐射敏感材料的酸催化分解或者亲水聚合物与更疏水的聚合物的酸催化重整的温度;或者诸如特丁基碳酸盐(tBOC)受保护辐射敏感材料的受保护聚合物将基本上去保护的温度。在任意情况下,最终结果基本上是去除第三区域的曝光梯度以及基本上去保护/减小极性/分解辐射敏感材料层的先前未曝光的第二区域。应注意,烘烤温度不应超过辐射敏感材料层的玻璃转变温度(Tg)。
在630中,根据本发明的又一实施例,可对辐射敏感材料层执行酸洗。酸洗可为辐射敏感材料层的表面提供充足量的酸,使得在加热到充分温度时,其可有利于或增强辐射敏感材料的去保护或热分解。适当的酸洗可包括示例性酸性化合物,诸如硫酸和二氯乙酸。在570和580中,对第四区域执行细化。
参照图6,提出了根据本发明的实施例的图案化基板的方法的流程图600。流程图600在610中以在基板上形成辐射敏感材料层开始,并且在620中,对辐射敏感材料层执行图案化曝光。在630中,执行第一曝光后烘烤(PEB),其中,将基板的温度升高到PEB温度。在640中,对所成像的辐射敏感材料层执行正性显影,其中,使用第一显影化学试剂从基板去除第一区域。在650中,可对辐射敏感材料层执行整片曝光。在整片曝光期间,将辐射敏感材料层曝光于未图案化的辐射。在660中,执行整片曝光后烘烤(PFEB),其中,将基板的温度升高到PFEB温度。在670中,对辐射敏感材料执行细化,其中,减小辐射敏感材料线的尺寸。
图7和图8提供了在图5至图6的570和580中对第四区域进行细化的补充替选方法。根据本发明的实施例,可修改或调整细化化学试剂以通过控制曝光于显影化学试剂的持续时间而提供简单地实现可预测且可再现的性能的各向同性溶解速率。例如,可修改显影化学试剂和/或条件以建立以下范围的溶解速率:从大约0.1nm/sec到大约5nm/sec;从大约0.2nm/sec到大约4nm/sec;从大约0.5nm/sec到大约2nm/sec;或者从大约0.1nm/sec到大约1nm/sec。在一个示例中,溶解速率可为大约1nm/sec。
参照图7,流程图700提供了对具有大致均匀的去保护和/或极性的辐射敏感材料线进行细化的替选方法。根据一个实施例,使用通常的化学增幅正性抗蚀剂(诸如受保护的基于聚烃基苯乙烯的抗蚀剂),当施加水基化学试剂时基本上被去保护的第四区域是相对可溶的,但是当施加基于有机溶剂的合成物化学试剂时是相对不可溶的。
在替选的710中,可通过使得基本上被去保护的第四区域430、434与基于有机溶剂的合成物接触来完成细化。因此,基于有机溶剂的合成物包括有机溶剂,并且还可包括一种或多种其它有机化合物或共溶剂、可选的水以及可选的表面活性剂。因此,基本上被去保护的辐射敏感材料线的细化可通过以RMIN使得这些线与基于有机溶剂的合成物接触来实现。基于有机溶剂的合成物化学试剂的优化可以通过选择溶剂或溶剂混合物以获得期望溶解速率而容易地实现。
在替选的720至740中,可通过利用水基化学试剂来实现细化。在修改的条件下仍可采用一般选择性地朝向被高度去保护的辐射敏感材料线的水基化学试剂来提供降低的细化速率。可通过以下方法来降低水基化学试剂的溶解速率:这些方法诸如为稀释辐射敏感材料层中包括减弱剂(mutingagent)的水基化学试剂溶液或者以超冷温度执行水基化学试剂。如上所述,水基化学试剂一般包括:基,例如碱、胺等;水;以及可选的表面活性剂。一个示例性基是四甲基氢氧化铵(TMAH)。
在替选的720中,可通过使得基本上被去保护的第四区域430、434与稀释水基化学试剂溶液接触来实现细化。用于细化和/或显影的示例性且商业上可用的水基溶液是0.26N的TMAH溶液,该溶液可被稀释以控制细化速率。例如,可通过以100、200、500或1000的因数稀释水基化学试剂来降低溶解速率。因此,示例性的稀释水基溶液包括大约为0.02N或更小的氢氧化盐浓度。可以通过选择适当的稀释因数以获得期望的溶解速率来容易地实现稀释的水基化学试剂的优化。
在替选的730中,可通过在减弱的或抑制的辐射敏感材料层上使得基本上被去保护的第四区域430、434与水基化学试剂接触来实现细化。例如,在膜堆叠的形成期间,辐射敏感材料溶液还可包括减弱剂。这样,该处理利用以RMAX或大约RMAX、以高去保护水平显影的水基化学试剂,但是减弱剂的存在将基本上被去保护的辐射敏感材料线的有效溶解速率降低到期望的溶解速率。一种示例性的减弱剂是胆酸。
在替选的740中,可通过以超冷温度使得基本上被去保护的第四区域430、434与水基化学试剂接触来实现细化。如这里所使用的,超冷温度被定义为大于显影化学试剂的凝固点且小于室温的温度。例如,超冷温度可在以下范围中:从大约0℃到大约20℃;从大约0℃到大约15℃;或者从大约5℃到大约10℃。
另外,可组合以上细化方法710至740。可通过如下操作来实现细化步骤:调整基于有机溶剂的合成物化学试剂的成分,调整水基化学试剂的浓度,调整辐射敏感材料层的成分;调整用于施加基于有机溶剂的合成物或水基化学试剂的持续时间;调整细化化学试剂的温度,或者上述两个或更多个的组合。
参照图8,流程图800提供了对具有大致上均匀的去保护和/或极性的辐射敏感材料线进行细化的替选方法。根据一个实施例,使用诸如受保护的基于频哪醇的抗蚀剂的化学增幅亲水聚合物抗蚀剂,辐射敏感材料线是基本上较低极性的第四区域430、434,并且当施加基于有机溶剂的合成物化学试剂时是相对可溶的,而当施加水基化学试剂时是相对不可溶的。
在替选的810中,可通过以超冷温度使得基本上较低极性的第四区域430、434与基于有机溶剂的合成物接触来实现细化。因此,基于有机溶剂的合成物包括有机溶剂,并且还可包括一种或多种其它有机化合物或共溶剂、可选的水以及可选的表面活性剂。如这里所使用的,超冷温度被定义为大于基于有机溶剂的合成物的凝固点且小于20℃的温度。例如,超冷温度可在以下范围中:从大约0℃到大约20℃;从大约0℃到大约15℃;或者从大约5℃到大约10℃。
在替选的820中,可通过使得基本上较低极性的第四区域430、434与具有低RMAX的基于有机溶剂的合成物接触来实现细化。因此,基于有机溶剂的合成物包括有机溶剂,并且还可包括一种或多种其它有机化合物或共溶剂、可选的水以及可选的表面活性剂。因此,可通过以低RMAX使得线与基于有机溶剂的合成物接触来实现对基本上较低极性的辐射敏感材料线的细化。可以通过选择溶剂或溶剂混合物以获得期望的较低RMAX溶解速率来容易地实现基于有机溶剂的合成物化学试剂的优化。
在替选的830至850中,可通过利用水基化学试剂来实现细化。尽管基本上较低极性的第四区域430、434对水基化学试剂是相对不可溶的,但是可利用存在的部分溶解性来对第四区域430、434进行细化。
在替选的830中,可通过使得第四区域430、434与水基化学试剂溶液接触来实现细化。用于细化和/或显影的示例性且商业上可用的水基溶液是0.26N的TMAH溶液,该溶液可被稀释以控制细化速率。可以通过选择适当的稀释因数以获得期望的溶解速率来容易地实现水基浓度的优化。例如,可通过以100、200、500或1000的因数对水基化学试剂进行稀释来降低溶解速率。因此,示例性的稀释水基溶液包括大约0.02N或更小的氢氧化盐浓度。
在替选的840中,可通过使得第四区域430、434与具有增进剂的水基化学试剂接触来实现细化。增进剂修改极强极性的水合成物以增强被促进的水基以促进的RMIN溶解疏水第四区域430、434的能力。换言之,促进剂可降低水基化学试剂的极性,以将较低极性的辐射敏感材料线的有效溶解速率增强到期望的溶解速率。可增强水合成物的有效溶解速率的其它促进剂包括含碱金属的盐。示例性促进剂包括含锂、钠、钾、铷或铯的盐。
在替选的850中,可通过以升高的温度使得基本上被去保护的第四区域430、434与水基化学试剂接触来实现细化。如这里所使用的,升高的温度被定义为大于30℃且小于100℃的温度。例如,升高的温度可在以下范围中:从大约30℃到大约80℃;从大约35℃到大约50℃;或者从大约30℃到大约40℃。
另外,可组合以上细化方法810至850。可通过以下操作来实现细化步骤:调整基于有机溶剂的合成物化学试剂的成分,调整水基化学试剂的浓度,调整具有促进剂的水基化学试剂的成分;调整用于施加基于有机溶剂的合成物或水基化学试剂的持续时间;调整细化化学试剂的温度,或者上述两个或更多个的组合。
尽管以上详细描述了本发明的仅特定实施例,但是本领域技术人员将容易地理解,在实质上不背离本发明的新颖教导和优点的情况下,可在实施例中进行多种修改。因此,所有这样的修改都旨在包括在本发明的范围内。
尽管通过本发明的一个或多个实施例的描述说明了本发明并且相当详细地描述了这些实施例,但是它们不旨在限制或者以任何方式将所附权利要求的范围限制于这样的细节。另外的优点和修改将对本领域技术人员来说是容易明显的。因此,本发明在其较宽方面不限于所示出和描述的具体细节、代表性设备和方法以及说明性示例。因此,可在不背离总体发明构思的范围的情况下偏离这样的细节。

Claims (18)

1.一种图案化基板的方法,包括:
在基板上形成辐射敏感材料层;
将所述辐射敏感材料层曝光于辐射图案,其中,所述图案包括:
第一区域,具有高辐射曝光,
第二区域,具有低辐射曝光,以及
第三区域,具有在从所述高辐射曝光到所述低辐射曝光的范围内的曝光梯度;
在所述曝光之后执行曝光后烘烤;
通过使得所述辐射敏感材料层与第一基于有机溶剂的合成物接触来执行正性显影以从所述基板去除所述第一区域,从而提供显影后的辐射敏感材料层;
通过将所述第二区域和所述第三区域全部转换为具有均匀水平的辐射曝光、极性或去保护或者其组合的第四区域而去除所述第三区域的所述曝光梯度;以及
细化所述第四区域。
2.根据权利要求1所述的方法,其中,所述辐射敏感材料层包括:
其极性在执行以下时减小的材料:
对所述辐射敏感材料层的所述曝光和所述曝光之后的所述曝光后烘烤,
对所述辐射敏感材料层的酸洗和酸洗后烘烤,
将所述辐射敏感材料层加热到等于或大于所述辐射敏感材料的热分解温度的温度,或者
上述两个或更多个的任意组合;或者
亲水聚合物,在执行以下时经受与更疏水的聚合物的酸催化重整:
对所述辐射敏感材料层的所述曝光和所述曝光之后的所述曝光后烘烤;
对所述辐射敏感材料层的所述酸洗和所述酸洗后烘烤;
所述将所述辐射敏感材料层加热到等于或大于所述辐射敏感材料的所述热分解温度的所述温度,或者
上述两个或更多个的任意组合。
3.根据权利要求1所述的方法,其中,所述去除所述曝光梯度包括:
对所述显影后的辐射敏感材料层执行整片曝光和整片曝光后烘烤;
对所述显影后的辐射敏感材料层执行酸洗和酸洗后烘烤;或者
将所述显影后的辐射敏感材料层加热到等于或大于所述辐射敏感材料的热分解温度的温度。
4.根据权利要求3所述的方法,其中,所述细化所述第四区域包括:
以超冷温度使得所述第四区域与第二基于有机溶剂的合成物接触以去除所述第四区域的一部分,其中,所述超冷温度小于25℃并且大于所述第二基于有机溶剂的合成物的凝固点。
5.根据权利要求3所述的方法,其中,所述细化所述第四区域包括:使得所述第四区域与第二基于有机溶剂的合成物接触以去除所述第四区域的一部分,其中,所述第二基于有机溶剂的合成物实现了从0.1纳米/秒到5纳米/秒的范围内的溶解速率。
6.根据权利要求3所述的方法,其中,所述细化所述第四区域包括:使得所述第四区域与水基溶液接触以去除所述第四区域的一部分。
7.根据权利要求3所述的方法,其中,所述细化所述第四区域包括:使得所述第四区域与包括增进剂的水溶液接触以去除所述第四区域的一部分。
8.根据权利要求7所述的方法,其中,所述增进剂是包括碱金属的盐。
9.根据权利要求3所述的方法,其中,所述细化所述第四区域包括:以从30℃到水基溶液的沸点的范围内的温度使得所述第四区域与所述水基溶液接触。
10.一种图案化基板的方法,包括:
在基板上形成辐射敏感材料层;
将所述辐射敏感材料层曝光于辐射图案,其中,所述图案包括:
第一区域,具有高辐射曝光,
第二区域,具有低辐射曝光,以及
第三区域,具有从所述高辐射曝光到所述低辐射曝光的范围内的曝光梯度;
在所述曝光之后执行曝光后烘烤;
通过使得所述辐射敏感材料层与第一水基溶液接触来对所述辐射敏感材料层执行负性显影以从所述基板去除所述第二区域,从而提供显影后的辐射敏感材料层;
通过将所述第三区域以及可选的所述第一区域转换为由所述第一区域和所述第三区域形成的第四区域而去除所述第三区域全部的所述曝光梯度,所述第四区域具有均匀水平的辐射曝光、极性或去保护或者其组合;以及
细化所述第四区域,
其中,所述辐射敏感材料层包括:
极性在执行以下时减小的材料:
对所述辐射敏感材料层的所述曝光和所述曝光之后的所述曝光后烘烤,
对所述辐射敏感材料层的酸洗和酸洗后烘烤,
将所述辐射敏感材料层加热到等于或大于所述辐射敏感材料的热分解温度的温度,或者
上述两个或更多个的组合;或者
生酸剂;或者
受保护聚合物,在加热到等于或大于所述受保护聚合物的热分解温度的温度时经受去保护。
11.根据权利要求10所述的方法,其中,所述去除所述曝光梯度包括:
对所述显影后的辐射敏感材料层执行整片曝光和整片曝光后烘烤;
对所述显影后的辐射敏感材料层执行酸洗和酸洗后烘烤;或者
将所述显影后的辐射敏感材料层加热到等于或大于所述辐射敏感材料的所述热分解温度的温度。
12.根据权利要求11所述的方法,其中,所述细化所述第四区域包括:
以超冷温度使得所述第四区域与基于有机溶剂的合成物接触以去除所述第四区域的一部分,其中,所述超冷温度小于25℃并且大于所述基于有机溶剂的合成物的凝固点。
13.根据权利要求11所述的方法,其中,所述细化所述第四区域包括:使得所述第四区域与基于有机溶剂的合成物接触以去除所述第四区域的一部分,其中,所述基于有机溶剂的合成物实现了从0.1纳米/秒到5纳米/秒的范围内的溶解速率。
14.根据权利要求11所述的方法,其中,所述细化所述第四区域包括:使得所述第四区域与第二水基溶液接触以去除所述第四区域的一部分。
15.根据权利要求11所述的方法,其中,所述细化所述第四区域包括:使得所述第四区域与包括增进剂的第二水溶液接触以去除所述第四区域的一部分。
16.根据权利要求15所述的方法,其中,所述增进剂是包括碱金属的盐。
17.根据权利要求11所述的方法,其中,所述细化所述第四区域包括:以从30℃到所述水基溶液的沸点的范围内的温度使得所述第四区域与第二水基溶液接触。
18.一种图案化基板的方法,包括:
在基板上形成辐射敏感材料层;
将所述辐射敏感材料层曝光于辐射图案,其中,所述图案包括:
第一区域,具有高辐射曝光,
第二区域,具有低辐射曝光,以及
第三区域,具有从所述高辐射曝光到所述低辐射曝光的范围内的曝光梯度;
在所述曝光之后执行曝光后烘烤;
通过使得所述辐射敏感材料层与第一基于有机溶剂的合成物接触来对所述辐射敏感材料层执行负性显影以从所述基板去除所述第二区域,从而提供显影后的辐射敏感材料层;
通过将所述第三区域以及可选的所述第一区域转换为由所述第一区域和所述第三区域形成的第四区域而去除所述第三区域全部的所述曝光梯度,所述第四区域具有均匀水平的辐射曝光、极性或去保护或者其组合,其中,所述去除所述曝光梯度包括:
对所述显影后的辐射敏感材料层执行整片曝光和整片曝光后烘烤;
对所述显影后的辐射敏感材料层执行酸洗和酸洗后烘烤;或者
将所述显影后的辐射敏感材料层加热到等于或大于所述辐射敏感材料的热分解温度的温度;以及
通过使得所述第四区域与第二基于有机溶剂的合成物接触来细化所述第四区域,
其中,所述辐射敏感材料层包括:
极性在执行以下时增加的材料:
对所述辐射敏感材料层的所述曝光和所述曝光之后的所述曝光后烘烤,
对所述辐射敏感材料层的酸洗和酸洗后烘烤,
将所述辐射敏感材料层加热到等于或大于所述辐射敏感材料的所述热分解温度的所述温度,或者
上述两个或更多个的组合;或者
生酸剂;或者
受保护聚合物,在加热到等于或大于所述受保护聚合物的热分解温度的温度时经受去保护。
CN201280024743.1A 2011-03-31 2012-03-21 在光刻应用中细化辐射敏感材料线的方法 Active CN103547968B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/077,833 2011-03-31
US13/077,833 US8435728B2 (en) 2010-03-31 2011-03-31 Method of slimming radiation-sensitive material lines in lithographic applications
PCT/US2012/029905 WO2012134910A1 (en) 2011-03-31 2012-03-21 Method of slimming radiation-sensitive material lines in lithographic applications

Publications (2)

Publication Number Publication Date
CN103547968A CN103547968A (zh) 2014-01-29
CN103547968B true CN103547968B (zh) 2016-03-23

Family

ID=45929039

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280024743.1A Active CN103547968B (zh) 2011-03-31 2012-03-21 在光刻应用中细化辐射敏感材料线的方法

Country Status (6)

Country Link
US (1) US8435728B2 (zh)
JP (1) JP5944484B2 (zh)
KR (1) KR101938905B1 (zh)
CN (1) CN103547968B (zh)
TW (1) TWI560527B (zh)
WO (1) WO2012134910A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8980651B2 (en) 2011-09-30 2015-03-17 Tokyo Electron Limited Overlay measurement for a double patterning
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
US9086631B2 (en) 2012-08-27 2015-07-21 Tokyo Electron Limited EUV resist sensitivity reduction
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP2015082046A (ja) * 2013-10-23 2015-04-27 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、及び、電子デバイス
EP3889159B1 (en) 2014-10-23 2024-06-05 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9612536B2 (en) * 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
EP4089482A1 (en) 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
JP2017068281A (ja) * 2016-12-27 2017-04-06 Hoya株式会社 フォトマスクの製造方法、パターン転写方法及び表示装置の製造方法
US11586113B2 (en) * 2018-06-15 2023-02-21 Mattson Technology, Inc Methods and apparatus for post exposure bake processing of a workpiece
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR20210134072A (ko) * 2019-04-12 2021-11-08 인프리아 코포레이션 유기금속 포토레지스트 현상제 조성물 및 처리 방법
JP2023515693A (ja) 2020-03-02 2023-04-13 インプリア・コーポレイション 無機レジストパターニング用のプロセス環境
CN113845082B (zh) * 2021-09-08 2022-10-18 清华大学 辐射热流调控器件及其应用

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1479172A (zh) * 2002-07-24 2004-03-03 株式会社东芝 图形形成方法和衬底处理装置
CN1505101A (zh) * 2002-11-28 2004-06-16 �����ɷ� 一种缩小元件临界尺寸的方法
CN1939691A (zh) * 2006-09-11 2007-04-04 东华大学 聚乳酸/ε-聚己内酯嵌段共聚物形成微观结构的方法
TW201108300A (en) * 2009-04-27 2011-03-01 Tokyo Electron Ltd Flood exposure process for dual tone development in lithographic applications

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07117751B2 (ja) 1987-12-14 1995-12-18 株式会社日立製作所 感光剤
US5741624A (en) 1996-02-13 1998-04-21 Micron Technology, Inc. Method for reducing photolithographic steps in a semiconductor interconnect process
JPH09251210A (ja) 1996-03-15 1997-09-22 Toshiba Corp レジストパターンの形成方法
US5914202A (en) 1996-06-10 1999-06-22 Sharp Microeletronics Technology, Inc. Method for forming a multi-level reticle
JP2000035672A (ja) * 1998-03-09 2000-02-02 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP4689082B2 (ja) * 2001-06-06 2011-05-25 富士通株式会社 ネガ型レジスト組成物
JP3927575B2 (ja) * 2002-07-30 2007-06-13 株式会社ルネサステクノロジ 電子装置の製造方法
JP2004086203A (ja) * 2002-08-07 2004-03-18 Renesas Technology Corp 微細パターン形成材料および電子デバイスの製造方法
US20040166448A1 (en) 2003-02-26 2004-08-26 United Microelectronics Corp. Method for shrinking the image of photoresist
JP4040515B2 (ja) 2003-03-26 2008-01-30 株式会社東芝 マスクのセット、マスクデータ作成方法及びパターン形成方法
US6905811B2 (en) 2003-04-22 2005-06-14 Headway Technologies, Inc. Method to form reduced dimension pattern with good edge roughness
TWI281690B (en) 2003-05-09 2007-05-21 Toshiba Corp Pattern forming method, and manufacturing method for semiconductor using the same
EP1757628A4 (en) 2004-05-06 2008-04-30 Jsr Corp LACTONCOPOLYMER AND RADIATION-SENSITIVE RESIN COMPOSITION
KR100598290B1 (ko) * 2004-05-20 2006-07-07 동부일렉트로닉스 주식회사 리소그래피 공정의 감광막 패턴 형성 방법
RU2346996C2 (ru) 2004-06-29 2009-02-20 ЮРОПИЭН НИКЕЛЬ ПиЭлСи Усовершенствованное выщелачивание основных металлов
ATE414934T1 (de) 2004-11-25 2008-12-15 Nxp Bv Lithographisches verfahren
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
JP4588551B2 (ja) * 2005-06-16 2010-12-01 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
KR100989567B1 (ko) 2007-05-15 2010-10-25 후지필름 가부시키가이샤 패턴형성방법
US7811923B2 (en) 2007-07-17 2010-10-12 International Business Machines Corporation Integrated wafer processing system for integration of patternable dielectric materials
JP5154395B2 (ja) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 半導体装置の製造方法及びレジスト塗布・現像処理システム
US8257911B2 (en) 2008-08-26 2012-09-04 Tokyo Electron Limited Method of process optimization for dual tone development
JP4671065B2 (ja) 2008-09-05 2011-04-13 信越化学工業株式会社 ダブルパターン形成方法
US8283111B2 (en) 2008-09-17 2012-10-09 Tokyo Electron Limited Method for creating gray-scale features for dual tone development processes
JP4779028B2 (ja) * 2009-02-27 2011-09-21 パナソニック株式会社 パターン形成方法
JP2010267879A (ja) * 2009-05-15 2010-11-25 Tokyo Electron Ltd レジストパターンのスリミング処理方法
WO2011000020A1 (en) 2009-06-12 2011-01-06 Sbc Research Pty Ltd Enhanced method of detection
US8338086B2 (en) 2010-03-31 2012-12-25 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1479172A (zh) * 2002-07-24 2004-03-03 株式会社东芝 图形形成方法和衬底处理装置
CN1505101A (zh) * 2002-11-28 2004-06-16 �����ɷ� 一种缩小元件临界尺寸的方法
CN1939691A (zh) * 2006-09-11 2007-04-04 东华大学 聚乳酸/ε-聚己内酯嵌段共聚物形成微观结构的方法
TW201108300A (en) * 2009-04-27 2011-03-01 Tokyo Electron Ltd Flood exposure process for dual tone development in lithographic applications

Also Published As

Publication number Publication date
WO2012134910A1 (en) 2012-10-04
CN103547968A (zh) 2014-01-29
TW201303520A (zh) 2013-01-16
US8435728B2 (en) 2013-05-07
KR20140031884A (ko) 2014-03-13
JP2014510954A (ja) 2014-05-01
KR101938905B1 (ko) 2019-01-15
TWI560527B (en) 2016-12-01
US20110244403A1 (en) 2011-10-06
JP5944484B2 (ja) 2016-07-05

Similar Documents

Publication Publication Date Title
CN103547968B (zh) 在光刻应用中细化辐射敏感材料线的方法
US20240045327A1 (en) Extreme ultraviolet photolithography method with developer composition
TWI477908B (zh) 雙敏感材料及微影方法
TWI459440B (zh) 微影應用中之雙型顯影用之全面性曝光製程
TWI387998B (zh) 微影方法
US8283111B2 (en) Method for creating gray-scale features for dual tone development processes
JP5663656B2 (ja) リソグラフィ用途において放射線感受性を有する材料のラインを細くする方法
US20090130590A1 (en) Photoresist compositions and process for multiple exposures with multiple layer photoresist systems
US8574810B2 (en) Dual tone development with a photo-activated acid enhancement component in lithographic applications
KR20100111612A (ko) 이중 노광 공정에서 레지스트 패턴 크리티컬 디멘젼 편차를 줄이는 방법
US20100075238A1 (en) Variable Resist Protecting Groups
KR20090007448A (ko) 마이크로전자 기판 상의 패턴 형성 방법, 패턴 피처를 배로증가시키는 방법 및 패턴 피치를 감소시키는 방법
CN108983546A (zh) 微影方法
US8257911B2 (en) Method of process optimization for dual tone development
TWI401542B (zh) 移除上塗層而減低浸潤式微影之缺陷
US20100055624A1 (en) Method of patterning a substrate using dual tone development
KR100383636B1 (ko) 반도체 장치의 패턴 형성방법
JP2009094146A (ja) パターン形成方法
WO2010025198A1 (en) Method of patterning a substrate using dual tone development
KR20240011641A (ko) 마스크 형성을 위한 이차 레지스트 표면 기능화를 이용하는패터닝 방법
JP2005115118A (ja) パターン形成方法
JP2005266477A (ja) パターン形成方法
JP2009188359A (ja) パターン形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant