TW201303520A - 微影應用中窄化輻射敏感材料線之方法 - Google Patents

微影應用中窄化輻射敏感材料線之方法 Download PDF

Info

Publication number
TW201303520A
TW201303520A TW101111550A TW101111550A TW201303520A TW 201303520 A TW201303520 A TW 201303520A TW 101111550 A TW101111550 A TW 101111550A TW 101111550 A TW101111550 A TW 101111550A TW 201303520 A TW201303520 A TW 201303520A
Authority
TW
Taiwan
Prior art keywords
radiation
region
sensitive material
exposure
layer
Prior art date
Application number
TW101111550A
Other languages
English (en)
Other versions
TWI560527B (en
Inventor
Michael A Carcasi
Mark H Somervell
Benjamin M Rathsack
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201303520A publication Critical patent/TW201303520A/zh
Application granted granted Critical
Publication of TWI560527B publication Critical patent/TWI560527B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

本發明描述一種使用輻射敏感材料(102、202、302、402)圖案化基板(101、201、301、401)之方法及系統。該方法及系統包括在基板(101、201、301、401)上形成一輻射敏感材料層(102、202、302、402),使該輻射敏感材料層(102、202、302、402)曝光至輻射圖案(107、207、307、407),及隨後在該曝光之後進行曝光後烘烤。隨後使該輻射敏感材料影像層(101、201、301、401)顯影,以移除具有高輻射曝露之區域(105、205、312、412)或具有低輻射曝露之區域(106、206、313、413)來形成輻射敏感材料線。隨後消除該等輻射敏感材料線內之曝光梯度,繼而窄化該等輻射敏感材料線。

Description

微影應用中窄化輻射敏感材料線之方法
本發明係關於圖案化基板之方法。特定而言,本發明係關於減小輻射敏感材料之線尺寸(窄化)之方法。
相關申請案之交叉參考
根據37 C.F.R.§ 1.78(a),本申請案為2010年3月31日申請之同在申請中之非臨時專利申請案第12/751,362號的部分接續申請案,該非臨時專利申請案係以引用的方式明確併入本文中。
在半導體裝置製造中對於維持成本及效能競爭性之需求已導致積體電路之裝置密度不斷增加。為實現半導體積體電路中之較高整合度及小型化,亦必須實現使半導體晶圓上所形成之電路圖案小型化。
設計規則定義裝置或互連線之間的空間容許度以確保裝置或線不以任何非吾人所樂見之方式彼此相互作用。傾向於確定半導體裝置之總體尺寸及密度之一種重要佈局設計規則為臨界尺寸(critical dimension,CD)。電路之臨界尺寸係定義為線之最小寬度或兩線之間的最小空間。另一重要設計規則為最小間距,其係定義為給定特徵之最小寬度加上距相鄰特徵邊緣之距離。
光微影為一種用於藉由將光罩上之幾何形狀及圖案轉移至半導體晶圓表面來製造半導體晶圓之標準技術。基本光微影方法包括使圖案化光源投影至輻射敏感材料層(諸如 光阻層)上,繼此之後為一個顯影步驟。
為產生具有小臨界尺寸及間距之精確具體的圖案,需要投影清晰成像之光圖案。但向半導體晶圓上投影小特徵之清晰影像的能力受到所用光之波長及縮小透鏡系統(reduction lens system)自經照射光罩捕獲足夠繞射階數之能力的限制。現有技術水準之光微影工具使用具有248或193 nm波長之深紫外(deep ultraviolet,DUV)光,其使得最小特徵尺寸減小至約50 nm。
投影系統能印刷之最小特徵尺寸大致由下式給出:CD=k 1‧λ/NA,其中CD為最小特徵尺寸或臨界尺寸;k 1為概括方法相關因數之係數,且在製造中一般等於0.4;λ為所用光之波長;且NA為透鏡之數值孔徑,如由半導體晶圓可見。根據該個等式,可藉由減小波長及/或藉由增加數值孔徑來減小最小特徵尺寸以獲得較緊密之聚焦光束及較小之斑點尺寸。
光微影方法利用曝光工具以經由光罩照射晶圓上之輻射敏感材料層以將光罩上之圖案轉移至晶圓。當圖案佈局之臨界尺寸接近微影設備之解析度極限時,光學臨近效應(optical proximity effect,OPE)開始影響光罩上之特徵轉移至輻射敏感材料層之方式,以使得光罩及實際佈局圖案開始不同。已知光學臨近效應緣於投影系統中之光學繞射。該繞射導致相鄰特徵以一定方式彼此相互作用以至於產生圖案依賴性變化;特徵靠得愈近,則可見愈大程度之臨近效應。因此,使線圖案接近地置放於一起之能力侵害 光學參數限制。
根據以上描述,因此必需用於圖案化半導體裝置之新型且改良的方法,以實現在半導體晶圓上形成之電路圖案的持續小型化。一種非光學方法為在成像之後使輻射敏感材料之線寬度變窄,且完成首次顯影。使線寬度變窄亦稱作「窄化」或「縮減」,彼等術語在本文中係同義使用。
如上文所論述,圖案化半導體晶圓一般包含以輻射敏感材料(諸如光阻)薄膜或層塗佈晶圓(基板)表面,且隨後藉由經光罩自輻射源投影輻射來使該輻射敏感材料層曝光至輻射圖案。此後,進行顯影過程以移除各個輻射敏感材料區域。所移除之特定區域取決於材料色調及顯影化學品。舉例而言,在正型色調光阻之情形下,可使用第一顯影化學品移除照射區域且可使用第二顯影化學品移除非照射區域。相反地,在負型色調光阻之情形下,可使用第三顯影化學品移除非照射區域且可使用第四顯影化學品移除照射區域。經移除之光阻區域使得欲經蝕刻至下層晶圓表面中之圖案中的下層晶圓表面曝光。
作為正型色調圖案顯影之一個實例,圖1A及1B中展示典型之微影圖案化技術。作為負型色調圖案顯影之一個實例,圖1A及1C中展示典型之微影圖案化技術。如圖1A中所示,在基板101上形成一輻射敏感材料層102。使該輻射敏感材料層102經由光罩103曝露於電磁(EM)輻射107。如圖1A中所示,比例光罩(Reticle)或光罩103包括形成圖案之透明區域104與不透明區域108,其中在不透明區域108 之間定義距離(或間距)109。透明區域104使EM輻射107透射至輻射敏感材料層102,且不透明區域108阻止EM輻射107透射至輻射敏感材料層102。因此,輻射敏感材料層102具有曝露於EM輻射107之曝光區域105及未曝露於EM輻射107之未曝光區域106。如圖1A中所示,使不透明區域108於該輻射敏感材料層102上成像以產生與未曝光區域106對準之相應輻射敏感材料特徵。
如圖1B中所示,在藉由正型色調顯影方法使用適當化學品移除圖1A中之輻射敏感材料層102的曝光區域105之後,未曝光區域106保留於基板101上且形成自光罩103轉移之圖案。如圖1C中所示,在藉由負型色調顯影方法使用適當化學品移除圖1A中之輻射敏感材料102的未曝光區域106之後,曝光區域105保留於基板101上,且從而形成圖1B中所示之圖案的互補圖案。在移除曝光區域105之後或者在移除未曝光區域106之後保留之區域被稱作輻射敏感材料線。
如圖1A及1B中所示,使不透明區域108於輻射敏感材料層102上成像以產生相應輻射敏感材料特徵(亦即,未曝光區域106)。如圖1A及1B中所示,未曝光區域106之間的間距110係由光罩103之不透明區域108之間的間距109決定。在此實例中,圖案化特徵之間距110大致為輻射敏感材料線之臨界尺寸111之寬度的兩倍。因此,臨界尺寸111係由光罩103之不透明區域之間的距離及顯影方法來確定。如隨後所論述,為進一步減小輻射敏感材料線之臨界尺寸 111,需要額外加工。
如圖1A及1C中所示,使透明區域104於輻射敏感材料層102上成像以產生相應輻射敏感材料特徵(亦即,曝光區域105)。如圖1A及1C中所示,曝光區域105之間的間距112係由光罩103之透明區域104之間的間距109決定。在此實例中,圖案化特徵之間距112大致為輻射敏感材料線之臨界尺寸113之寬度的兩倍。因此,臨界尺寸113係由光罩103之透明區域之間的距離及顯影方法來確定。如隨後所論述,為進一步減小輻射敏感材料線之臨界尺寸113,需要額外加工。
一種減小輻射敏感材料線寬度之典型方法包含在標稱溫度下進行之正型色調顯影之後基於電漿蝕刻輻射敏感材料之未曝光區域106。基於電漿之蝕刻會遇到各種問題,諸如過程穩定性及較高之先期成本。其他窄化或縮減方法包括濕式方法,諸如在高溫下以正型色調顯影型化學品處理未曝光區域106。但將如下文進一步論述,濕式顯影方法會遇到因光微影成像中之變化所引起或加劇之各向異性窄化現象。
圖2中提供光微影成像之其他詳情。在基板201上形成一輻射敏感材料層202。使該輻射敏感材料層202經光罩203曝露於EM輻射207。如圖2中所示,光罩203包括形成圖案之透明區域204及不透明區域208。不透明區域208之間的距離(或間距)209展示於圖2中。透明區域204使EM輻射207透射至正型色調輻射敏感材料層202上,且不透明區域208 阻止EM輻射207透射至輻射敏感材料層202。
儘管僅產生兩種類型之影像圖案(亦即,曝光圖案與未曝光圖案)將為合乎需要的,但圖2展示具有不同EM輻射107曝光程度之三個輻射敏感材料區域202。曝光區域205與未曝光區域206由部分曝光區域214隔開,其中曝光梯度沿部分曝光區域214之寬度延伸。該曝光梯度會受各種因素影響,諸如輻射敏感材料厚度、聚焦深度及臨近效應。因此,該曝光變化或梯度誘發各向異性窄化,其會在輻射敏感材料線中產生弱點。
有鑒於此,需要能克服先前技術問題之窄化輻射敏感材料線之新方法。
本發明之實施例提供一種圖案化基板之方法,其中輻射敏感材料線之尺寸得以減小。該等方法包含:在基板上形成輻射敏感材料層;使該輻射敏感材料層曝光至輻射圖案,其中該圖案包括:具有高輻射曝露之第一區域、具有低輻射曝露之第二區域及具有在約該高輻射曝露至約該低輻射曝露範圍內之曝光梯度的第三區域。該等方法進一步包含在使該輻射敏感材料層曝光至輻射圖案之後進行曝光後烘烤;藉由使該輻射敏感材料層與第一基於有機溶劑之組合物接觸來進行正型色調顯影以自該基板移除該第一區域從而提供輻射敏感材料顯影層;藉由使第二區域及第三區域轉化為具有實質上均一程度的輻射曝露、極性或去保護或其組合之第四區域來消除第三區域之曝光梯度;及窄 化第四區域。
根據本發明之其他實施例,該等方法包含在基板上形成輻射敏感材料層;使該輻射敏感材料層曝光至輻射圖案,其中該圖案包括:具有高輻射曝露之第一區域、具有低輻射曝露之第二區域及具有在約該高輻射曝露至約該低輻射曝露範圍內之曝光梯度的第三區域。該等方法進一步包含在使該輻射敏感材料層曝光至輻射圖案之後進行曝光後烘烤;進行該輻射敏感材料層之負型色調顯影以自該基板移除該第二區域;藉由使該第三區域及視情況使該第一區域轉化為由該第一及該第三區域形成之具有實質上均一程度的輻射曝露、極性或去保護或其組合之第四區域來消除該第三區域之該曝光梯度;及窄化第四區域。
併入本說明書中且構成本說明書一部分之附圖說明本發明之實施例,且連同上文給出之本發明之一般說明及下文給出之實施方式一起用以描述本發明。
在各種實施例中揭示一種用於圖案化基板之方法及系統。然而,熟習相關技術者將意識到,各個實施例可在無一或多種特定細節下,或以其他替代者及/或其他方法、材料或組分來實施。在其他情況下,未詳細展示或描述熟知之結構、材料或操作以避免使得本發明各種實施例之態樣模糊不清。
類似地,出於解釋之目的,陳述特定數值、材料及組態以便充分瞭解本發明。然而,本發明可在無特定細節下來 實施。此外,應瞭解圖中所示之各種實施例為說明性圖示且不一定按比例繪製。
貫穿本說明書提及「一個實施例」或「一實施例」或其變化形式意謂與該實施例相關描述之特定特徵、結構、材料或特性包括於本發明之至少一個實施例中,而並非表示其存在於每一實施例中。因此,貫穿本說明書於各處出現諸如「在一個實施例中」或「在一實施例中」之短語並非必定係指本發明之同一實施例。此外,特定特徵、結構、材料或特性在一或多個實施例中可以任何適合方式組合。在其他實施例中可包括各個其他層及/或結構及/或可省略所述特徵。
另外,應瞭解,除非另外明確規定,否則「一」可意謂「一或多個(種)」。
將以最有助於理解本發明之方式依序將各個操作描述為多個個別操作。然而,描述次序不應理解為暗示該等操作必然依此次序進行。特定而言,該等操作無需以表述次序進行。所述操作可以不同於所述實施例之次序進行。在其他實施例中可進行各個其他操作及/或可省略所述操作。
本文描述圖案化基板之方法,包括在給定微影工具及光罩下減小可轉移至基板上之圖案之臨界尺寸的方法。使用多次化學處理以實現輻射敏感材料線之臨界尺寸的各向同性減小。
參考圖3,根據本發明之實施例,在基板301上形成輻射敏感材料層。基板301可包含半導體,例如單晶矽、鍺及 任何其他半導體。在替代性實施例中,基板301可包含用以製造積體電路、被動式微電子裝置(例如電容器、電感器)及主動式微電子裝置(例如電晶體、光偵測器、雷射器、二極體)之任何材料。基板301可包括使得該等主動式及被動式微電子裝置與形成於其頂部上之導電層隔開的絕緣材料。在一個實施例中,基板301包含p型單晶矽基板,其包括一或多層絕緣層,例如二氧化矽、氮化矽、藍寶石及其他絕緣材料。
如上所述,基板301可包含薄膜堆疊,其在基底層與輻射敏感材料層302之間安置有一或多層薄膜或層。基板301中之每一薄膜可包含導電層、非導電層或半導電層。舉例而言,薄膜可包括包含金屬、金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽酸鹽、金屬矽化物、矽、多晶矽(聚矽)、摻雜矽、二氧化矽、氮化矽、碳化矽、氮氧化矽等之材料層。此外,舉例而言,薄膜可包含具有小於SiO2之介電常數(其約為4,例如熱二氧化矽之介電常數可在3.8至3.9之範圍內)之標稱介電常數的低介電常數(亦即,低-k)或超低介電常數(亦即,超低-k)介電層。更特定而言,薄膜可具有小於3.7之介電常數或1.6至3.7範圍內之介電常數。根據本發明之實施例,輻射敏感材料層302可包含例如248 nm輻射敏感材料、193 nm輻射敏感材料、157 nm輻射敏感材料或遠紫外輻射敏感材料或其兩者或兩者以上之組合。根據另一實施例,輻射敏感材料層302包含基於聚(羥基苯乙烯)之抗蝕劑或基於(甲基)丙烯酸酯之抗蝕劑。 根據另一實施例,輻射敏感材料層302包含基於嚬哪醇(pinacol)之抗蝕劑。根據另一實施例,輻射敏感材料層302包含一種材料,其溶解度會由於在於適當波長之輻射下進行曝光且隨後在曝光後進行首次曝光後烘烤、在曝露於輻射後進行熱分解烘烤、或進行酸洗滌及進行酸洗滌後烘烤導致極性改變而發生轉變。舉例而言,在於具有適當波長之輻射下進行該曝光且隨後在曝光後進行該首次曝光後烘烤、在曝露於輻射後進行該熱分解烘烤或進行該酸洗滌及該酸洗滌後烘烤時,該材料之極性會減小。應注意,材料之極性決定該材料與水相互作用之能力且因此決定其親水性或其疏水性。換言之,減小材料極性將增加材料之疏水性且減小材料之親水性。
根據另一實施例,輻射敏感材料層302包含可在於具有適當波長之輻射下進行曝光且隨後在曝光後進行首次曝光後烘烤、熱分解烘烤及/或酸洗滌及酸洗滌後烘烤時使親水性聚合物在酸催化下重組為較具疏水性之聚合物的材料。根據另一實施例,輻射敏感材料層302包含可在於具有適當波長之輻射下進行曝光且隨後在曝光後進行首次曝光後烘烤、熱分解烘烤及/或酸洗滌及酸洗滌後烘烤時提供酸催化之去保護的材料。
根據又一實施例,輻射敏感材料層302包含酸產生劑,諸如光酸產生劑、熱酸產生劑及/或其組合。本文中提及「酸產生劑」應理解為同義地係指「一或多種酸產生劑」。根據又一實施例,輻射敏感材料層302包含可在加熱 至等於或大於經保護之聚合物的熱分解溫度之溫度時經歷去保護的經保護之聚合物。根據又一實施例,輻射敏感材料層302包含可在進行酸洗滌處理後加熱至等於或大於經保護之聚合物的熱分解溫度之溫度時經歷去保護的經保護之聚合物。根據又一實施例,輻射敏感材料層302包含具有嚬哪醇部分之親水性聚合物,其在該輻射敏感材料層之該曝光及該曝光後之該曝光後烘烤、該輻射敏感材料層之該酸洗滌及該酸洗滌後烘烤、將該該輻射敏感材料層加熱至等於或大於該輻射敏感材料之該熱分解溫度之溫度或其兩者或兩者以上之任何組合時經歷酸催化重組而成為較具疏水性之聚合物。
輻射敏感材料層302可使用軌跡系統(track system)來形成。舉例而言,軌跡系統可包含可購自Tokyo Electron Limited(TEL)之Clean Track ACT 8、ACT 12或Lithius抗蝕劑塗佈及顯影系統。熟習旋塗抗蝕劑技術者熟知用於在基板上形成輻射敏感材料層之其他系統及方法。
在向基板301上塗覆輻射敏感材料層302之後,可在塗覆後烘烤(PAB)中以熱處理輻射敏感材料層。舉例而言,基板溫度可升高至約50℃與約200℃之間持續約30秒鐘至約180秒鐘之持續時間。可使用具有塗覆後基板加熱及冷卻設備之軌跡系統來進行PAB,例如上文所述之軌跡系統之一。熟習旋塗抗蝕劑技術者熟知用於在基板上熱處理經曝光輻射敏感材料薄膜之其他系統及方法。
如圖3中所示,使輻射敏感材料層302經由光罩303曝露 於輻射307。光罩303包含阻止輻射307透射至輻射敏感材料層302之不透明區域310及使輻射307透射至輻射敏感材料層302之透明區域304。光罩303可包括適用於濕式(例如,浸漬)或乾式微影(包括約365 nm至約13 nm範圍內之波長)的任何光罩。光罩303可包括二元式光罩或玻璃鍍鉻光罩。或者,光罩303可包括交替相移光罩或嵌式相移光罩。
可由乾式或濕式光微影系統使輻射敏感材料層302曝光至EM輻射圖案。微影系統能夠在例如365 nm、248 nm、193 nm、157 nm及13 nm之波長下提供EM輻射圖案。影像圖案可使用任何適合之習知步進微影系統或掃描微影系統來形成。舉例而言,光微影系統可購自ASML Netherlands B.V.(De Run 6501,5504 DR Veldhoven,The Netherlands)或Canon USA,Inc.,Semiconductor Equipment Division(3300 North First Street,San Jose,CA 95134)。光罩303可例如用正入射光及離軸照射光來照射,諸如環形照射、四極照射及偶極照射。一般熟習微電子裝置製造技術者已知該等使用光罩303照射輻射敏感材料層302及使輻射敏感材料層302曝露於輻射之方法。
如上所述,可使用具有曝光後基板加熱及冷卻設備之軌跡系統來進行曝光後烘烤(PEB)。熟習旋塗抗蝕劑技術者熟知用於熱處理基板上之輻射敏感材料曝光層之其他系統及方法。
進一步參考圖3,展示藉由使用微影系統經由光罩303投 影輻射307所產生之輻射圖案而在輻射敏感材料層302中產生之輻射曝露型態305及反應型態306。如圖3中所示,對應於透明區域304之第一區域312自輻射307接收高輻射曝露,對應於不透明區域310之第二區域313自輻射307接收低輻射曝露,且大致對應於不透明區域310邊緣之第三區域314自輻射307接收在約該高輻射曝露至約該低輻射曝露範圍內之中間或梯度輻射曝露。對應於輻射敏感材料層302之第一區域312的反應型態306高於上臨限值308,而對應於第二區域313之反應型態306低於下臨限值309。此外,對應於第三區域314之反應型態306在下臨限值309與上臨限值308之間。此外,對應於第三區域314之反應型態306可表示橫跨第三區域314寬度之曝光梯度。
在一個實施例中,如圖3中所示,反應型態306可表示輻射敏感材料層302中與輻射曝露型態305成比例之酸濃度。輻射敏感材料層302中存在之酸可促使經保護之聚合物在酸催化下去保護及/或使親水性聚合物重組為較具疏水性之聚合物。因此,酸濃度可與輻射敏感材料層302中去保護及/或重組之聚合物之化學濃度成比例。因此,在另一實施例中,反應型態306可表示輻射敏感材料層302中與輻射曝露型態305大致成比例的去保護及/或重組之聚合物之化學濃度。
在一個實施例中,當應用第一顯影化學品時,上臨限值308對應於輻射敏感材料層302溶解度之第一臨限值。在一個實施例中,在應用第二顯影化學品時,下臨限值309對 應於輻射敏感材料層302溶解度之第二臨限值。
在一個實施例中,使用第一顯影化學品自基板301選擇性地移除對應於光罩303之透明區域304且在輻射曝露型態305中具有高輻射曝露之輻射敏感材料層302的第一區域312。在輻射曝露型態305中具有低輻射曝露之輻射敏感材料層302的第二區域313可選擇性地不受曝露於第一顯影化學品之影響或受到最小程度的影響。大致對應於不透明區域310邊緣且在輻射曝露型態305中具有中間曝光之第三區域314(亦即,輻射曝露在上臨限值308與下臨限值309之間)可保留於基板301上,但對於第一顯影化學品可展示將與曝光、保護及/或重組之相對程度成比例之抗性選擇性。
相反,可藉由曝露於第二顯影化學品而選擇性地移除在輻射曝露型態305中具有低輻射曝露之輻射敏感材料層302之第二區域313。對應於透明區域304且在輻射曝露型態305中具有高輻射曝露之輻射敏感材料層302之第一區域312可選擇性地不受曝露於第二顯影化學品之影響或受到最小程度的影響。大致對應於不透明區域310邊緣且在輻射曝露型態305中具有中間曝光(亦即,輻射曝露在上臨限值308與下臨限值309之間)之第三區域314可保留於基板301上,但對於第二顯影化學品展示將與曝光、保護及/或重組之相對程度成比例之抗性。
在一個實施例中,對於第一區域312而言,反應型態306包括輻射敏感材料層302中高於酸濃度上臨限值308之酸濃度。在一個實施例中,上臨限值308表示輻射敏感材料層 302之酸含量溶解度臨限值。舉例而言,若輻射敏感材料層302中之酸濃度高於酸濃度之上臨限值308,則輻射敏感材料層302可溶於第一顯影化學品中。
在一個實施例中,對於第二區域313而言,反應型態306包括輻射敏感材料層302中低於酸濃度下臨限值309之酸濃度。在一個實施例中,下臨限值309表示輻射敏感材料層302之另一酸含量溶解度臨限值(acid level solubility threshold)。舉例而言,若輻射敏感材料層302中之酸濃度低於酸濃度之下臨限值309,則輻射敏感材料層302可在第二顯影中溶解。
在一個實施例中,酸濃度之上臨限值308在清晰視野的酸含量之約30%至約60%範圍內且酸濃度之下臨限值309在清晰視野的酸濃度之約10%至約25%範圍內。在一個實施例中,清晰視野的酸濃度係定義為完全曝露於輻射之輻射敏感材料的酸含量。在另一實施例中,清晰視野的酸濃度係定義為當實質上所有酸產生劑材料已與輻射307反應而產生酸物質或當實質上所有熱酸產生劑已分解而產生酸物質時之酸濃度。
由於輻射307因光罩303而繞射,因此產生對應於中間輻射曝露之第三區域314。在一個實施例中,第三區域314包含介於上臨限值308與下臨限值309之間的酸濃度。可使用第一顯影化學品自基板301選擇性地移除對應於高輻射曝露之第一區域312。可使用第二顯影化學品自基板301選擇性地移除對應於低輻射曝露之第二區域313。對應於中間 輻射曝露之第三區域314在第一及/或第二顯影化學品期間可實質上保留於基板301上。
仍參考圖3,第一區域312可用第一臨界尺寸320來表徵。舉例而言,第一臨界尺寸可與正型色調顯影後之正型色調臨界尺寸相關。另外,第二區域313可用第二臨界尺寸322來表徵。舉例而言,第二臨界尺寸322可與負型色調顯影後之負型色調臨界尺寸相關。
第三臨界尺寸324可與已成像輻射敏感材料之正型色調顯影相關。第三臨界尺寸324包括第二區域313及鄰接第三區域314。第四臨界尺寸326可與已成像輻射敏感材料之負型色調顯影相關。第四臨界尺寸326包括第一區域312及鄰接第三區域314。
如本文所用,正型色調顯影化學品係指可選擇性地移除具有高輻射曝露之第一區域312的溶劑系統。根據一個實施例,正型色調顯影化學品包括鹼,例如鹼(alkali)、胺等。在一個實例中,用以選擇性地移除第一區域312之正型色調顯影化學品包括氫氧化四甲銨(TMAH)。TMAH溶液之典型且市售的形式為0.26 N溶液,若需要其可經稀釋。在另一實例中,用以選擇性地移除第一區域312之正型色調顯影化學品包括鹼、水及視情況選用之界面活性劑。根據另一實施例,正型色調顯影化學品包括基於有機溶劑之組合物。在一個實例中,正型色調顯影化學品包括苯甲醚、乙酸正丁酯、2-庚酮、3-乙氧基-丙酸乙酯或其組合,且可進一步包含其他有機化合物,視情況包含水及/ 或視情況選用之界面活性劑。
如本文所用,負型色調顯影化學品係指可選擇性地移除具有低輻射曝露之第二區域313的溶劑系統。根據一個實施例,負型色調顯影化學品可包含有機溶劑。在一個實例中,負型色調顯影化學品包括苯甲醚、乙酸正丁酯、2-庚酮、3-乙氧基-丙酸乙酯或其組合,且可進一步包含其他有機化合物,視情況包含水及視情況選用之界面活性劑。根據另一實施例,負型色調顯影化學品包括鹼,例如鹼(alkali)、胺等。在一個實例中,用以選擇性地移除第一區域312之正型色調顯影化學品包括氫氧化四甲銨(TMAH)或氫氧化鉀(KOH)。在另一實例中,用以選擇性地移除第一區域312之正型色調顯影化學品包括鹼、水及視情況選用之界面活性劑。
為了更好地瞭解用以顯影影像輻射敏感材料之顯影化學品的特性,定義以下術語。RMIN係定義為最小顯影速率。RMAX係定義為最大顯影速率。顯影速率可便利地以奈米/秒鐘來描述。對於正型色調顯影而言,在低程度之去保護及/或曝光下觀測RMIN,而在高程度之去保護及/或曝光下觀測RMAX。相比而言,對於負型色調顯影而言,在高程度之去保護及/或曝光下觀測RMIN,而在低程度之去保護及/或曝光下觀測RMAX
圖4A至圖4E中展示包含輻射敏感材料之例示性實施例。薄膜堆疊400包含經包含能夠在曝露於輻射時轉化為酸之酸產生劑的輻射敏感材料層402塗佈之基板401。輻射 407經由光罩403投影至輻射敏感材料層402上。如圖4B中所示,輻射敏感材料層402中之第一區域412對應於光罩403中之透明區域404且自輻射407接收高輻射曝露。輻射敏感材料層402中之第二區域413對應於光罩403中之不透明區域410且自輻射407接收低輻射曝露。輻射敏感材料層402中之第三區域414大致對應於光罩403中之不透明區域410邊緣且自輻射407接收在約該高輻射曝露至約該低輻射曝露範圍內之中間輻射曝露。
作為對於區域412-414之進一步表徵,在一個實施例中,第一區域412由於高輻射曝露而可有高百分比之酸產生劑轉化為酸,第二區域413由於低輻射曝露而可有低百分比之酸產生劑轉化為酸,且第三區域414由於自高至低範圍內之中間輻射曝露而可具有曝光梯度,其中酸產生劑轉化為酸之百分比係在約高百分比轉化率至約低百分比轉化率之範圍內。
仍參考圖4B,第一區域412可用第一臨界空間尺寸420來表徵。舉例而言,第一臨界空間尺寸420可與以第一化學品正型色調顯影以移除第一區域412相關,或者與以第二化學品負型色調顯影以移除第二區域413繼而窄化相關。另外,第二區域413可用第二臨界空間尺寸422來表徵。舉例而言,第二臨界空間尺寸422可與以第二化學品負型色調顯影以移除第二區域413相關,或者與以第一化學品正型色調顯影以移除第一區域412繼而窄化相關。
此外,第三臨界尺寸424可與在正型色調顯影後保留之 輻射敏感材料層402相關,且第四臨界尺寸426可與在負型色調顯影後保留之輻射敏感材料層402相關。顯影後保留之輻射敏感材料層402(諸如用第三及第四臨界尺寸424、426表徵之彼等特徵)通常稱作輻射敏感材料線。舉例而言,當輻射敏感材料為光阻時,由第三及第四臨界尺寸424、426定義之特徵通常稱作光阻線。
在一個實施例中,對應於高輻射曝露之第一區域412接收約50%或50%以上在基板401上入射之輻射407,對應於低輻射曝露之第二區域413接收小於15%在基板401上入射之輻射407,且對應於中間輻射曝露之第三區域414接收約15%與約50%之間在基板401上入射之輻射407。
在一個實施例中,高曝露於輻射407使得第一區域412中之酸濃度增加至高於酸濃度上臨限值之程度。酸濃度上臨限值係與輻射敏感材料層402對於顯影化學品之第一溶解度臨限值相關。在一個實施例中,當第一區域412中之酸濃度增加至對於第一顯影化學品而言高於輻射敏感材料層402之第一溶解度臨限值(例如酸濃度臨限值)的程度時,第一區域412在應用第一顯影化學品時變得可溶,及/或對於第二顯影化學品而言不溶。
在另一實施例中,當第一區域412中去保護聚合物之化學濃度增加至對於第一顯影化學品而言高於輻射敏感材料層402之第一溶解度臨限值(例如酸濃度臨限值)的程度時,第一區域412在應用第一顯影化學品時變得可溶,及/或對於第二顯影化學品而言不溶。
在另一實施例中,當第一區域412中酸催化重組聚合物之化學濃度增加至高於輻射敏感材料層402之溶解度臨限值的程度時,第一區域412對於第一顯影化學品而言變得可溶,及/或對於第二顯影化學品而言不溶。
在對應於低輻射曝露之第二區域413中,酸之濃度及/或去保護或重組聚合物之化學濃度對於第一化學顯影作用而言小於輻射敏感材料層402之溶解度之下臨限值(例如酸濃度臨限值)。第二區域413在第二顯影化學品中可溶,及/或對於第一顯影化學品而言不溶。
第一溶解度臨限值及第二溶解度臨限值一般由輻射敏感材料層402之材料特性來決定。對應於中間輻射曝露之第三區域414具有曝光梯度,其中酸濃度在約第一溶解度臨限值與第二溶解度臨限值之間的範圍內。亦即,當對輻射敏感材料層402應用第一顯影化學品或第二顯影化學品中任一者時,第三區域414不易於溶解。
在使輻射敏感材料層402曝露於EM輻射407之後,輻射敏感材料曝光層402可經第一曝光後烘烤(PEB)熱處理。舉例而言,基板溫度可升高至約50℃與約200℃之間歷時約30秒鐘至約180秒鐘之持續時間。PEB可在軌跡系統之模組中進行。
現參考圖4C,可使用第一顯影化學品對輻射敏感材料層402使用正型色調顯影來選擇性地移除對應於高輻射曝露之第一區域412。在一個實施例中,用以選擇性地移除第一區域412之第一顯影化學品包括鹼,例如鹼(alkali)、胺 等。在一個實施例中,用以選擇性地移除第一區域412之第一顯影化學品包括TMAH或KOH。在另一實施例中,用以選擇性地移除第一區域412之第一顯影化學品包括鹼、水及視情況選用之界面活性劑。在又一實施例中,用以移除第一區域412之第一顯影化學品為基於有機溶劑之組合物。
在一個實施例中,使具有輻射敏感材料曝光層402之基板401與含有第一顯影化學品之顯影溶液接觸以移除可溶於第一顯影化學品之第一區域412。此後,乾燥基板401。顯影方法可進行預定持續時間(例如約30秒鐘至約180秒鐘)、在預定溫度(例如,室溫)及預定壓力(例如,大氣壓)下。顯影方法可包括使基板曝露於顯影系統(諸如軌跡系統,例如上文所述之軌跡系統)中之顯影溶液。
如圖4C中所示,可如下文論述來調整、控制及/或最佳化第一臨界尺寸420'(對應於已移除第一區域412之區域)、第二臨界尺寸422'(對應於第二區域413)、第三臨界尺寸424'(對應於兩側上具有第三區域414之第二區域413)及第四臨界尺寸426'(對應於兩側上具有第三區域414之移除區域)。
如圖4C中所說明,第二區域413及第三區域414保留於基板401上且構成輻射敏感材料線。
參考圖4D,在對輻射敏感材料層402進行第一顯影化學處理之後,使輻射敏感材料曝光層402經受形成第四區域430之條件。第三區域414及第二區域413經轉化為實質上 均一程度之輻射曝露或去保護、極性或其組合,且由此形成第四區域430。
在一個實施例中,第四區域430具有實質上均一之高比例之酸產生劑轉化為酸,其隨後導致實質上均一之去保護聚合物區域。在第三區域414及第二區域413中實現使高比例之酸產生劑轉化為酸以形成第四區域430之例示性方法包括整片輻射曝露、酸洗滌處理、在高溫下進行烘烤及其組合。在本實施例之另一態樣中,第四區域430為實質上均一之去保護聚合物區域。第四區域430中實質上均一之去保護程度允許與後續化學品均一反應,亦即均一性允許實質上各向同性之窄化。因此,在消除曝光梯度之後,可藉由自第四區域430實質上各向同性移除厚度x將尺寸W O (亦即,現有臨界尺寸424')窄化為所要或標靶臨界尺寸W f (如圖4E中所示)以形成所要第五區域432。
參考圖4E,根據本發明之實施例,使用常用經化學擴增之正型色調抗蝕劑(諸如經保護之基於聚羥基苯乙烯之抗蝕劑)自輻射敏感材料線(亦即,第四區域430)實質上各向同性移除厚度x以提供具有臨界尺寸W f 之窄化輻射敏感材料線(亦即,第五區域432)可藉由以下來完成:調整基於有機溶劑之組合物化學品之組成、調整含水鹼組合物化學品之濃度、調整輻射敏感材料層之組成以提供減弱層、調整應用基於有機溶劑之組合物或含水鹼組合物顯影化學品之持續時間、調整顯影化學品之溫度或其兩者或兩者以上之組合。相反,根據本發明之實施例,使用其他經化學擴增 之抗蝕劑(諸如,基於嚬哪醇之抗蝕劑)自第四區域430實質上各向同性移除厚度x以提供具有臨界尺寸W f 之窄化第五區域432可藉由以下來完成:使用低RMAX有機溶劑及/或調整基於有機溶劑之組合物化學品之組成、使用習知含水鹼組合物、調整具有促進劑之含水鹼組合物之組成、調整顯影化學品之溫度或其兩者或兩者以上之組合。
現參考圖4B及4F,可使用以用於選擇性地移除第一區域412及第三區域413上之第二區域413之適合化學品對輻射敏感材料層402負型色調顯影之方法來選擇性地移除對應於低輻射曝露之第二區域413。在本發明之一實施例中,使用常用經化學擴增之抗蝕劑(諸如經保護之基於聚羥基苯乙烯之抗蝕劑),用以選擇性地移除第二區域413之第二顯影化學品包括基於有機溶劑之組合物。根據本發明之另一實施例,使用其他經化學擴增之抗蝕劑(諸如基於嚬哪醇之抗蝕劑),可使用適合溶劑(諸如極性酒精性溶劑)來移除第二區域413。
如圖4F中所示,可如下文論述來調整、控制及/或最佳化第一臨界尺寸420"(對應於第一區域412)、第二臨界尺寸422"(對應於已移除第二區域413之區域)、第三臨界尺寸424"(對應於兩側上具有第三區域414之移除區域)及第四臨界尺寸426"(對應於兩側上具有第三區域414之第一區域412)。
如圖4F中所說明,第一區域412及第三區域414保留於基板401上且構成輻射敏感材料線。
參考圖4G,在對輻射敏感材料層402進行第一顯影化學處理之後,使輻射敏感材料曝光層402經受形成第四區域434之條件。第三區域414及視情況第一區域412經轉化為實質上均一程度之輻射曝露、極性或去保護或其組合,且由此自第一與第三區域412、414之組合形成第四區域434。適合達成轉化之方法包括上文揭示之彼等方法。
第四區域434中實質上均一之去保護程度允許與後續化學品均一反應,亦即均一性允許實質上各向同性之窄化。因此,在消除曝光梯度之後,可藉由自第四區域434實質上各向同性移除厚度y將尺寸W O (亦即,現有臨界尺寸426")窄化為所要或標靶臨界尺寸W f (如圖4H中所示)以形成所要第五區域436。
現參考圖5,展現根據本發明實施例之一種圖案化基板之方法的流程圖500。流程圖500以在基板上形成輻射敏感材料層(其包括經保護之聚合物及酸產生劑)之510開始。在520中,該方法包括進行輻射敏感材料層之圖案化曝光。在圖案化曝光期間,使用具有光罩臨界尺寸(CD)之光罩使輻射敏感材料層曝光至電磁輻射(EM)圖案以形成第一區域、第二區域及第三區域。光罩CD可包括任何臨界尺寸以表徵光罩之不透明區域、光罩之透明區域、光罩間距等。第一區域可經表徵為具有高輻射曝露。第二區域可經表徵為具有低輻射曝露。第三區域可經表徵為具有中間輻射曝露。
在530中,進行曝光後烘烤(PEB),其中使基板溫度升高 至曝光後溫度。PEB可包含設定曝光後溫度、使基板升高至曝光後溫度之時間、達成曝光後溫度之加熱速率、減小曝光後溫度之冷卻速率、在將基板升高至曝光後溫度期間基板周圍氣態環境之壓力或在將基板升高至曝光後溫度期間基板周圍氣態環境之組成或其兩者或兩者以上之組合。曝光後溫度可勻變或步進。
在完成曝光後烘烤之後,對於光阻影像層之顯影存在兩種選擇。在540中,對輻射敏感材料層進行正型色調顯影,其中使用第一顯影化學品自基板移除第一區域。第一區域之移除可用第一臨界尺寸來表徵。正型色調顯影方法可包含設定第一顯影化學品之組成、應用第一顯影化學品之持續時間或應用第一顯影化學品之溫度或其兩者或兩者以上之任何組合。根據一個實施例,第一顯影化學品可包含極性組合物,諸如鹼溶液。第一顯影化學品可另外包含鹼溶液、水及視情況選用之界面活性劑。根據另一實施例,第一顯影化學品可包含非極性組合物,諸如基於有機溶劑之組合物。此後,保留者係包含具有低輻射曝露之第二區域的輻射敏感材料層,具有中間曝光之第三區域與第二區域直接相鄰置放。此第二與第三區域之組合形成輻射敏感材料線。
在550中,其為540實施例之互補實施例,進行輻射敏感材料層之負型色調顯影,其中使用第二顯影化學品自基板移除第二區域。第二區域之移除可用第二臨界尺寸來表徵。負型色調顯影方法可包含設定第二顯影化學品之組 成、應用第二顯影化學品之持續時間或應用第二顯影化學品之溫度或其兩者或兩者以上之任何組合。根據一個實施例,第二顯影化學品可包含非極性組合物,諸如基於有機溶劑之組合物。根據另一實施例,第二顯影化學品可包含極性組合物,諸如酒精性溶劑。此後,保留者係包含具有高輻射曝露之第一區域的輻射敏感材料層,具有中間曝光之第三區域與第一區域直接相鄰置放。第一與第三區域之該組合形成輻射敏感材料線。
在560中,使在進行540後包含第二及第三區域或在進行550後包含第一及第三區域之輻射敏感材料線曝露於影響酸產生劑高百分比轉化為酸、影響聚合物之高去保護百分比或影響親水性聚合物在酸催化下重組為較具疏水性聚合物之化學品及/或條件。曝光梯度消除可藉由進行某種操作來達成,諸如整片曝光(其後進行整片曝光後烘烤)、熱分解烘烤或酸洗滌(其後進行酸洗滌後烘烤)。該等消除曝光梯度之方法產生第四區域,其係源自第二與第三區域或第一與第三區域之組合。如下文進一步論述,該等方法使得第四區域具有大致均一之去保護及/或極性,且對輻射不敏感。
在570及580中,進行第四區域之窄化,其中以實質上均一之方式減小輻射敏感材料線之尺寸。根據本發明之實施例,如下文將進一步論述,此可由各種方法來達成。
參考圖6,流程圖600提供用於至少消除輻射敏感材料線之第三區域之曝光梯度以提供具有大致均一的去保護及/ 或極性之層的替代性方法,亦即,如圖5中所示,在正型色調顯影540或負型色調顯影550之後進行560中之消除曝光梯度。在610中,根據本發明之一實施例,可進行輻射敏感材料層之整片曝光。在整片曝光期間,使輻射敏感材料層曝光至非圖案化輻射。整片曝光可包含在無光罩或比例光罩之情形下使基板曝露於電磁(EM)輻射。EM輻射可具有可見光譜內之波長或紫外光譜內之波長或其組合。另外,整片曝光可包含使基板曝露於連續EM輻射、脈衝EM輻射、多色、EM輻射、單色EM輻射、寬頻EM輻射或窄頻輻射或其組合。
舉例而言,整片曝光可包含使基板曝露於436 nm EM輻射、365 nm EM輻射、248 nm EM輻射、193 nm EM輻射、157 nm EM輻射或深紫外(DUV)EM輻射或其兩者或兩者以上之任何組合。另外,舉例而言,整片曝光可包含使基板曝露於能夠在輻射敏感材料層中產生酸之波長的EM輻射。
在整片曝光之後,進行整片曝光後烘烤(PFEB),其中使基板溫度升高至PFEB溫度。整片曝光後烘烤可包含設定PFEB溫度、使基板升高至PFEB溫度之時間、達成PFEB溫度之加熱速率、減小PFEB溫度之冷卻速率、在將基板升高至PFEB溫度期間基板周圍氣態環境之壓力或在將基板升高至PFEB溫度期間基板周圍氣態環境之組成或其兩者或兩者以上之組合。
在620中,根據本發明之另一實施例,可進行輻射敏感 材料層之熱分解烘烤(TDB)。對於熱分解烘烤而言,TDB溫度可包括酸產生劑將實質上經歷熱分解以產生酸且由此促進輻射敏感材料之酸催化分解或親水性聚合物在酸催化下重組為較具疏水性聚合物時之溫度;或經保護聚合物(諸如經碳酸第三丁酯(tBOC)保護之輻射敏感材料)將實質上去保護時之溫度。在任何情況下,最終結果均為實質上消除第三區域之曝光梯度,以及實質上去保護/減小極性/分解輻射敏感材料層之先前未曝光第二區域。應瞭解,烘烤溫度不應超過輻射敏感材料層之玻璃轉移溫度(Tg)。
在630中,根據本發明之又一實施例,可進行輻射敏感材料層之酸洗滌。酸洗滌可向經加熱至足夠溫度之輻射敏感材料層表面提供足夠量之酸,其可促進或增強輻射敏感材料之去保護或熱分解。適合之酸洗滌可包含例示酸性化合物,諸如硫酸及二氯乙酸。在570及580中,進行第四區域之窄化。
參考圖6,展現本發明實施例之一種圖案化基板之方法的流程圖600。流程圖600以在基板上形成輻射敏感材料層之610開始,且在620中,進行輻射敏感材料層之圖案化曝光。在630中,進行第一曝光後烘烤(PEB),其中使基板溫度升高至PEB溫度。在640中,進行輻射敏感材料影像層之正型色調顯影,其中使用第一顯影化學品自基板移除第一區域。在650中,可進行輻射敏感材料層之整片曝光。在整片曝光期間,使輻射敏感材料層曝露於未圖案化輻射。在660中,進行整片曝光後烘烤(PFEB),其中使基板 溫度升高至PFEB溫度。在670中,進行輻射敏感材料之窄化,其中輻射敏感材料線之尺寸減小。
圖7及8提供對於圖5至6之570及580中窄化第四區域之互補替代性方法。根據本發明之實施例,可定製或調整窄化化學品以提供簡單地藉由控制曝露於顯影化學品之持續時間即可具有可預測且可再生之效能的各向同性溶解速率。舉例而言,可改變顯影化學品及/或條件以確定在約0.1 nm/sec至約5 nm/sec、約0.2 nm/sec至約4 nm/sec、約0.5至約2 nm/sec或約0.1 nm/sec至約1 nm/sec範圍內之溶解速率。在一個實例中,溶解速率可為約1 nm/sec。
參考圖7,流程圖700提供用於窄化具有大致均一去保護及/或極性之輻射敏感材料線的替代性方法。根據一個實施例,使用常用經化學擴增之正型色調抗蝕劑(諸如經保護之基於聚羥基苯乙烯之抗蝕劑),當應用含水鹼化學品時,經實質上去保護之第四區域相對可溶,但在應用基於有機溶劑之組合物化學品時相對不溶。
在替代性710中,窄化可藉由使經實質上去保護之第四區域430、434與基於有機溶劑之組合物接觸來完成。因此,基於有機溶劑之組合物包含有機溶劑,且可進一步包含一或多種其他有機化合物或共溶劑,視情況包含水及視情況選用之界面活性劑。因此,窄化經實質上去保護之輻射敏感材料線可藉由使該等線與基於有機溶劑之組合物以RMIN接觸來實現。基於有機溶劑之組合物化學品之最佳化可易於藉由選擇溶劑或溶劑混合物以獲得所要溶解速率來 達成。
在替代性720至740中,窄化可藉由使用含水鹼化學品來完成。含水鹼化學品儘管通常對經高度去保護之輻射敏感材料線具選擇性,但在經改變條件下仍可採用以提供減小之窄化速率。含水鹼化學品之溶解速率可藉由以下方法減小,諸如稀釋含水鹼化學品溶液、在輻射敏感材料層中包括減弱劑或在超冷溫度下進行含水鹼化學品。如上所述,含水鹼化學品通常包括鹼(例如鹼(alkali)、胺等)、水及視情況選用之界面活性劑。一種例示性鹼為氫氧化四甲銨(TMAH)。
在替代性720中,窄化可藉由使經實質上去保護之第四區域430、434與稀釋鹼化學品水溶液接觸來完成。適用於窄化及/或顯影之例示性及市售鹼水溶液為0.26N TMAH溶液,其可經稀釋以控制窄化速率。舉例而言,可藉由將含水鹼化學品稀釋100、200、500或1000倍來減小溶解速率。因此,例示性稀鹼水溶液包括約0.02 N或0.02 N以下之氫氧化物鹽濃度。稀釋含水鹼化學品之最佳化可易於藉由選擇適當稀釋因數以獲得所要溶解速率來達成。
在替代性730中,窄化可藉由使經實質上去保護之第四區域430、434與含水鹼化學品在減弱或抑制輻射敏感材料層上接觸來完成。舉例而言,在形成薄膜堆疊期間,輻射敏感材料溶液可進一步包含減弱劑。因此,該方法利用在RMAX下或接近RMAX時具有高程度去保護顯影之含水鹼化學品,但減弱劑之存在使得經實質上去保護之輻射敏感材 料線之有效溶解速率減小至所要溶解速率。一種例示性之減弱劑為膽酸。
在替代性740中窄化可藉由使經實質上去保護之第四區域430、434與含水鹼化學品在超冷溫度下接觸來完成。如本文所用,超冷溫度係定義為大於顯影化學品之凝固點且小於室溫之溫度。舉例而言,超冷溫度可在約0℃至約20℃、約0℃至約15℃、或約5℃至約10℃之範圍內。
此外,可組合以上窄化方法710至740。窄化步驟可藉由調整基於有機溶劑之組合物化學品之組成、調整含水鹼化學品之濃度、調整輻射敏感材料層之組成、調整應用有機溶劑-鹼組合物或含水鹼化學品之持續時間、調整窄化化學品之溫度或其兩者或兩者以上之組合來完成。
參考圖8,流程圖800提供用於窄化具有大致均一去保護及/或極性之輻射敏感材料線之替代性方法。根據一個實施例,使用經化學擴增之親水性聚合物抗蝕劑(諸如經保護之基於嚬哪醇之抗蝕劑),輻射敏感材料線為實質上極性較小之第四區域430、434且在應用基於有機溶劑之組合物化學品時相對可溶,但在應用含水鹼化學品時相對不溶。
在替代性810中,窄化可藉由使實質上極性較小之第四區域430、434與基於有機溶劑之組合物在超冷溫度下接觸來完成。因此,基於有機溶劑之組合物包含有機溶劑,且可進一步包含一或多種其他有機化合物或共溶劑,視情況包含水及視情況選用之界面活性劑。如本文所用,超冷溫 度定義為大於基於有機溶劑之組合物之凝固點且小於20℃之溫度。舉例而言,超冷溫度可在約0℃至約20℃、約0℃至約15℃、或約5℃至約10℃之範圍內。
在替代性820中,窄化可藉由使實質上極性較小之第四區域430、434與具有低RMAX之基於有機溶劑之組合物接觸來完成。因此,基於有機溶劑之組合物包含有機溶劑,且可進一步包含一或多種其他有機化合物或共溶劑,視情況包含水及視情況選用之界面活性劑。因此,實質上極性較小之輻射敏感材料線之窄化可藉由使該等線與基於有機溶劑之組合物以低RMAX接觸來實現。基於有機溶劑之組合物化學品之最佳化可易於藉由選擇溶劑或溶劑混合物以獲得所要較低之RMAX溶解速率來達成。
在替代性830至850中,窄化可藉由使用含水鹼化學品來完成。儘管實質上極性較小之第四區域430、434相對不溶於含水鹼化學品,但可利用存在之部分溶解度來窄化第四區域430、434。
在替代性830中,窄化可藉由使第四區域430、434與鹼化學品水溶液接觸來完成。一種適用於窄化及/或顯影之例示性及市售鹼水溶液為0.26N TMAH溶液,其可經稀釋以控制窄化速率。含水鹼濃度之最佳化可易於藉由選擇適當稀釋因數以獲得所要溶解速率來達成。舉例而言,可藉由將含水鹼化學品稀釋100、200、500或1000倍來減小溶解速率。因此,一種例示性稀鹼水溶液包括約0.02 N或0.02 N以下之氫氧化物鹽濃度。
在替代性840中,窄化可藉由使第四區域430、434與具有促進劑之含水鹼化學品接觸來完成。促進劑改變極具極性之含水組合物以增強經促進之含水鹼以經促進之RMIN溶解疏水性第四區域430、434之能力。換言之,促進劑可降低含水鹼化學品之極性以使極性較小輻射敏感材料線之有效溶解速率增強至所要溶解速率。可增強含水組合物之有效溶解速率之其他促進劑包括包含鹼金屬之鹽。例示性促進劑包括鹽,其包含鋰、鈉、鉀、銣或銫。
在替代性850中,窄化可藉由使經實質上去保護之第四區域430、434與含水鹼化學品在高溫下接觸來完成。如本文所用,高溫係定義為大於約30℃且小於約100℃之溫度。舉例而言,高溫可在約30℃至約80℃、約35℃至約50℃、或約30℃至約40℃之範圍內。
此外,可組合以上窄化方法810至850。窄化步驟可藉由調整基於有機溶劑之組合物化學品之組成、調整含水鹼化學品之濃度、調整含有促進劑之含水鹼化學品之組成、調整應用有機溶劑-鹼組合物或含水鹼化學品之持續時間、調整窄化化學品之溫度或其兩者或兩者以上之組合來完成。
儘管上文僅詳細描述了本發明之某些實施例,但熟習此項技術者將易於瞭解在不實質上偏離本發明之新穎教示及優勢之情況下,在該等實施例中可能存在多種改變。因此,所有該等改變均欲包括於本發明之範疇內。
儘管已藉由描述一或多個實施例來說明本發明,且儘管 已相當詳細地描述了該等實施例,但其不意欲使隨附申請專利範圍範疇限定於或以任何方式受限於該等細節。其他優勢及改變將易於為熟習此項技術者所顯而易見。因此本發明在其更廣泛態樣中不限於所示及描述之特定細節、代表性裝置及方法以及說明性實例。因此,在不偏離通常發明性概念範疇之情況下,該等細節可有所偏離。
101‧‧‧基板
102‧‧‧輻射敏感材料層
103‧‧‧光罩
104‧‧‧透明區域
105‧‧‧曝光區域
106‧‧‧未曝光區域
107‧‧‧EM輻射/電磁輻射
108‧‧‧不透明區域
109‧‧‧間距/距離
110‧‧‧間距
111‧‧‧輻射敏感材料線之臨界尺寸
112‧‧‧間距
113‧‧‧輻射敏感材料線之臨界尺寸
201‧‧‧基板
202‧‧‧輻射敏感材料層
203‧‧‧光罩
204‧‧‧透明區域
205‧‧‧曝光區域
206‧‧‧未曝光區域
207‧‧‧EM輻射
208‧‧‧不透明區域
209‧‧‧間距/距離
214‧‧‧部分曝光區域
301‧‧‧基板
302‧‧‧輻射敏感材料層
303‧‧‧光罩
304‧‧‧透明區域
305‧‧‧輻射曝露型態
306‧‧‧反應型態
307‧‧‧輻射
308‧‧‧上臨限值
309‧‧‧下臨限值
310‧‧‧不透明區域
312‧‧‧第一區域
313‧‧‧第二區域
314‧‧‧第三區域
320‧‧‧第一臨界尺寸
322‧‧‧第二臨界尺寸
324‧‧‧第三臨界尺寸
326‧‧‧第四臨界尺寸
400‧‧‧薄膜堆疊
401‧‧‧基板
402‧‧‧輻射敏感材料層
403‧‧‧光罩
404‧‧‧透明區域
407‧‧‧EM輻射/輻射
410‧‧‧不透明區域
412‧‧‧第一區域
413‧‧‧第二區域
414‧‧‧第三區域
420‧‧‧第一臨界空間尺寸
420'‧‧‧第一臨界尺寸
420"‧‧‧第一臨界尺寸
422‧‧‧第二臨界空間尺寸
422'‧‧‧第二臨界尺寸
422"‧‧‧第二臨界尺寸
424‧‧‧第三臨界尺寸
424'‧‧‧第三臨界尺寸
424"‧‧‧第三臨界尺寸
426‧‧‧第四臨界尺寸
426'‧‧‧第四臨界尺寸
426"‧‧‧第四臨界尺寸
430‧‧‧第四區域
432‧‧‧第五區域
434‧‧‧第四區域
436‧‧‧第五區域
Wf‧‧‧臨界尺寸
WO‧‧‧尺寸
x‧‧‧厚度
y‧‧‧厚度
圖1A至圖1C說明根據先前技術利用輻射敏感材料之正型色調及負型色調微影圖案化技術;圖2說明圖1A之曝光輻射敏感材料之微影圖案中的其他詳情;圖3說明一種圖案化基板之方法;圖4A至圖4H說明圖案化基板之補充方法;圖5說明根據本發明之一個實施例圖案化基板之方法;圖6說明根據本發明之另一實施例圖案化基板之方法;圖7說明根據本發明之另一實施例圖案化基板之方法;及圖8說明根據本發明之又一實施例圖案化基板之方法。
301‧‧‧基板
302‧‧‧輻射敏感材料層
303‧‧‧光罩
304‧‧‧透明區域
305‧‧‧輻射曝露型態
306‧‧‧反應型態
307‧‧‧輻射
308‧‧‧上臨限值
309‧‧‧下臨限值
310‧‧‧不透明區域
312‧‧‧第一區域
313‧‧‧第二區域
314‧‧‧第三區域
320‧‧‧第一臨界尺寸
322‧‧‧第二臨界尺寸
324‧‧‧第三臨界尺寸
326‧‧‧第四臨界尺寸

Claims (25)

  1. 一種圖案化基板之方法,其包含:在一基板上形成一輻射敏感材料層;使該輻射敏感材料層曝光至一輻射圖案,其中該圖案包括:一具有高輻射曝露之第一區域,一具有低輻射曝露之第二區域,及一具有在約該高輻射曝露至約該低輻射曝露範圍內之曝光梯度的第三區域;在該曝光之後進行曝光後烘烤;藉由使該輻射敏感材料層與第一基於有機溶劑之組合物接觸來進行正型色調顯影,以自該基板移除該第一區域從而提供一輻射敏感材料顯影層;藉由使該第二區域及該第三區域轉化為一具有實質上均一程度之輻射曝露、極性或去保護或其組合之第四區域來消除該第三區域之該曝光梯度;及窄化該第四區域。
  2. 如請求項1之方法,其中該輻射敏感材料層包含:親水性聚合物,其極性可在進行該輻射敏感材料層之該曝光及該曝光後之該曝光後烘烤、該輻射敏感材料層之酸洗滌及酸洗滌後烘烤、將該輻射敏感材料層加熱至等於或大於該輻射敏感材料之熱分解溫度之溫度或其兩者或兩者以上之任何組合時減小;或酸產生劑,其可在進行該輻射敏感材料層之該曝光及 該曝光後之該曝光後烘烤、該輻射敏感材料層之該酸洗滌及該酸洗滌後烘烤、將該輻射敏感材料層加熱至等於或大於該輻射敏感材料之該熱分解溫度之該溫度或其兩者或兩者以上之任何組合時使該親水性聚合物在酸催化下重組為較具疏水性之聚合物。
  3. 如請求項1之方法,其中該消除該曝光梯度包含:對該輻射敏感材料顯影層進行整片曝光(flood exposure)及整片曝光後烘烤;對該輻射敏感材料顯影層進行酸洗滌及酸洗滌後烘烤;或將該輻射敏感材料顯影層加熱至等於或大於該輻射敏感材料之熱分解溫度的溫度。
  4. 如請求項3之方法,其中該窄化該第四區域包含:使該第四區域與第二基於有機溶劑之組合物在超冷溫度下接觸以移除該第四區域之一部分,其中該超冷溫度係小於25℃且大於該第二基於有機溶劑之組合物之凝固點。
  5. 如請求項3之方法,其中該窄化該第四區域包含使該第四區域與第二基於有機溶劑之組合物接觸以移除該第四區域之一部分,其中該第二基於有機溶劑之組合物達成約0.1 nm/sec至約5 nm/sec範圍內之溶解速率。
  6. 如請求項3之方法,其中該窄化該第四區域包含使該第四區域與鹼水溶液接觸以移除該第四區域之一部分。
  7. 如請求項3之方法,其中該窄化該第四區域包含使該第 四區域與包含促進劑之水溶液接觸以移除該第四區域之一部分。
  8. 如請求項7之方法,其中該促進劑為包含鹼金屬之鹽。
  9. 如請求項3之方法,其中該窄化該第四區域包含使該第四區域與鹼水溶液在約30℃至約該鹼水溶液之沸點範圍內的溫度下接觸。
  10. 一種圖案化基板之方法,其包含:在一基板上形成一輻射敏感材料層;使該輻射敏感材料層曝光至一輻射圖案,其中該圖案包括:一具有高輻射曝露之第一區域,一具有低輻射曝露之第二區域,及一具有在約該高輻射曝露至約該低輻射曝露範圍內之曝光梯度的第三區域;在該曝光之後進行曝光後烘烤;對該輻射敏感材料層進行負型色調顯影,以自該基板移除該第二區域從而提供一輻射敏感材料顯影層;藉由使該第三區域及視情況使該第一區域轉化為一由該第一及該第三區域形成之具有實質上均一程度的輻射曝露、極性或去保護或其組合之第四區域來消除該第三區域之該曝光梯度;及窄化該第四區域。
  11. 如請求項10之方法,其中該輻射敏感材料層包含:一材料,其極性可在進行該輻射敏感材料層之該曝光 及該曝光後之該曝光後烘烤、該輻射敏感材料層之酸洗滌及酸洗滌後烘烤、將該輻射敏感材料層加熱至等於或大於該輻射敏感材料之熱分解溫度之溫度或其兩者或兩者以上之任何組合時減小;或酸產生劑,其可在進行該輻射敏感材料層之該曝光及該曝光後之該曝光後烘烤、該輻射敏感材料層之該酸洗滌及該酸洗滌後烘烤、將該輻射敏感材料層加熱至等於或大於該輻射敏感材料之該熱分解溫度之該溫度或其兩者或兩者以上之任何組合時產生酸;或經保護之聚合物,其在加熱至等於或大於該經保護之聚合物之熱分解溫度的溫度時經歷去保護。
  12. 如請求項11之方法,其中該材料之極性的該變化為極性增加,且其中進行該負型色調顯影包含使該輻射敏感材料層與第一基於有機溶劑之組合物接觸,以自該基板移除該第二區域。
  13. 如請求項12之方法,其中該消除該曝光梯度包含:對該輻射敏感材料顯影層進行整片曝光及整片曝光後烘烤;對該輻射敏感材料顯影層進行酸洗滌及酸洗滌後烘烤;或將該輻射敏感材料顯影層加熱至等於或大於該輻射敏感材料之該熱分解溫度的溫度。
  14. 如請求項13之方法,其中該窄化該第四區域包含:使該第四區域與第二基於有機溶劑之組合物接觸。
  15. 如請求項13之方法,其中該窄化該第四區域包含:使該第四區域與鹼水溶液接觸以移除該第四區域之一部分,其中該鹼水溶液為具有小於0.02 N之氫氧化物鹽濃度之稀溶液。
  16. 如請求項13之方法,其中該窄化該第四區域包含:使該第四區域與鹼水溶液接觸以移除該第四區域之一部分,其中該輻射敏感材料層進一步包含減弱劑(muting agent)。
  17. 如請求項13之方法,其中該窄化該第四區域包含:使該第四區域與鹼水溶液在超冷溫度下接觸以移除該第四區域之一部分,其中該超冷溫度係小於25℃且大於該鹼水溶液之凝固點。
  18. 如請求項11之方法,其中該材料之極性的該變化為極性減小,且其中進行該負型色調顯影包含使該輻射敏感材料層與第一鹼水溶液接觸,以自該基板移除該第二區域。
  19. 如請求項18之方法,其中該消除該曝光梯度包含:對該輻射敏感材料顯影層進行整片曝光及整片曝光後烘烤;對該輻射敏感材料顯影層進行酸洗滌及酸洗滌後烘烤;或將該輻射敏感材料顯影層加熱至等於或大於該輻射敏感材料之該熱分解溫度的溫度。
  20. 如請求項19之方法,其中該窄化該第四區域包含: 使該第四區域與基於有機溶劑之組合物在超冷溫度下接觸以移除該第四區域之一部分,其中該超冷溫度係小於25℃且大於該基於有機溶劑之組合物之凝固點。
  21. 如請求項19之方法,其中該窄化該第四區域包含使該第四區域與基於有機溶劑之組合物接觸以移除該第四區域之一部分,其中該基於有機溶劑之組合物達成約0.1 nm/sec至約5 nm/sec範圍內之溶解速率。
  22. 如請求項19之方法,其中該窄化該第四區域包含使該第四區域與第二鹼水溶液接觸以移除該第四區域之一部分。
  23. 如請求項19之方法,其中該窄化該第四區域包含使該第四區域與包含促進劑之第二水溶液接觸,以移除該第四區域之一部分。
  24. 如請求項23之方法,其中該促進劑為包含鹼金屬之鹽。
  25. 如請求項19之方法,其中該窄化該第四區域包含使該第四區域與第二鹼性水溶液在約30℃至約該鹼水溶液之沸點範圍內的溫度下接觸。
TW101111550A 2011-03-31 2012-03-30 Method of slimming radiation-sensitive material lines in lithographic applications TWI560527B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/077,833 US8435728B2 (en) 2010-03-31 2011-03-31 Method of slimming radiation-sensitive material lines in lithographic applications

Publications (2)

Publication Number Publication Date
TW201303520A true TW201303520A (zh) 2013-01-16
TWI560527B TWI560527B (en) 2016-12-01

Family

ID=45929039

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101111550A TWI560527B (en) 2011-03-31 2012-03-30 Method of slimming radiation-sensitive material lines in lithographic applications

Country Status (6)

Country Link
US (1) US8435728B2 (zh)
JP (1) JP5944484B2 (zh)
KR (1) KR101938905B1 (zh)
CN (1) CN103547968B (zh)
TW (1) TWI560527B (zh)
WO (1) WO2012134910A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8980651B2 (en) 2011-09-30 2015-03-17 Tokyo Electron Limited Overlay measurement for a double patterning
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
US9086631B2 (en) 2012-08-27 2015-07-21 Tokyo Electron Limited EUV resist sensitivity reduction
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP2015082046A (ja) * 2013-10-23 2015-04-27 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、及び、電子デバイス
EP3889159B1 (en) 2014-10-23 2024-06-05 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9612536B2 (en) * 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
EP4089482A1 (en) 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
JP2017068281A (ja) * 2016-12-27 2017-04-06 Hoya株式会社 フォトマスクの製造方法、パターン転写方法及び表示装置の製造方法
US11586113B2 (en) * 2018-06-15 2023-02-21 Mattson Technology, Inc Methods and apparatus for post exposure bake processing of a workpiece
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR20210134072A (ko) * 2019-04-12 2021-11-08 인프리아 코포레이션 유기금속 포토레지스트 현상제 조성물 및 처리 방법
JP2023515693A (ja) 2020-03-02 2023-04-13 インプリア・コーポレイション 無機レジストパターニング用のプロセス環境
CN113845082B (zh) * 2021-09-08 2022-10-18 清华大学 辐射热流调控器件及其应用

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07117751B2 (ja) 1987-12-14 1995-12-18 株式会社日立製作所 感光剤
US5741624A (en) 1996-02-13 1998-04-21 Micron Technology, Inc. Method for reducing photolithographic steps in a semiconductor interconnect process
JPH09251210A (ja) 1996-03-15 1997-09-22 Toshiba Corp レジストパターンの形成方法
US5914202A (en) 1996-06-10 1999-06-22 Sharp Microeletronics Technology, Inc. Method for forming a multi-level reticle
JP2000035672A (ja) * 1998-03-09 2000-02-02 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP4689082B2 (ja) * 2001-06-06 2011-05-25 富士通株式会社 ネガ型レジスト組成物
US7364839B2 (en) 2002-07-24 2008-04-29 Kabushiki Kaisha Toshiba Method for forming a pattern and substrate-processing apparatus
JP3927575B2 (ja) * 2002-07-30 2007-06-13 株式会社ルネサステクノロジ 電子装置の製造方法
JP2004086203A (ja) * 2002-08-07 2004-03-18 Renesas Technology Corp 微細パターン形成材料および電子デバイスの製造方法
US6740473B1 (en) 2002-11-28 2004-05-25 United Microelectronics Corp. Method for shrinking critical dimension of semiconductor devices
US20040166448A1 (en) 2003-02-26 2004-08-26 United Microelectronics Corp. Method for shrinking the image of photoresist
JP4040515B2 (ja) 2003-03-26 2008-01-30 株式会社東芝 マスクのセット、マスクデータ作成方法及びパターン形成方法
US6905811B2 (en) 2003-04-22 2005-06-14 Headway Technologies, Inc. Method to form reduced dimension pattern with good edge roughness
TWI281690B (en) 2003-05-09 2007-05-21 Toshiba Corp Pattern forming method, and manufacturing method for semiconductor using the same
EP1757628A4 (en) 2004-05-06 2008-04-30 Jsr Corp LACTONCOPOLYMER AND RADIATION-SENSITIVE RESIN COMPOSITION
KR100598290B1 (ko) * 2004-05-20 2006-07-07 동부일렉트로닉스 주식회사 리소그래피 공정의 감광막 패턴 형성 방법
RU2346996C2 (ru) 2004-06-29 2009-02-20 ЮРОПИЭН НИКЕЛЬ ПиЭлСи Усовершенствованное выщелачивание основных металлов
ATE414934T1 (de) 2004-11-25 2008-12-15 Nxp Bv Lithographisches verfahren
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
JP4588551B2 (ja) * 2005-06-16 2010-12-01 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
CN100427285C (zh) * 2006-09-11 2008-10-22 东华大学 聚乳酸/ε-聚己内酯嵌段共聚物形成微观结构的方法
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
KR100989567B1 (ko) 2007-05-15 2010-10-25 후지필름 가부시키가이샤 패턴형성방법
US7811923B2 (en) 2007-07-17 2010-10-12 International Business Machines Corporation Integrated wafer processing system for integration of patternable dielectric materials
JP5154395B2 (ja) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 半導体装置の製造方法及びレジスト塗布・現像処理システム
US8257911B2 (en) 2008-08-26 2012-09-04 Tokyo Electron Limited Method of process optimization for dual tone development
JP4671065B2 (ja) 2008-09-05 2011-04-13 信越化学工業株式会社 ダブルパターン形成方法
US8283111B2 (en) 2008-09-17 2012-10-09 Tokyo Electron Limited Method for creating gray-scale features for dual tone development processes
JP4779028B2 (ja) * 2009-02-27 2011-09-21 パナソニック株式会社 パターン形成方法
US8568964B2 (en) * 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP2010267879A (ja) * 2009-05-15 2010-11-25 Tokyo Electron Ltd レジストパターンのスリミング処理方法
WO2011000020A1 (en) 2009-06-12 2011-01-06 Sbc Research Pty Ltd Enhanced method of detection
US8338086B2 (en) 2010-03-31 2012-12-25 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications

Also Published As

Publication number Publication date
WO2012134910A1 (en) 2012-10-04
CN103547968A (zh) 2014-01-29
US8435728B2 (en) 2013-05-07
KR20140031884A (ko) 2014-03-13
JP2014510954A (ja) 2014-05-01
KR101938905B1 (ko) 2019-01-15
CN103547968B (zh) 2016-03-23
TWI560527B (en) 2016-12-01
US20110244403A1 (en) 2011-10-06
JP5944484B2 (ja) 2016-07-05

Similar Documents

Publication Publication Date Title
TW201303520A (zh) 微影應用中窄化輻射敏感材料線之方法
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
JP5663656B2 (ja) リソグラフィ用途において放射線感受性を有する材料のラインを細くする方法
TWI459440B (zh) 微影應用中之雙型顯影用之全面性曝光製程
TWI387998B (zh) 微影方法
KR100819673B1 (ko) 반도체 소자 및 그의 패턴 형성 방법
KR101439394B1 (ko) 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
US8053368B2 (en) Method for removing residues from a patterned substrate
TWI662369B (zh) 半導體元件的形成方法
US8129080B2 (en) Variable resist protecting groups
TWI709165B (zh) 微影圖案化的方法
CN106019849A (zh) 具有可湿剥离的中间层的半导体结构的图案化工艺
CN108983546A (zh) 微影方法
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
TWI737856B (zh) 微影圖案化方法
KR100772801B1 (ko) 반도체 소자의 제조 방법
US8257911B2 (en) Method of process optimization for dual tone development
TWI401542B (zh) 移除上塗層而減低浸潤式微影之缺陷
US20100055624A1 (en) Method of patterning a substrate using dual tone development
KR100576835B1 (ko) 두 번의 포토 공정들 동안 이용되는 포토 마스크들 및그의 사용방법들
JPH11242336A (ja) フォトレジストパターンの形成方法
JP2009109768A (ja) レジストパターン形成方法
WO2010025198A1 (en) Method of patterning a substrate using dual tone development
JP2004279570A (ja) パターン形成方法および半導体装置の製造方法
JP2004221137A (ja) レジストパターン形成方法およびこれを用いた半導体装置の製造方法。